find eda tools for a faster timing closure with eco & clock … · 2017-06-30 · find eda...

22
Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO Anne Yue Synapse Design 2200 Laurelwood Rd. Santa Clara, CA 95054

Upload: others

Post on 26-Jul-2020

6 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

Find EDA Tools for A Faster Timing Closure with

ECO & Clock Path ECO

Anne Yue

Synapse Design 2200 Laurelwood Rd. Santa Clara, CA 95054

Page 2: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

Abstract

• This paper describes and compares the current EDA tools for STA, timing closure and timing ECO. In-depth studies on ETS, PrimeTime & Dorado let us understand the can/cannot of the tools.

• Proven-in-silicon workarounds are efficient for a faster timing closure. 3 solutions are shared in this paper to solve the left-over issues from PrimeTime ECO tool.

• One of the solutions(Upsizing DFF) will be Enhancement features in future PrimeTime release(2013.06).

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 2

Page 3: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

Agenda

• Challenges for SOC Timing Closure

• Who Can Help on STA?

• Who Can Help on Timing ECO?

• Solutions with Clock Path ECO

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 3

Page 4: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

Challenges for SOC Timing Closure

• ASIC design size increasing exponentially every year.

• 20 million instance designs last year. 65 million instance designs this year.

• With 32nm & less technology, temperature inversion and power related analysis bring in more PVT corners for timing STA.

• One ECO loop can take 3~5 days.

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 4

Page 5: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

Who Can Help on STA --- ETS & PrimeTime

• ETS(Encounter Timing System) --- Cadence timing signoff tool

• PrimeTime --- Synopsys timing signoff tool

• ETS & PrimeTime are both silicon-proven timing signoff tools.

• ETS & PrimeTime can work interleave with implementation tools from other companies.

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 5

Page 6: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

ETS vs. PrimeTime on Constraints

• The sdc coding style for clock-group related constraints matters to ETS & PrimeTime.

• ETS will interpret differently than PrimeTime on some clock-group definition.

• PrimeTime is more robust than ETS on this.

• With different clock-group understandings, ETS & PrimeTime will give out different sets of report.

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 6

Page 7: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

ETS vs. PrimeTime on Design Slack

• If feed with the same quality(good) constraints, slack difference between ETS vs. PrimeTime DMSA --- 70ps with setup, 20ps with hold

• PrimeTime is more pessimistic than ETS.

• In some case ETS cannot report max_tran violation which PrimeTime reported.

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 7

Page 8: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

ETS vs. PrimeTime on ECO

• PrimeTime DMSA can generate ECO.tcl for setup/hold/max_trans/max_fanout/max_cap

• DMSA MCMM features very helpful on timing closure with PVT corners/modes

• ETS ECO feature is under-construction. Hold time ECO is in place. No setup ECO.

• ETS is about 2~4 years behind PrimeTime on timing ECO.

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 8

Page 9: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

Who Can Help on Timing ECO --- PrimeTime & Dorado

• PrimeTime is with fix_eco_timing & fix_eco_drc

• Same license as PrimeTime & PrimeTime-SI

• Dorado is a 100% ECO tool.

• Dorado does not have build-in timing engine.

Instead, it has ECO-specific engine.

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 9

Page 10: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

Dorado in ECO

• Dorado is with ECO for: setup/hold/max_trans/max_cap/max_fanout

• Dorado can generate eco.tcl & eco.def. The incremental .def file will serve as guidance for BackEnd tool ECO legalization, which increase the possibility of ECO process convergence.

• Clock ECO is the highlight for this tool.

• Remove buffers for setup fix.

• A new tool means the tool setup overhead efforts for design team.

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 10

Page 11: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

PrimeTime in ECO

• fix_eco_timing can do: -- on Data Path 21

o upsize/downsize cells

o insert buffer

• fix_eco_timing cannot do:

o remove cells.

o change clock path(Clock Path 1 & Clock Path 2)

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 11

Page 12: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

PrimeTime DMSA ECO --- Can & Cannot

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 12

DFF 2 DFF 1 Data Path 21

Clock Path 2 Clock Path 1

Page 13: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

PrimeTime DMSA ECO --- fixed & left over

• Hold Violations : – 99 ~ 100%

– good job !

• setup Violations : – 85 ~ 90%

– DMSA ECO did very good job on cell-sizing base on MCMM timing analysis.

– But launch/capture clock path are untouched by the tool.

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 13

Page 14: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

Before fix_eco_timing:

Setup violations --------------------------------------------------------- Total reg->reg reg->out in->reg in->out --------------------------------------------------------- C2C * -> * WNS -1.45 -1.45 -0.03 0.00 0.00 TNS -390.27 -390.24 -0.03 0.00 0.00 NUM 2788 2787 1 0 0 --------------------------------------------------------- Hold violations --------------------------------------------------------- C2C * -> * WNS -0.78 -0.78 0.00 -0.53 0.00 TNS -12.34 -5.98 0.00 -6.36 0.00 NUM 44 21 0 23 0 ---------------------------------------------------------

After fix_eco_timing:

Setup violations --------------------------------------------------------- Total reg->reg reg->out in->reg in->out --------------------------------------------------------- C2C * -> * WNS -1.45 -1.45 0.00 0.00 0.00 TNS -11.64 -11.64 0.00 0.00 0.00 NUM 376 376 0 0 0 --------------------------------------------------------- No hold violations found

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 14

Page 15: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

How to fix the DMSA ECO left over violations ?

• No room for Data Path 21 cell-sizing. MCMM mode DMSA ECO did better job than human in cell-sizing.

• PT_ECO consider DFFs as part of clock network, since the DFF/CK pin is the end point of the clock tree.

• PT_ECO cannot touch the clock path cells. So, DFF2 cell is not upsized by the PT tool.

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 15

Page 16: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

Solution I with Clock Path ECO -- Upsizing DFFs

• Upsize the DFFs will benefit the setup fix in the following ways: – DFF component delay # will improve. – New upsized DFF improves the transition delay for

downstream cells, which give possibility for another round of PT_ECO run to optimize the data path cells.

• So we plan to do: upsizing DFF + additional PT_ECO run

• Enhancement to fix_eco_timing to allow DFF sizing will be add into future PrimeTime release(2013.06). Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16

Page 17: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

Solution II with Clock Path ECO -- Clock tree re-stitching

• Clock skew borrowing for left-over setup fix.

• 1st level & 2nd level(~10% chance) borrowing.

• By re-arrange the launch/capture clock to the same CTS branch, we can get the extra CRPR bonus for this in-depth study re-stitching.

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 17

Page 18: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

2nd Level Setup Margin Borrowing

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 18

DFF3 DFF2 DFF1

1 2 3 5 4 8 7 6 9 10 11 12

Page 19: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

Extra bonus for CRPR with Clock Tree Re-stitching

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 19

DFF 2 DFF 1

Re-stitching

Page 20: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

Solution III with Clock Path ECO --- Clock tree in-law unit

• Utilize PD tool(ICC or Encounter) to build the in-law ECO clock structure.

• Targeting insertion # is pre-calculated.

• May need two round of spef->ECO to get the right insertion #.

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 20

Page 21: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

Questions

&

Comments

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 21

Page 22: Find EDA Tools for A Faster Timing Closure with ECO & Clock … · 2017-06-30 · Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 16 . Solution II with Clock

THANKS

Find EDA Tools for A Faster Timing Closure with ECO & Clock Path ECO 22