environment science

11
Journal of Loss Prevention in the Process Industries 15 (2002) 65–75 www.elsevier.com/locate/jlp A review of uses, environmental hazards and recovery/recycle technologies of perfluorocarbons (PFCs) emissions from the semiconductor manufacturing processes Wen-Tien Tsai * , Horng-Ping Chen, Wu-Yuan Hsien Department of Environmental Engineering and Health, Chia Nan University of Pharmacy and Science, Tainan 717, Taiwan, ROC Abstract Perfluorocarbons (PFCs) are being used as etching/cleaning gases in microelectronic or semiconductor manufacturing processes. These compounds under industrial uses mainly include CF 4 (tetrafluorocarbon), C 2 F 6 (hexafluoroethane), C 3 F 8 (octafluoropropane), and cyclo-C 4 F 8 or c-C 4 F 8 (octafluorocyclobutane). From the globally environmental issues and regulatory points of view, it is urgent to control the emissions of these significant greenhouse gases from the industrial processes. This article reviews these PFCs in terms of physiochemical properties, industrial uses, and environmental hazards (e.g. global warming, and toxicity and exposure hazards). Further, it addresses some available recovery/recycle technologies (i.e. cryogenic condensation/distillation, pressure swing adsorption, and membrane separation) of process exhaust gases containing PFCs from semiconductor manufacturing processes in this review paper. 2002 Elsevier Science Ltd. All rights reserved. Keywords: Perfluorocarbons (PFCs); Property; Environmental hazard; Semiconductor manufacturing; Recovery/recycle technology 1. Introduction Certain gases in the tropospheric atmosphere that have the ability to absorb infrared (IR) radiation and bring out temperature enhancement are called greenhouse gases. These gases include carbon dioxide, methane, nitrous oxide and a variety of volatile organic compounds (VOCs). In the 1980s and 1990s, the emissions of highly radioactively active gases including chlorofluorocarbons (CFCs), hydrochlorofluorocarbons (HCFCs), hydrofluo- rocarbons (HFCs), and perfluorocarbons (PFCs) were discovered to be fast increasing like carbon dioxide. These anthropogenic compounds are emitted into the atmosphere that may increase the absorption of the out- going IR radiation from the earth’s surface and translate it to heat. If the heat loss from the earth’s surface is retarded, the phenomenon is called the greenhouse effect or global warming. Therefore, the earth’s temperature, climate and ecosystem may be negatively affected (Wallington et al., 1994). * Corresponding author. Tel.: +886-6-266-4911; fax: +886-6-266- 7323. E-mail address: [email protected] (W.-T. Tsai). 0950-4230/02/$ - see front matter 2002 Elsevier Science Ltd. All rights reserved. PII:S0950-4230(01)00067-5 PFCs are extremely stable compounds with unique physical and chemical properties that make them use- fully suited for some specialized applications. They are heavy, inert, non-toxic, and non-flammable materials. The major applications of PFCs are: (1) semiconductor manufacturing processes (e.g. CF 4 ,C 2 F 6 ,C 3 F 8 , and c- C 4 F 10 ) (Hawkinson & Korpela, 1998); (2) fire sup- pression agents (e.g. n-C 4 F 10 , n-C 5 F 12 , and n-C 6 F 14 ) (Robin, 1995); (3) precision cleaning solvents (e.g. n- C 6 F 14 ) (Owens, 1999; Stone & Springer, 1995); (4) heat transfer fluids or coolant (e.g. perfluoro-1,3- dimethylcycloexane) (Smart & Fernandez, 1994); (5) atmospheric tracers (e.g. perfluoromethylcyclopentane, and perfluoromethylcyclohexane) (Straume, Dietz, Koffi, & Nodop, 1998). It is noted that aluminum-smelt- ing process is also the major generation source of CF 4 and C 2 F 6 in industrial applications (Weston, 1996). Due to their chemical stability and strong absorption in the radioactively important window of the IR region, PFCs are thus considered as efficient greenhouse gases. Among the PFCs, the light PFCs (i.e. CF 4 and C 2 F 6 ) are the most potentially damaging due to their long atmos- pheric lifetimes; e.g. CF 4 and C 2 F 6 have been estimated to have an atmospheric lifetimes of 50,000 and 10,000 years, respectively (Johnson, Entley, & Maroulis, 2000).

Upload: phyuvaraj

Post on 26-Nov-2015

25 views

Category:

Documents


5 download

DESCRIPTION

for application of science

TRANSCRIPT

  • Journal of Loss Prevention in the Process Industries 15 (2002) 6575www.elsevier.com/locate/jlp

    A review of uses, environmental hazards and recovery/recycletechnologies of perfluorocarbons (PFCs) emissions from the

    semiconductor manufacturing processesWen-Tien Tsai *, Horng-Ping Chen, Wu-Yuan Hsien

    Department of Environmental Engineering and Health, Chia Nan University of Pharmacy and Science, Tainan 717, Taiwan, ROC

    Abstract

    Perfluorocarbons (PFCs) are being used as etching/cleaning gases in microelectronic or semiconductor manufacturing processes.These compounds under industrial uses mainly include CF4 (tetrafluorocarbon), C2F6 (hexafluoroethane), C3F8 (octafluoropropane),and cyclo-C4F8 or c-C4F8 (octafluorocyclobutane). From the globally environmental issues and regulatory points of view, it is urgentto control the emissions of these significant greenhouse gases from the industrial processes. This article reviews these PFCs interms of physiochemical properties, industrial uses, and environmental hazards (e.g. global warming, and toxicity and exposurehazards). Further, it addresses some available recovery/recycle technologies (i.e. cryogenic condensation/distillation, pressure swingadsorption, and membrane separation) of process exhaust gases containing PFCs from semiconductor manufacturing processes inthis review paper. 2002 Elsevier Science Ltd. All rights reserved.

    Keywords: Perfluorocarbons (PFCs); Property; Environmental hazard; Semiconductor manufacturing; Recovery/recycle technology

    1. Introduction

    Certain gases in the tropospheric atmosphere that havethe ability to absorb infrared (IR) radiation and bring outtemperature enhancement are called greenhouse gases.These gases include carbon dioxide, methane, nitrousoxide and a variety of volatile organic compounds(VOCs). In the 1980s and 1990s, the emissions of highlyradioactively active gases including chlorofluorocarbons(CFCs), hydrochlorofluorocarbons (HCFCs), hydrofluo-rocarbons (HFCs), and perfluorocarbons (PFCs) werediscovered to be fast increasing like carbon dioxide.These anthropogenic compounds are emitted into theatmosphere that may increase the absorption of the out-going IR radiation from the earths surface and translateit to heat. If the heat loss from the earths surface isretarded, the phenomenon is called the greenhouse effector global warming. Therefore, the earths temperature,climate and ecosystem may be negatively affected(Wallington et al., 1994).

    * Corresponding author. Tel.: +886-6-266-4911; fax: +886-6-266-7323.

    E-mail address: [email protected] (W.-T. Tsai).

    0950-4230/02/$ - see front matter 2002 Elsevier Science Ltd. All rights reserved.PII: S0950- 42 30 (01)00 06 7- 5

    PFCs are extremely stable compounds with uniquephysical and chemical properties that make them use-fully suited for some specialized applications. They areheavy, inert, non-toxic, and non-flammable materials.The major applications of PFCs are: (1) semiconductormanufacturing processes (e.g. CF4, C2F6, C3F8, and c-C4F10) (Hawkinson & Korpela, 1998); (2) fire sup-pression agents (e.g. n-C4F10, n-C5F12, and n-C6F14)(Robin, 1995); (3) precision cleaning solvents (e.g. n-C6F14) (Owens, 1999; Stone & Springer, 1995); (4) heattransfer fluids or coolant (e.g. perfluoro-1,3-dimethylcycloexane) (Smart & Fernandez, 1994); (5)atmospheric tracers (e.g. perfluoromethylcyclopentane,and perfluoromethylcyclohexane) (Straume, Dietz,Koffi, & Nodop, 1998). It is noted that aluminum-smelt-ing process is also the major generation source of CF4and C2F6 in industrial applications (Weston, 1996).

    Due to their chemical stability and strong absorptionin the radioactively important window of the IR region,PFCs are thus considered as efficient greenhouse gases.Among the PFCs, the light PFCs (i.e. CF4 and C2F6) arethe most potentially damaging due to their long atmos-pheric lifetimes; e.g. CF4 and C2F6 have been estimatedto have an atmospheric lifetimes of 50,000 and 10,000years, respectively (Johnson, Entley, & Maroulis, 2000).

  • 66 W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

    Although the net contribution of PFCs to anthropogenicsources of global warming may be small, reduction stra-tegies for their emissions should be developed. The thirdsession of the United Nations Framework Conventionon Climate Change (UNFCCC) was held in Kyoto inDecember 1997 (Sekiya & Misaki, 2000), where PFCswere included into the basket of the six major green-house gases for negotiation. According to the KyotoSummit, a voluntary reduction goal of PFCs is scheduledin the 20082012 timeframe (Beu & Brown, 1999;Ohgita, 2000). Obviously, the capture (recovery/recycle)and destruction technologies of PFCs emissions,especially used for plasma chamber cleaning and plasmaetching in the semiconductor manufacturing processes,will become more important.

    There are many approaches to reduce and eliminatePFCs emissions from industrial processes. Four potentialmethods are as follows (Hoover, 1999; Mocella, 1997;Streif, DePinto, Dunnigan, & Atherton, 1997; Williams,1997): (1) recovery/recycle (capture/recycle) of PFCs;(2) conversion of PFCs to non-PFCs(abatement/destruction); (3) process optimization usingreconfiguration and endpoint detection; (4) replacementof PFCs with non-PFCs (alternative chemistries).Reduction method based on replacements for PFCs hasbeen developed. Currently, there has been some develop-ment work with nitrogen trifluoride (NF3), chlorine tri-fluoride (ClF3), carbonylfluoride (COF2), cyclic perflu-orinated ether (i.e. C4F8O), trifluoroacetic anhydride(TFAA), iodofluorocarbons (IFCs), perfluoro-2-butene(i.e. 1-C4F8) to replace PFCs (i.e. CF4 and C2F6) frometching processes and/or plasma enhanced chemicalvapor deposition (PECVD) chamber cleaning processes(Chiarello, 2001; Hoover, 1999; Kang, Miura, Kitamura,Nakata, & Sekine, 2001; Karecki, Pruette, & Reif, 1997;Mitsui, Kosano, Takaichi, Beppu, & Sekiya, 2001;Pauly-Hyslop, 1999; Pruette et al., 1999). However,these alternative chemicals are more expensive thanCF4/C2F6, and have potential hazards (e.g. highly toxicand reactive) in use. Notably, the replacement of otherPFCs (i.e. C3F8 and c-C4F8) for CF4/C2F6 in cleaning ofchemical vapor deposition (CVD) chambers has led tosignificant emission reduction (Mocella, 2001; Sun,Bennett, Zazzera, & Reagen, 1998; Wang et al., 2001;Williams, 1997; Zazzera et al., 1999). The process opti-mization is perhaps the most immediate method for thereduction of PFCs emissions. The principle of thisapproach is based on the reduced usage of affectedgases, which can be accomplished by efficient chamberclean process and improved endpoint detection in CVDclean operations (Johnson et al., 2001; Kesari, Zazzera,Tousignant, Reagen, & Bach, 1999; Maroulis, Langan,Johnson, Ridgeway, & Withers, 1994; Namose, 2001;Streif et al., 1997; Williams, 1997). This way of pre-venting overetch uses the etching gases more efficiently,resulting in lower PFCs gases usage. However, overetch

    may be necessary in some application. In addition, PFCsreduction through process and hardware optimization isnot widely practiced due to its high capital costs(Hoover, 1999). PFCs can also be destroyed by differenttypes of destruction or abatement such as thermaldestruction (Lin, Shu, Shih, Tang, & Chiu, 2001;McNabb & Bischke, 1998; Pierce & Van Gompel, 1997;Van Gompel & Walling, 1997; Takase, 2001), catalyticdecomposition (Bhatnagar et al., 1999; Takita et al.,1999), plasma abatement (Chang & Yu, 2001; Chen,David, Tiers, & Schroepfer, 1998; Hartz, Bevan, Jack-son, & Wofford, 1998; Vartanian et al., 2000; Wofford,Jackson, Hartz, & Bevan, 1999; Worth, 2000), electro-chemical reduction (Taylor-Smith, 1999) and otheradvanced or combined abatement processes (Cheng,Holber, Basnett, & Smith, 1999; Hayashi et al., 2001;Lee, Chang, Yu, & Wei, 2001). However, all the avail-able destruction/abatement methods are quite costly andare the least desirable for the environment (e.g. toxicfluoride gases and NOx formed) (Hoover, 1999). Fig. 1shows various PFCs add-on-control technologies.

    Although the recovery/recycle andabatement/destruction methods are not the bestapproaches for PFCs emissions control, these controltechnologies are currently the most available and cost-efficient ways for treating PFCs gases from emissionsof industrial processes (Hoover, 1999; Tom, McManus,Knolle, & Stoll, 1994). This paper aims to present thecommon physicochemical properties, uses, and environ-mental hazards (e.g. global warming, and toxicity andexposure hazards) of PFCs used in two important sem-iconductor manufacturing steps: plasma etching thin-film(dry etching) and cleaning CVD chambers. The PFCsgases thus discussed in this article are CF4(tetrafluoromethane), C2F6 (hexafluoroethane), C3F8(octafluoropropane), and octafluorocyclobutane (c-C4F8).The article further reviews the recovery/recycle techno-logies (non-destructive) for PFCs emissions includingcryogenic condensation/distillation, pressure swing(activated carbon) adsorption, and membrane separation.

    2. Properties and industrial uses of PFCs

    Prior to mid-1990s, PFCs such as CF4 and C2F arecommonly used during integrated circuit (IC) manufac-turing processes, which include plasma etching (dryetching) and CVD chamber cleaning (Flamm, 1993;Hawkinson & Korpela, 1998; Sawchyn, 1995). Due tothe effect of these compounds on global warming, onApril 23, 1999, the World Semiconductor Councilagreed to reduce the PFCs emissions from semiconduc-tor fabrication facilities by more than 10% from thebaseline year by the year 2010. One of the alternativesfor reducing these PFCs gases is the use of alternativePFCs. It has been recognized that some PFCs have been

  • 67W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

    Fig. 1. Control technologies for PFCs emissions.

    used as replacements for CF4 and C2F6, because theypose many similar physiochemical properties but withlower atmospheric lifetimes and more efficientetching/cleaning. These replacements for CF4/C2F6mainly include C3F8 and c-C4F8.

    2.1. Properties

    The physical properties of PFCs are similar to thoseof HFCs (Schwertfeger, 1988; Smart & Fernandez,1994). Their physical properties differ from those of thecorresponding hydrocarbons; i.e. densities, compress-ibilities and viscosities are higher, whereas dielectricconstants, refractive indices and surface tensions arelower. The main physical properties of these PFCs listedin Table 1 are mainly compiled from many availablebooks and journals (Brookman & Tibbott, 1998; Daub-ert & Danner, 1989; Downing, Mader, & Tomkowit,1985; Kao & Miller, 2000; LAIR LIQUIDE, 1976;Lewis, 2000; Lide, 2000; Poling et al., 2000; Smart &Fernandez, 1994). In contrast, the chemical propertiestend to have chemical stability due to the presence offluorine atoms in the molecules. For example, PFCs arenot affected by acids or oxidizing agents and are nothydrolyzed below 500C (Smart & Fernandez, 1994).In addition to their chemical inertness, PFCs are alsocharacterized by high thermal stability. CF4 decomposesslowly at carbon arc temperatures, and it does not reactwith some transition metals at 900C. Although PFCswith more carbon atoms (i.e. C2F6 or C3F8) are less ther-mally stable, temperatures above 1000C are stillrequired to destruct them.

    2.2. Uses

    Prior to mid-1990s, the most commonly used PFCs insemiconductor industry were CF4 and C2F6 for the pur-pose of dry etching and CVD chamber cleaning. Due tothe reduction goals of these gases by the Kyoto Protocol,several PFCs have been used as substitutes for CF4 andC2F6. These substitutes include C3F8 and c-C4F8. In Tai-wan, the rapid growth of the semiconductor manufactur-ing industry has resulted in the generation of the largequantities of PFCs emissions since mid-1990s. Accord-ing to the data of Taiwan Semiconductor IndustryAssociation (TSIA) (Yu, 1999), the total procurementquantity of these PFCs has exceeded 246 metric tons in1997. For further description for PFCs uses in semicond-uctor manufacturing steps (i.e. thin-film etching andCVD chamber cleaning), the process used to form ICson the wafer is illustrated in Fig. 2 (Foecke, 1988; Hess,2000; Mattrey, Sherer, & Miller, 2000).

    2.2.1. Thin-film etching (plasma etching)Etching either chemical solution (wet) or reactive gas

    plasma (dry) is the process of removing exposed SiO2thin-film in the pattern formed by photoresist exposureand development. Dry etching provides a higher resol-ution than wet etching and therefore is increasinglybeing employed as circuit elements become smaller(Hess, 2000). The plasma is generated by applyingmicrowave or radio-frequency excitation. The etchinggas (i.e. PFCs gases) becomes ionized particles (i.e. elec-tron, charges ions, neutral atom and moleculefragments). The plasma species physically or chemicallyinteract with solid surface materials (i.e. photoresist, sili-

  • 68 W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

    Table 1Physical properties of PFCs used in the semiconductor manufacturing processes (Brookman & Tibbott, 1998; Daubert & Danner, 1989; Kao &Miller, 2000; LAIR LIQUIDE, 1976; Lewis, 2000; Lide, 2000; Poling, Prausnitz, & OConnel, 2000; Smart & Fernandez, 1994)

    Property Units CF4 C2F6 C3F8 c-C4F8

    CAS number 75-73-0 76-16-4 79-19-7 115-25-3Molecular weight g/mol 88.01 138.01 188.03 200.03Boiling point at 1 atm C 128 78.2 36.7 6.0Freezing point C 186.8 100.7 183.0 40.2Critical temperature C 45.6 19.7 71.9 115.2Critical pressure MPa 3.74 2.99 2.68 2.32Critical volume l/g 1.59103 1.645103 1.59103 1.624103Critical compressibility factor 0.277 0.274 0.279 0.279Liquid molar volume at boiling point l/mol 0.054773 0.086369 0.117173 0.123887Acentric factor 0.1855 0.2452 0.3264 0.3557Dipole moment C m 0.0 0.0 a 0.0van der Waals volume l/mol 0.02733 0.04266 0.05799 0.06132van der Waals area m2/mol 4.6105 6.9105 9.2105 9.2105Refractive index 1.151 (73C) 1.206 (73C) 1.217 (25C)Viscosity of gas (25C, 1 atm) cp 0.0170 0.0144 0.01454 0.01168Thermal conductivity of gas (25C, 1 atm) J/s cm C 1.80104 1.62104 1.38104 Solubility in water mole/l 1.70104 Solubility parameter at boiling point (J/m3)1/2 1.3834104 1.2956104 1.2303104 1.3018104Heat of vaporization at boiling point kJ/kg 135.9 117.0 104.8 116.1Vapor pressure coefficientb Pa A 52.636 54.249 36.057 58.646B 2184.2 2830.5 3026.1 4378.3C 5.2422 5.6610 2.1484 5.5054D 3.9631015 8.4574103 3.60881017 8.39961017E 6.0 1.0 6.0 6.0

    a No available data.b Vapor pressure (Ps, Pa) correlated as a function of temperature (T, K) as follows: ln(Ps)=A+B/T+C ln T+DTE.

    Fig. 2. Generalized process diagram for semiconductor (integrated circuit) fabrication.

  • 69W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

    con layers or SiO2 layers) forming volatile products thatare then removed from the wafer surface (Mattox, 1997).Typical emissions from the plasma etching process areetchant gases such as Cl2, BCl3, C2F6, CF4, CHF3, C3F8,NF3, SF6, CH3F, and HF (Mattrey et al., 2000). This isbecause the conversion based on these reactants fed totools is typically low.

    2.2.2. Chemical vapor deposition (CVD)The CVD process consists of placing silicon wafers

    into a plasma-enhanced reactor chamber (so-calledPECVD) where process gases are then introduced andheated to a high temperature. This induces a series ofchemical reactions that result in the deposition of thedesired epitaxial layer on the wafer substrates (Mattox,1997; Sawchyn, 1995). The gases used in the CVD reac-tor include Ar, N2, WF6, H2, NH3, SiH4, SiCl4, SiF4,B2H6 and so on. It is noted that the majority of emissionsare still feed gases because of the low conversion ofthese reactants in the deposition processes (Mattrey etal., 2000). Further, by-products of the reactions(typically SiO2) associated with CVD processing coatthe walls of the vacuum chamber. Therefore, CVD reac-tor chamber cleaning is then applied by introducing cle-aning gases (i.e. CF4 and C2F6), because they are non-toxic, non-corrosive cleaning gases which are safe tohandle, and effective cleaners resulting form the strongaffinity of silicon to the excited fluorine radical and thevolatility of the product SiF4 (Pauly-Hyslop, 1999). Themain principle of cleaning the coating is to give plasmasgenerated by radio-frequency power technique so thatthe free radicals thus ionized can react with the by-pro-ducts for dislodging surface atoms and molecules. How-ever, this chamber cleaning process is also low-yieldreactions and thus emits 6070% of them (Hoover,1999).

    3. Environmental hazards of PFCS

    The fact that any organic chemical has more or lesspotential to impact the environment and human healthhas been known in spite of their extremely attractiveproperties such as chemical stability, zero ozonedepletion potential (ODP), non-flammability, and lowtoxicity compared to corresponding chemicals. PFCsinherently exhibit different environmental hazards, suchas global warming, similar to HFCs (Hayman &Derwent, 1997; Naik, Jain, Patten, & Wuebbles, 2000).Table 2 summarizes main environmental hazards forPFCs discussed in the present paper.

    3.1. Global warming

    Obvious increases in greenhouse gas emissions haveled to a warming of the earths surface and therefore

    aggravate global climate change, because these gasessuch as CO2, CH4 and other anthropogenic organics (e.g.PFCs) are excellent absorbers of IR radiation. On theother hand, PFCs are also very stable, extremely non-soluble gases that are negligibly removed from the tro-pospheric atmosphere and have long lifetimes are shownin Table 2. For instance, the atmospheric lifetimes ofCF4 and C2F6 are 50,000 and 10,000 years, respectively.The Intergovernmental Panel on Climate Change (IPCC)has adopted the use of a 100 year time horizon to expressthe Global Warming Potential (GWP) of PFCs relativeto CO2 (i.e. GWP of CO2=1). It estimates the GWP forPFCs to be about 70009000 times larger than that ofCO2 (Ohgita, 2000). PFCs have relatively large valuesof GWP compared to those of HCFCs, because thesecompounds have greater reactivity toward hydroxyl rad-icals and hence a shorter atmospheric lifetime (Naik etal., 2000; Tsai, 2002).

    3.2. Toxicity, and exposure hazards

    Due to their physiochemical properties, there is a verylow toxicity for PFCs. Also, no significant bioaccumul-ation or microbiological process is known that coulduptake or destruct PFCs in soils or plants at an appreci-able rate. Briefly, PFCs are essentially non-toxic(Smart & Fernandez, 1994), and primarily reflect simpleasphyxiation by oxygen displacement and defatting skinfrom excessive concentrations of c-C4F8 have reportedlycaused slightly transient effect (Lewis, 2000). Ratsexposed to 80% c-C4F8 and 20% oxygen for four hoursshowed only slight effects on respiration, but no patho-logical changes in organs (McKusick, 1988). It isexpected that government and industrial parties do notmandate the approximate lethal concentrations andexposure limits of these PFCs, as shown in Table 2.However, there is an exception as in the case of c-C4F8(ILO, 1991). Further, c-C4F8 must report in Toxic Sub-stances Control Act (TSCA) inventory according to theUS EPA regulation (Lewis, 2000).

    4. Recovery/recycle technologies for PFCSemissions

    There are many different approaches available to con-trol PFCs emissions from semiconductor manufacturingprocesses. These approaches are basically classified intotwo different techniques: (1) process/equipment andmaterial modification, and (2) add-on-control techniques(Khan & Ghoshal, 2000). In the first method, sourcereduction of PFCs emissions are achieved by modifyingthe process equipment, raw material substitution, and/orchange of process (Streif et al., 1997; Pauly-Hyslop,1999), while in the other class an additional controlmethod has to be adopted to regulate emissions by

  • 70 W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

    Table 2Environmental hazards of PFCs used in the semiconductor manufacturing processes (Daubert & Danner, 1989; Hartz et al., 1998; ILO, 1991;Robin, 1995)

    PFCs Atmospheric ODPa GWPb Flash point Flammability Autoignition Exposure limitlifetime (year) limits temperature

    CF4 50,000 0 6500 c NAdC2F6 10,000 0 9200 NAC3F8 2600 0 7000 NAc-C4F8 3200 0 8700 200 mg/m3e

    a Ozone depletion potential (relative to ODP of CFC 11=1).b Global warming potential with 100-year time horizon (relative to GWP of CO2=1).c Property inappropriate for this substance.d Not available data.e Hungarys occupational exposure limit based on 8-h time-weighted average (ILO, 1991).

    capture/recovery or abatement/destruction ways(Hoover, 1999; Worth, 2000). Although the former isthe most effective and efficient method, its applicabilityis somewhat limited due to the cost and the difficulty inthe modification of process equipment and the potentialhazards of material substitution. The techniques in thesecond method can be further classified into two groups:namely, the abatement/destruction and therecovery/recycle (non-destruction). The article furtherdescribes the applicability of recovery/recycle techno-logies in subsequent sections.

    Common technologies for recovery/recycle of organicgases are condensation, absorption, adsorption, andmembrane separation (Khan & Ghoshal, 2000; Ruddy &Carroll, 1993; Spivey, 1988). Absorption involves thetransfer of the target organics from a waste gas streamto a suitable liquid solvent (generally, water) in whichthe organics are soluble. Obviously, it is not practicalfor the removal of PFCs by this approach due to theextremely low solubility in aqueous solution. Availabletechnologies for recover/recycle of PFCs such as cryo-genic condensation, adsorption, and membrane separ-ation are listed in Table 3 and discussed later in thispaper.

    4.1. Cryogenic condensation/distillation

    Condensation is the process of over-saturation whichis practically achieved by chilling of organics-containingwaste gas stream to a saturated liquid state through heattransfer. However, this technology is most efficient fororganic gases/vapors with boiling points above about40C and relatively high concentrations above5000 ppmv (Spivey, 1988). On the other hand, organicswith low boiling must require extensive cooling or cryo-genic condensation with liquid nitrogen (at 196C)cooling system (Herzog, 1994), which is also recognizedas one opportunity for separation technologies (Sikdar,Burckle, & Rogut, 2001). According to the publishedreport (Hoover, 1999), the cryogenic capture/distillation

    system consists of multiple units. The first unit (so-calledpretreatment) is to remove the moisture, carbon dioxide,residual silane, and other toxic/corrosive componentsfrom the process exhaust. Then, the treated gas streamis pumped to a cryogenic system to capture over 99.9%PFCs in the liquid phase and to allow removal of theatmospheric components such as N2 and O2. Thereafter,the concentrated PFCs are continuously routed to a batchholding tank, where the concentrated PFCs soup is thentransferred to a batch distillation unit. The recycle loopis completed as the major PFCs components such as CF4,C2F6, and C3F8 are purified for recycle/reuse.

    4.2. Pressure swing adsorption

    The adsorption process is perhaps the most commonmethod for the capture and recovery of organicvapors/gases, such as cleaning solvent vapors (Spivey,1988; Tsai, 2002). The principle of this approach ismainly based on the physical adsorption; namely, theinteraction between adsorbate and adsorbent. Generally,the process gas stream containing organic vapors/gases(i.e. adsorbate) is passed through a packed bed of porousmaterial (i.e. adsorbent), where organic molecules areheld onto the inner pore surface of the adsorbent by theweak van der Waals force of attraction. Thus, physicaladsorption is generally characterized by low heat ofadsorption and its adsorption equilibrium is reversibleand rapidly attained (Ruthven, 1984). Although activatedcarbon fiber and hydrophobic zeolite have been twoalternative adsorbents to replace activated carbon on themarket since 1980s (Kenson, 1985; Khan & Ghoshal,2000; Tsai, 2002), the granular carbon adsorbent is stillthe most suitable adsorbent for VOCs recovery appli-cation (Noll, Gounaris, & Hou, 1992; Ruhl, 1993). Ithas been recognized that VOC molecules are physicallyattracted and held to the microporous surface of the car-bon adsorbent because of its large surface area.

    According to the operation of the process, physicaladsorption is further classified into thermal swing

  • 71W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

    Table 3List of studies/reports on control technologies of PFCs emissions by recovery/recycle methods

    Authors Recovery/recycle PFCs and other target Related featuresmethods gases

    Hoover (1999) Cryogenic CF4, C2F6, C3F8, Prior to feeding into capture/recycle system, process vent is required toconcentration and CHF3, SF6 remove residual and toxic/corrosive gases. Pretreated stream is routeddistillation to a cryogenic wash unit to achieve 99.9% capture of PFCs in liquid

    phase, which is then transferred to a batch distillation column forpurification and reuse in recycle loop

    Tom et al. (1994) Adsorption (pressure CF4, C2F6, SF6 Dual bed adsorber, activated carbon as adsorbent. Pressured feed gasswing adsorption, into adsorpting bed, regenerative bed in the evacuated operation forPSA) concentration and recovery. Recycle streams are concentrated as 97%.

    Prototype system is developed by Novapure Co. (USA) and tested atAT&T facility (PA, USA), capable of recovering over 90% of C2F6 inthe feed stream

    Van Hoeymissen et al. Pressure swing C2F6 Effective at separating C2F6 from N2 in excess of 95% of PFCs. The(1997) adsorption recovery system is developed by IMEC Co. (Belgium) and added

    several improvements to increase efficiency such as pressureequalization and cocurrent product purge or rinse. Pretreatment unit ofgas reactor column is designed to remove particulates andtoxic/corrosive gases from process exhaust prior to feeding into PSAsystem

    Cummins et al. (1997) Membrane separation CF4, C2F6, SF6 Based on gas permeation in polymeric semi-permeable membrane.Scrubbing removal of hazardous air pollutant (e.g. SiH4) prior to thestaged/cascade PFCs concentration system. Original unit is developedby Air Liquide Co. (USA) and tested at Texas Instrument facility (TX,USA). Capture efficiencies as high as 98%, with PFCs concentrationsin the product stream above 99%

    Foder et al. (1999) Membrane separation CF4, C2F6, SF6, CHF3 Membrane-based recovery system is developed by Air Products andChemical Co. and test at one of Motorolas facility. Prior to feedinginto recovery system, process gas is first scrubbed/filtered and thencompressed to a multi-stage membrane separation unit. No observabledegradation of system performance during test operation. Over 90%recovery efficiency for feed C2F6, CF4, and SF6, (but less then 10% forCHF3)

    Hirayama et al. (1999) Membrane separation CF4, C2F6, C3F8, C4F8, Permeation properties by comparison with membranes mainly preparedCFCs, aliphatic by poly (ethylene oxide) (PEO), polyfluorononyl moieties (PF) andhydrocarbons, silicone rubber (SR). PEO membrane with good performance forinorganic gases separation of hydrocarbons and CFCs from N2 or PFCs

    adsorption (TSA) and pressure swing adsorption (PSA)based on a dual bed adsorber; namely, one of the bedswith the adsorption mode and the second bed with thedesorption (regeneration) mode (Yang, 1987). For mostapplications in activated carbon organic vapor recovery system, the organic vapor in the pretreated pro-cess stream (40C and 50% relative humidity) isadsorbed on the carbon surface until the carbon pores arealmost occupied in a packed-bed or rotary wheel systemcontaining activated carbon, and then the carbon adsorb-ent is commonly done by steam heating or hot inert gasflow for the recovery/reuse of the organics (Sikdar et al.,2001; Tsai, 2002). Although this operation (i.e. TSA) isan attractive option for capture/recovery of VOCs suchas solvent vapor, PSA operation is an available methodfor a variety of industrial applications in the fields ofboth gas separation and purification (Elli, Heggs, &Lawrie, 1993; Ruthven, 1984; Yang, 1987). Due to therelatively low boiling points (at 1 atm) of the PFC gases

    as shown in Table 1, the conventional adsorption processwith TSA operation is obviously ineffective. Thus, PSAprocess had tested for recovering PFC gases (Tom etal., 1994). Basically, PSA makes use of adsorption byemploying two columns of carbon adsorbent that operatecontinuously. In one-half cycle of a typical PSA process,basic steps include: (1) pressurization of column 1 fromthe feed gas, (2) adsorption of the more adsorbed compo-nents (i.e. PFC gases) from the feed gas, while inertgases (less adsorbed species; i.e. N2, H2 etc.) flowingthrough the column and out the vent, (3) depressuriz-ation in the direction opposite to the feed flow with thePFC gases leaving in the product stream, and (4) pro-duction of PFC gases from column 1 by means of coun-tercurrent purge or pressure reduction. Alternatively, thesame process steps are repeated for column 2. Withrespect to PFC gases purification, some improvementswere made to increase PSA performance. For instance,a pressure equalization step for the two columns, using

  • 72 W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

    a vacuum pump in the desorption step, and a somewhatcocurrent product purge or rinse (Van Hoeymissen, Dan-iels, Anderson, Fyen, & Heyns, 1997).

    4.3. Membrane separation

    This technology has been used successfully in therefining and petrochemical industrial process for manyyears to separate hydrogen from hydrocarbon streamsand in air separation applications (Koros, 1995). Morerecently, membrane-based separation for the recovery orconcentration of VOCs (e.g. CFCs) has been reported inthe past decade (Hirayama et al., 1999; Sikdar et al.,2001). However, this separation process is in the fieldof experimental or pilot-plant researches and has yet tobe fully commercialized (Khan & Ghoshal, 2000). Priorto the feeding of process gas stream into membrane sep-aration unit, the feed gas must be pretreated by particu-late filtration and demist, similar to otherrecovery/recycle processes (Khan & Ghoshal, 2000). Abrief principle of this method is as follows (Cummins etal., 1997).

    The transport of target gases through a semipermeablemembrane is governed by sorptiondiffusion mechanismor chemical potential driving-force, which arises from apartial pressure difference of the permeating componentsacross the polymer membrane; namely, target gases firstdissolve into the membrane and then diffusion throughit via an imparted pressure gradient. Membranes arecharacterized by flux and selectivity. Basically, transportflux of a given target gas is proportional to the partial-pressure driving-force difference across the membraneand inversely proportional to the effective thickness(0.2 m, for commercial membranes) of the selectivelayer of the membrane. This thickness generally is muchless than the overall thickness of the membrane. Selec-tivity or separation factor is the difference of transportrate between gas components. Generally, flux and selec-tivity are inversely proportional, so an offset balance ofproperties must be considered in designing a membraneseparation system. While flux is a main determinant ofmembrane and capital cost, selectivity largely influencesrecovered product purity and operating cost. In PFCsseparation applications, nitrogen is the fast permeatingcomponent and PFC gases are the slow non-permeablecomponents, because they have a relatively larger mol-ecular size (kinetic diameter) than nitrogen. Since theconcentrations of PFC gases in the process vents aremainly ranged between 0.01 and 5%, their partial-press-ure driving-force through the membrane is minimal, andconversely their recovery in the non-permeate stream ofthe membrane will be very high. Further, nitrogen is sep-arated from feed gas and thus recovered in the permeatestream at about atmospheric pressure and can be directlyvented without consumption of compression energy.

    The membranes most commonly used in commercial

    applications are non-porous and made of rubbery poly-mers or glassy polymers (Singh, 1998). Gas moleculeslike VOCs, which have a strong affinity (high solubility)for polymeric materials, permeate a rubbery polymericmembrane such as poly(dimethylsiloxane) and com-posite poly(ether imide) (PEI)-silicone rubber mem-branes faster than the relatively smaller O2 and N2 mol-ecules. Furthermore, solubility dominates the transportof target components across the rubbery membrane.Because glassy polymeric membrane has a characteristicof chain segment motions and can discriminate betweenrelatively small dimension differences of common gases(e.g. H2, CO2), transport in glassy polymeric membraneis mainly governed by the dimension of the moleculeand the diffusion across it. Currently, asymmetric gas-separation membrane with a polyimide (PI) hollow fibermodule type has been developed successfully for theconcentrations of organic vapors, chlorinated solvents,and CFCs (Hirayama et al., 1999). PI membranes havehigh chemical durability and thermal resistance.Notably, they have higher selectivity than other mem-branes for separations of common gases such as CH4N2, CH4H2, and CH4He (Singh, 1998).

    In the application of PFCs recovery, a pilot test withmembrane-based technology has been conducted at onesemiconductor manufacturing workshop to recover PFCsfrom the process exhaust (Foder, Wimmer, Yang, &McCay, 1999). Basic steps in this recovery system aredescribed as: (1) pretreatment of process exhaust con-taining PFCs, by-products, and N2 by wet scrubbing forthe removal of acid gases and two sets of filters for theremoval of particles; (2) feeding of the cleaned processstream into a multi-stage membrane separation unit byreciprocating compressor, additional moisture separatorsand coalescing filters to remove any entrained oil andwater before entering the membrane unit; (3) low-press-ure N2-rich vent stream further sent to the scrubbing sys-tem, while PFCs-rich product gas stream sent to theboost compressor for cylinder filling and PFCs thus reco-vered for reuse/recycle. Test data showed that the systemcan recover over 90% of the feed CF4 and C2F6 and itsperformance did not degrade during the test operation.

    5. Conclusions

    Although the etching/cleaning gases PFCs (i.e. CF4,C2F6, C3F8, and c-C4F8) discussed in this review paperhave significant characteristics of non-ozone-depletion-potential due to no release of the chlorine atom or radicalto the stratosphere, these compounds for the uses of sem-iconductor manufacturing have recently become a glo-bally environmental issue. A convention known as theKyoto Protocol has been negotiated that called for a pro-active reduction in these greenhouse gases emissionsbelow baseline year levels from developed countries by

  • 73W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

    20082012. For the prevention of global warming, theemissions of process vent gases containing PFCs needto be controlled and even recovered for reuse/recycle.Several reduction technologies including alternativechemistries, process optimization, abatement/destruction,and recovery/recycle are available in the semiconductorindustry since mid-1990s. Generally, recovery/recycletechniques are effective and also satisfy the pollutionprevention or clean technology approach without requir-ing process changes and additional pollution issues, andthus resulting in environmental performance advantagesover the other three approaches. However,abatement/destruction will be good option when PFCsrecovery/recycle approach is not practical in terms ofavailability, efficiency, and cost. Also, alternative chem-istry is another potent technology for the prevention ofPFCs emissions in the near future.

    References

    Beu, L., & Brown, P. B. (1999). An analysis of fluorinated compoundemissions reduction technologies and emission reduction goals.Electrochemical Society Proceedings, 99-8, 19.

    Bhatnagar, A., Kaushal, T., Wong, M., Chafin, M., Ramaswamy, K.,Moalem, M., Kats, S., & Shamouilian, S. (1999). Catalytic destruc-tion of PFC emission from semiconductor fabrication tools. Elec-trochemical Society Proceedings, 99-8, 95102.

    Brookman, R. P, & Tibbott, B. (1998). Gases and gas equipment. In R.A. Bolmen, Semiconductor safety handbook (pp. 448). Westweed(USA): NOYES (chap. 10).

    Chang, M. B., & Yu, S. J. (2001). An atmospheric-pressure plasmaprocess for C2F6 removal. Environmental Science & Technology,35 (8), 15871592.

    Chen, D. T., David, M. M., Tiers, G. V. D., & Schroepfer, J. N. (1998).A carbon arc process for treatment of CF4 emissions. Environmen-tal Science & Technology, 32 (20), 32373240.

    Cheng, X., Holber, W., Basnett, R., & Smith, D. (1999). Exhaust gasabatement using ASTROM a compact, low-field toroidalplasma source. Electrochemical Society Proceedings, 99-8, 7078.

    Chiarello, R. (2001). ESH issues make progress. Semiconductor Inter-national, 24 (March), 8188.

    Cummins, W. R., Dupuis, G., Kesari, S., Miner, D., Trilli, K., & Flem-ing, G. (1997). The future of perfluorocarbon capture and recycling:Membrane technology. Semiconductor International, 20 (July),265272.

    Daubert, T. E., & Danner, R. P. (1989). Physical and thermodynamicproperties of pure chemicals. New York: Hemisphere.

    Downing, R. C., Mader, F. W., & Tomkowit, T. W. (1985). Fluorocar-bons. In (pp. 216). J. J. McKetta, & W. A. Cunningham, Encyclo-pedia of chemical processing and design, 23. New York: MarcelDekker.

    Elli, D. I., Heggs, P. J., & Lawrie, M. G. (1993). The removal of freon12 from air using pressure swing adsorption. Trans IchemE, 71(Part A), 169180.

    Flamm, D. L. (1993). Feed gas purity and environmental concerns inplasma etching-part 1. Solid State Technology, 36 (October), 4954.

    Foder, M., Wimmer, R., Yang, J., & McCay, T. (1999). Recoveryof perfluorocompounds (PFCs) from semiconductor manufacturingprocesses using a membrane-based system. ElectrochemicalSociety Proceedings, 99-8, 6069.

    Foecke, T. L. (1988). Waste minimization in the electronics productsindustries. JAPCA, 38 (3), 283291.

    Hartz, C. L., Bevan, J. W., Jackson, M. W., & Wofford, B. A. (1998).Innovative surface wave plasma reactor technique for PFC abate-ment. Environmental Science & Technology, 32 (5), 682687.

    Hawkinson, T. E., & Korpela, D. B. (1998). Chemical hazards in sem-iconductor operations. In R. A. Bolmen, Semiconductor safetyhandbook (pp. 163). Westweed (USA): NOYES (chap. 3).

    Hayashi, Y., Hirose, K., Kikuchi, T., Egami, A., Nakamura, M., &Sekine, M. (2001). PFC gases decomposition for semiconductorprocess using plasma-assisted catalytic technology. In InternationalSemiconductor Environment, Safety, and Health (ISESH) EighthAnnual Conference, 1820 June, Kenting, Taiwan.

    Hayman, G., & Derwent, R. D. (1997). Atmospheric chemical reac-tivity and ozone-forming potentials of potential CFC replacements.Environmental Science & Technology, 31 (2), 327336.

    Herzog, F. (1994). Solvent recovery and waste gas purification withcryogenic processes. In J. Hermia, & J. Chaouki, Characterizationand control of odours and VOC in the process industries (pp. 309).Amsterdam: Elsevier.

    Hess, P. F. (2000). Semiconductor manufacturing. In A. J. Buon-icore, & W. T. Davis, Air pollution engineering manual (pp. 294).New York: Van Nostrand Reinhold (chap. 9).

    Hirayama, Y., Tanihara, N., Kusuki, Y., Kase, Y., Haraya, K., & Oka-moto, K. (1999). Permeation properties to hydrocarbons, perfluor-ocarbons and chlorofluorocarbons of cross-linked membranes ofpolymethacrylates with poly(ethylene oxide) and perfluorononylmoieties. Journal of Membrane Science, 163, 373381.

    Hoover, C. A. (1999). Environmental impact of PFC abatement, cap-ture and recycle. SSA Journal, 13 (3), 2126.

    ILO (1991). Occupational exposure limits for airborne toxic sub-stances (3rd ed.). Geneva: ILO (International Labour Office).

    Johnson, A. D., Entley, W. R., & Maroulis, P. J. (2000). ReducingPFC gas emissions from CVD chamber cleaning. Solid State Tech-nology, 43 (December), 103114.

    Johnson, A. D., Maroulis, P. J., McGuigan, S. A., Mendicino, L.,Brown, P. T., Nauert, C., & Filipiak, S. (2001). Reducing PFCemissions generated from CVD chamber clean processes. In Inter-national Semiconductor Environment, Safety, and Health (ISESH)Eighth Annual Conference, 1820 June, Kenting, Taiwan.

    Kang, C. J., Miura, Y., Kitamura, A., Nakata, H., & Sekine, M. (2001).High performance oxide etching with low PFC emission using per-fluoro-2-butene gas. In International Semiconductor Environment,Safety, and Health (ISESH) Eighth Annual Conference, 1820June, Kenting, Taiwan.

    Kao, C., & Miller, R. N. (2000). Vapor pressures of hexafluoroethaneand octafluorocyclobutane. Journal of Chemical Engineering Data,45 (2), 295297.

    Karecki, S. M., Pruette, L. C., & Reif, L. R. (1997). Plasma etchingof silicon dioxide and silicon nitride with non-perfluorocompoundchemistries: Trifluoroacetic anhydride and iodofluorocarbons. Mat.Res. Symposium Proceedings, 447, 6774.

    Kenson, R. E. (1985). Recovery and reuse of solvents from VOC airemissions. Environmental Progress, 4 (3), 161164.

    Kesari, S., Zazzera, L., Tousignant, L., Reagen, W. K., & Bach, T.(1999). Process optimization and PFC emission reduction usingC3F8 chamber clean processes in AMAT P-5000 PECVD tools.Electrochemical Society Proceedings, 99-8, 3039.

    Khan, F. I., & Ghoshal, A. K. (2000). Removal of volatile organiccompounds from polluted air. Journal of Loss Prevention in theprocess Industries, 13, 527543.

    Koros, W. J. (1995). Membranes: Learning a lessom from nature.Chemical Engineering Progress, 91 (10), 6881.

    LAIR LIQUIDE (1976). Gas encyclopaedia. Amsterdam: Elsevier.Lee, H. M., Chang, M. B., Yu, S. J., & Wei, T. C. (2001). A new

    atmospheric-pressure PFC abatement process: Combined plasmacatalysis. In International Semiconductor Environment, Safety, and

  • 74 W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

    Health (ISESH) Eighth Annual Conference, 1820 June, Kenting,Taiwan.

    Lewis, R. J. Sr. (2000). Saxs dangerous properties of industrialmaterials. (10th ed.). New York: Wiley.

    Lide, D. R. (2000). CRC handbook of chemistry and physics. (81sted.). Boca Raton (USA): CRC Press.

    Lin, S. N., Shu, J. N., Shih, H. Y., Tang, K., & Chiu, C. L. (2001).On-site abatement efficiency evaluation of a thermal processingunit (TPU) for treating W-CVD exhaust gases. In InternationalSemiconductor Environment, Safety, and Health (ISESH) EighthAnnual Conference, 1820 June, Kenting, Taiwan.

    Maroulis, P., Langan, J., Johnson, A., Ridgeway, R., & Withers, H.(1994). PFCs and the semiconductor industry: A closer look. Sem-iconductor International, 17 (November), 107110.

    Mattox, D. M. (1997). Thin films. In (pp. 1040). J. I. Kroschwitz, &M. Howe-Grant, Kirk-Othmer encyclopedia of chemical technology(4th ed.), 23. New York: Wiley.

    Mattrey, J. F., Sherer, J. M., & Miller, J. D. (2000). Minimize emis-sions from semiconductor facilities. Chemical Engineering Pro-gress, 96 (5), 3541.

    McKusick, B. (1988). Toxicology and occupational health (Fluorinecompounds, organic). In (pp. 354). B. Elvers, J. F. Rounsaville, &G. Schulz, Ullmanns encyclopedia of industrial chemistry (5thed.), A11. Veinheim (Germany): VCH.

    McNabb, J., & Bischke, S. (1998). Optimization of C2F6 burnboxdestruction. Semiconductor International, 21 (April), 131134.

    Mitsui, Y., Kosano, Y., Takaichi, T., Beppu, T., & Sekiya, A. (2001).The possibility of carbonyl fluoride as a new CVD chamber clean-ing gas. In International Semiconductor Environment, Safety, andHealth (ISESH) Eighth Annual Conference, 1820 June, Kenting,Taiwan.

    Mocella, M. T. (1997). PFC emission control options for plasma pro-cessing tools: A current assessment. Mat. Res. Symposium Proceed-ings, 447, 2934.

    Mocella, M. T. (2001). New studies of c-C4F8, an optimum fluorocar-bon gas for CVD chamber cleaning. In International Semiconduc-tor Environment, Safety, and Health (ISESH) Eighth Annual Con-ference, 1820 June Kenting, Taiwan.

    Naik, V., Jain, A. K., Patten, K. O., & Wuebbles, D. J. (2000). Consist-ent sets of atmospheric lifetimes and radioactive forcings on cli-mate for CFC replacements: HCFCs and HFCs. Journal of Geo-physical Research, 105 (D5), 69046914.

    Namose, I. (2001). PFC emissions reductions in the semiconductoroperations division at Seiko Epson Corporation. In InternationalSemiconductor Environment, Safety, and Health (ISESH) EighthAnnual Conference, 1820 June, Kenting, Taiwan.

    Noll, K. E., Gounaris, V., & Hou, W. S. (1992). Adsorption technologyfor air and water pollution control. Chelsea, MI: Lewis.

    Ohgita, T. (2000). Results and impressions of expert meetings for thepreparation of IPCC good practice guidance. Journal of JapanSociety for Safety Engineering, 39 (5), 334342 (in Japanese).

    Owens, J. G. (1999). Low GWP alternatives to HFCs and PFCs. InProceedings of 1999 Taipei International Conference on Atmos-phere Protection, September 14, Taipei, Taiwan.

    Pauly-Hyslop, N. M. (1999). Alternative chemistries for chamber cle-ans to reduce perfluorocompound (PFC) emissions. SSA Journal,14 (1), 1725.

    Pierce, A. M., & Van Gompel, J. (1997). Effectiveness of an inwardlyfired burner on abatement of PFCs. Mat. Res. Symposium Proceed-ings, 447, 4954.

    Poling, B. E., Prausnitz, J. H., & OConnell, J. P. (2000). The proper-ties of gases and liquids. (5th ed.). New York: McGraw-Hill.

    Pruette, L., Karecki, S., Reif, R., Tousignant, L., Reagan, W., Kesari,S., & Zazzera, L. (1999). Evaluation of C4F8O as alternative plasmaenhanced chemical vapor deposition chamber clean chemistry.Electrochemical Society Proceedings, 99-8, 2029.

    Robin, M. L. (1995). Halogenated fire suppression agents. In A. W.

    Miziolek, & W. Tsang, Halon replacements: Technology andscience (pp. 85). Washington, DC: American Chemical Society(chap. 9).

    Ruddy, E. N., & Carroll, L. A. (1993). Select the best VOC controlstrategy. Chemical Engineering Progress, 89 (7), 2835.

    Ruhl, M. J. (1993). Recover VOCs via adsorption on activated carbon.Chemical Engineering Progress, 89 (7), 3741.

    Ruthven, D. M. (1984). Principles of adsorption and adsorption pro-cesses. New York: Wiley.

    Sawchyn, I. (1995). Integrated circuits. In (pp. 677). J. I. Krosch-witz, & M. Howe-Grant, Kirk-Othmer encyclopedia of chemicaltechnology (4th ed.), 14. New York: Wiley.

    Schwertfeger, W. (1988). Fluorinated alkanes (Fluorine compounds,organic). In (pp. 354). B. Elvers, J. F. Rounsaville, & G. Schulz,Ullmanns encyclopedia of industrial chemistry (5th ed.), A11.Veinheim (Germany): VCH.

    Sekiya, A., & Misaki, S. (2000). The potential of hydrofluoroethers toreplace CFCs, HCFCs and PFCs. Journal of Fluorine Chemistry,101, 215221.

    Sikdar, S. K., Burckle, J., & Rogut, J. (2001). Separation methods forenvironmental technologies. Environmental Progress, 20 (1), 111.

    Singh, R. (1998). Industrial membrane separation processes. CHEM-TECH, 28 (4), 3344.

    Smart, B. E., & Fernandez, R. E. (1994). Fluorinated aliphatic com-pounds. In (pp. 499). J. I. Kroschwitz, & M. Howe-Grant, Kirk-Othmer encyclopedia of chemical technology (4th ed.), 11. NewYork: Wiley.

    Spivey, J. J. (1988). Recovery of volatile organics from small industrialsources. Environmental Progress, 7 (1), 3140.

    Stone, K. R., & Springer, J. Jr. (1995). Review of solvent cleaning inaerospace operations and pollution prevention alternatives.Environmental Progress, 14 (4), 261265.

    Straume, A. G., Dietz, R. N., Koffi, E. D., & Nodop, K. (1998). Per-fluorocarbon background concentrations in Europe. AtmosphericEnvironment, 32 (24), 41094122.

    Streif, T., DePinto, G., Dunnigan, S., & Atherton, A. (1997). PFCreduction through process and hardware optimization. Semiconduc-tor International, 20 (June), 129134.

    Sun, S. P., Bennett, D., Zazzera, L., & Reagen, W. (1998). ReducingPFC emissions using C3F8-based PECVD clean. SemiconductorInternational, 21 (February), 8592.

    Takase, H. (2001). Abatement of PFC by newly developed system.In International Semiconductor Environment, Safety, and Health(ISESH) Eighth Annual Conference, 1820 June, Kenting, Taiwan.

    Takita, Y., Morita, C., Ninomiya, M., Wakamatsu, H., Nishiguchi,H., & Ishihara, T. (1999). Catalytic decomposition of CF4 overAIPO4-based catalysts. Chemical Letters, 417418.

    Taylor-Smith, R. (1999). Electrochemical routes to perfluorocompoundabatement. Electrochemical Society Proceedings, 99-8, 116125.

    Tom, G. M., McManus, J., Knolle, W., & Stoll, I. (1994). PFC concen-tration and recycle. Mat. Res. Soc. Symposium Proceedings, 344,267272.

    Tsai, W. T. (2002). A review of environmental hazards and adsorptionrecovery of cleaning solvent hydrochlorofluorocarbons (HCFCs).Journal of Loss Prevention in the process Industries, 15 (2),147157.

    Van Gompel, J. V., & Walling, T. (1997). A new way to treat processexhaust to remove CF4. Semiconductor International, 20(September), 95100.

    Van Hoeymissen, J. A. B., Daniels, M., Anderson, N., Fyen, W., &Heyns, M. (1997). Gas stream analysis and PFC recovery in a sem-iconductor process. Mat. Res. Soc. Symposium Proceedings, 447,5560.

    Vartanian, V., Beu, L., Lii, T., Graves, D., Tonnis, E. J., Jewett, R.,Wofford, B., Bevan, J., Hartz, C., & Gunn, M. (2000). Plasmaabatement reduces PFC emission. Semiconductor International, 23(June), 191198.

  • 75W.-T. Tsai et al. / Journal of Loss Prevention in the Process Industries 15 (2002) 6575

    Wallington, T. J., Schneider, W. F., Worsnop, D. R., Nielsen, O. J.,Sehested, J., Debruyn, W. J., & Shorter, J. A. (1994). The environ-mental impact of CFC replacements-HFCs and HCFCs. Environ-mental Science & Technology, 28 (7), 320A326A.

    Wang, S. P., Chou, C. C. K., Tseng, J., Hong, T. J., Chen, Y. W.,Shyu, K. W., & Liaw, W. (2001). Reduction of PFC emissionsusing C3F8 as an alternative CVD chamber clean gas. In Inter-national Semiconductor Environment, Safety, and Health (ISESH)Eighth Annual Conference, 1820 June, Kenting, Taiwan.

    Weston, R. E. Jr. (1996). Possible greenhouse effects of tetrafluorome-thane and carbon dioxide emitted from aluminum production.Atmospheric Environment, 30 (16), 29012910.

    Williams, J. D. (1997). Environmental issues of perfluorocompoundsin the semiconductor industry. Mat. Res. Symposium Proceedings,447, 4348.

    Wofford, B. A., Jackson, M. W., Hartz, C. L., & Bevan, J. W. (1999).Surface wave plasma abatement of CHF3 and CF4 containing sem-iconductor process emissions. Environmental Science & Tech-nology, 33 (11), 18921897.

    Worth, W. F. (2000). Further evaluation of two plasma technologiesfor PFC emissions reduction. SSA Journal, 14 (4), 1119.

    Yang, R. T. (1987). Gas separation by adsorption processes. Stone-ham: Butterworths.

    Yu, J. P. (1999). Outlook of TSIA PFC emission reduction. TaiwanSemiconductor Industry Association (TSIA) Newsletter, 10 (April)(in Chinese).

    Zazzera, L., Kesari, S., Reagen, W., Tousignant, L., Holber, W., &Chen, X. (1999). PFC emission reduction and atomic fluorine gen-eration using C3F8 and remote CVD chamber clean technology.Electrochemical Society Proceedings, 99-8, 1019.