MODUL PRAKTIKUM
PERANCANGAN KOMPONEN TERPROGRAM
NAMA MAHASISWA
NIM MAHASISWA
LABORATORIUM TEKNIK ELEKTRO
FAKULTAS TEKNIK
UNIVERSITAS MUHAMMADIYAH MALANG
2019
STANDART OPERASIONAL PROSEDUR
LABORATORIUM TEKNIK ELEKTRO
UNIVERSITAS MUHAMMADIYAH MALANG
A. PRA PRAKTIKUM
1. Ka Laboratorium bersama Ketua Prodi menetapkan daftar Mata Praktikum yang akan
dilaksanakan pada semester berjalan.
2. Laboran atau Staf mengumumkan daftar Mata Praktikum dan pengumuman lainnya via
web lab-elektro.umm.ac.id.
3. Staf / Laboran menerima pendaftaran calon praktikan yang mengulang.
4. Staf / Laboran mengumumkan daftar peserta Mata Praktikum berdasarkan data peserta
mata kuliah dan peserta mengulang di web lab-elektro.umm.ac.id.
5. Kepala lab dan wakil kepala lab menetapkan daftar Instruktur dan Asisten Mata
Praktikum dan diusulkan untuk ditetapkan SK Dekan.
6. Ka. Lab mengundang Peserta Mata Praktikum untuk mengikuti pertemuan persiapan
dan pembagian jadwal peserta mengikuti praktikum dan peraturan serta prosedur
praktikum dan K3.
7. Instruktur dan Asisten mengundang peserta Mata Praktikum untuk mengikuti Ujian Pra
Praktikum (Memberikan Tugas Pra Praktikum).
B. PRA PELAKSANAAN PERCOBAAN PRAKTIKUM
1. Asisten dan Praktikan hadir 15 menit sebelum dimulai jam praktikum.
2. Asisten mempersiapkan instrumen ukur serta modul praktikum dan peralatan
pendukung seperti kabel, jumper dan lain lain.
3. Praktikan membaca petunjuk praktikum dan mempersiapkan kebutuhan peralatan
sebelum masuk ruang/lab.
4. Asisten memberikan salam dan ucapan selamat datang dengan senyum serta
memberikan arahan kepada kelompok Praktikan tentang prosedur pelaksanaan
praktikum dan penjelasan daftar peralatan dan modul.
5. Asisten menunjuk peserta yang menjadi petugas pencatat, melakukan pengukuran dan
pembantu pelaksanaan.
6. Asisten meminta kelompok Praktikum untuk membaca doa/Basmalah sebelum dimulai
pemasangan dan instalasi praktikum dan dipandu oleh Asisten.
C. PRAKTIKUM BERLANGSUNG
1. Asisten memberikan instruksi kepada kelompok praktikan pemasangan atau instalasi
modul dan mengawasi dan mengevaluasi serta memeriksa hasil pemasangan dan
memastikan kebenaran instalasi.
2. Praktikan dan asisten saling menjaga kenyamanan dan ketertiban praktikum sesuai tata
tertib yang berlaku serta menjaga keamanan perangkat lab selama pelaksanaan
praktikum dari satu percobaan ke percobaan berikutnya.
3. Asisten berhak menegur dan menindak praktikan apabila ketahuan merusak, mengubah
atau memindahkan perlengkapan lab tanpa ijin.
4. Asisten melakukan penilaian dan pengawasan tiap praktikan melakukan pengukuran
selama percobaan.
5. Asisten dan kelompok praktikan mengakhiri praktikum dengan membaca hamdallah
dan mengucap salam serta meminta praktikan untuk merapikan peralatan dan modul
serta kursi dan membuang sampah di sekitarnya.
D. PRAKTIKUM BERAKHIR
1. Praktikan meninggalkan ruangan dengan rapi dan teratur.
2. Asisten Mengkondisikan ruangan kembali,
a. Mengembalikan/mengatur kursi kembali.
b. Merapikan sampah yang ditemukan berserakan dalam ruangan.
c. Mengembalikan peralatan dan modul ke Lemari Alat dan Modul sesuai nama
jenis Mata Praktikum.
d. Mengunci pintu.
e. Mematikan lampu apabila tidak ada praktikum berikutnya.
3. Asisten menandatangani presensi kelompok dan memberikan daftar penilaian kerja
percobaan kelompok ke ruang administrasi (Laboran).
4. Instruktur dan atau asisten melakukan evaluasi reguler praktikum jika diperlukan.
E. PASCA PRAKTIKUM
1. Praktikan menyusun laporan semua percobaan
2. Praktikan melakukan asistensi laporan ke Asisten Praktikum minimal 4 kali.
3. Setelah laporan praktikum ditandatangani oleh Asisten, Tiap Praktikum menghadap
Instruktur sesuai jadwal yang ditetapkan Instruktur.
4. Instruktur menguji praktikum mengenai proses pelaksanaan praktikum.
5. Instruktur memberikan nilai akhir praktikan.
6. Nilai akhir prakatikum diserahkan ke Lab untuk proses administrasi.
F. SANKSI
1. Keterlambatan asistensi pertama kali sanksi point 1
2. Tidak memenuhi minimal 4 kali asistensi sanksi point 2
3. Datang terlambat 15 menit dari waktu yang telah ditentukan sanksi point 3
4. * Tidak mengikuti proses praktikum tanpa adanya konfirmasi sanksi point 4
5. * Tidak mengikuti ujian koordinator tanpa adanya konfirmasi sanksi point 5
6. Keterlambatan pengumpulan laporan resmi sanki point 6
7. * Tidak mengikuti ujian instruktur sesuai dengan jadwal yang ditentukan instruktur
sanksi point 7
8. Pemalsuan tanda tangan selama proses praktikum berlangsung sanksi point 8
9. Merusakkan perlatan Lab. Teknik Elektro sanksi point 9
* Maksimal konfirmasi 2 x 24 jam sejak jadwal resmi diumumkan untuk penggantian jadwal ujian
Point 1 Menulis materi modul bab 1.
Point 2 Menulis materi modul bab 1-3 & Pengurangan nilai.
Point 3 Menulis materi 1 bab & Pengurangan nilai.
Point 4 Mengulang ( tidak konfirmasi sesuai waktu yang telah ditentukan ) atau Pengurangan Nilai.
Point 5 Mengulang ( tidak konfirmasi sesuai waktu yang telah ditentukan ) atau Pengurangan Nilai.
Point 6 Membeli buku berkaitan dengan bidang Teknik Elektro.
Point 7 Pengurangan Nilai Instruktur.
Point 8 Mengulang Praktikum atau mendapat Nilai E.
Point 9 Mengganti peralatan tersebut sesuai dengan spesifikasi atau mirip dan memiliki fungsi yang
sama.
G. KESELAMATAN DAN KESEHATAN KERJA (K3)
1. Sebelum memulai praktikum, praktikan memahami tata tertib dan keselamatan di
Laboratorium
2. Mengetahui tempat dan cara penggunaan perlatan Laboratorium
3. Memperhatikan dan waspada terhadap tempat-tempat sumber listrik ( stop kontak dan
circuit breaker)
4. Praktikan harus memperhatikan dan menaati peringatan (warning) yang biasa tertera
pada badan perlatan praktikum maupun rambu peringatan yang terdapat di ruangan
Laboratorium
5. Jika melihat ada kerusakan yang berpotensi menimbulkan bahaya, segera laporkan ke
asisten terkait atau dapat langsung melapor ke laboran.
6. Hindari daerah atau benda yang berpotensi menimbulkan bahaya listrik ( sengatan
listrik) secara tidak sengaja, missal seperti jala-jala kabel yang terkelupas
7. Keringkan bagian tubuh yang basah, seperti keringat atau sisa air wudhu
8. Selalu waspada terhadap bahaya listrik pada setiap aktifitas praktikum.
9. Jika terjadi kecelakaan akibat bahaya listrik, berikut ini adalah hal-hal yang harus
diikuti praktikan:
a) Jangan panik
b) Matikan semua peralatan elektronik dan sumber listrik di meja masing-masing
dan di meja praktikum yang tersengat arus listrik.
c) Bantu praktikan yang tersengat arus listrik untuk melepaskan diri dari sumber
listrik.
d) Beritahukan dan minta bantuan kepada laboran, praktikan lain dan orang di
sekitar anda tentang terjadinya kecelakaan akibat bahaya listrik.
e) Menjauh dari ruang praktikum.
10. Jangan membawa benda-benda yang mudah terbakar (korek api, gas, dll) ke dalam
ruangan laboratorium bila tidak disyaratkan dalam modul praktikum.
11. Jangan melakukan sesuatu yang menimbulkan api, percikan api, atau panas yang
berlebihan.
12. Jangan melakukan sesuatu yang menimbulkan bahaya api atau panas berlebih pada diri
sendiri atau orang lain.
13. Selalu waspada terhadap bahaya api atau panas berlebih pada setiap aktivitas di
laboratorium.
14. Dilarang membawa benda tajam (pisau, gunting dan sejenisnya) ke ruang praktikum
bila tidak diperlukan untuk pelaksanaan percobaan
15. Dilarang memakai perhiasan dari logam misalnya cincin, kalung, gelang, dll
16. Hindari daerah, benda atau logam yang memiliki bagian tajam dan dapat melukai.
17. Tidak melakukan sesuatu yang dapat menimbulkan luka pada diri sendiri atau orang
lain.
BAB I
FREQUENCY DIVIDER
1.1. Tujuan
Praktikan diharapkan mengerti proses dari frequency divider sebagai pemanfaatan fungsi
delay pada suatu system.
1.2. Dasar Teori
Frequency divider merupakan suatu proses dimana membagi frekuensi yang ada seperti
dari 50MHz menjadi 200Hz. Konsep ini sangat diperlukan pada pembuatan system berbasis
FPGA karena landasan dasar berupa rangkaian logika. Pada dasarnya konsep ini hampir
sama dengan library delay yang digunakan oleh mikrokontroller karena berpedoman pada
krystal yang terpasang pada minimum system. Hal ini juga berlaku pada system yang
berbasis FPGA yang nantinya dapat digunakan sebagai delay pada pemrograman berbasis
FPGA. Apabila diasumsikan bahwa system memakai penggunaan waktu selama 5 ms,
berikut contoh perhitungannya :
𝑓 =1
𝑇
𝑓 =1
5 𝑥 10−3
𝑓 = 200 𝐻𝑧
𝑆𝑐𝑎𝑙𝑒 = 𝑓𝑖𝑛
𝑓𝑖𝑛
𝑆𝑐𝑎𝑙𝑒 = 50 𝑀𝐻𝑧
200 𝐻𝑧= 250.000
𝐷𝑎𝑡𝑎 = 250.000
2− 1 = 124.999
Didapat nilai yang mewakili 5 ms yaitu 124.999 sehingga nilai tersebut dapat digunakan
pada system VHDL. Data tersebut dapat digunakan juga sebagai master dari frekuensi
divider yang dipakai untuk beberapa system secara berkelanjutan. Karena pada dasarnya
frekuensi divider selalu dipakai dalam suatu system yang memerlukan suatu tindakan
terhadap waktu.
1.3. Bahan yang diperlukan
➢ Laptop / CPU
➢ Software Xilinx (min versi 13.2)
➢ Board FPGA Cmod S6
➢ Data Ucf FPGA Cmod S6
➢ Extended Board
1.4. List Program
a) File VHD
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_unsigned.ALL;
use IEEE.STD_LOGIC_arith.ALL;
entity is
Port ( clk_in : in STD_LOGIC;
reset : in STD_LOGIC;
clk_out : out STD_LOGIC);
end clk_div;
architecture Behavioral of clk_div is
signal temporal: std_logic;
signal counter : integer range 0 to 3999999 :=0;
begin
clock_divider: process (reset, clk_in)
begin
if (reset='1') then
temporal <= '0';
counter <= 0;
elsif rising_edge(clk_in) then
if (counter = 3999999) then
temporal <= not (temporal);
counter <= 0;
else
counter <= counter + 1;
end if;
end if;
end process;
clk_out <= temporal;
end Behavioral;
BAB II
7 SEGMENT
2.1. Tujuan
Peserta praktikum diharapkan dapat menggunakan I/O sebagai sarana penghubung
terhadap penggunaan interface lain seperti rangkaian driver.
2.2. Dasar Teori
Penggunaan I/O sangatlah penting dalam menggunakan suatu perangkat keras dari luar
seperti IC tambahan, driver motor, dll. Pada FPGA penggunaan I/O secara global sama
saja hanya yang membedakan alamat akses I/O karena walau sama-sama jenis FPGA
Spartan tetapi jenis Family yang berbeda tetapi secara penggunaan memiliki kesamaan.
Berikut I/O pada perangkat FPGA Spartan 6 :
Gambar 2.1 Port I/O Spartan 6
Seven segmen adalah salah satu perangkat layar untuk menampilkan sistem angka
desimal yang merupakan alternatif dari layar dot-matrix. Layar tujuh segmen ini
seringkali digunakan pada jam digital, meteran elektronik, dan perangkat elektronik
lainnya yang menampilkan informasi numerik. Seven segment sendiri memiliki dua
jenis yang berbeda yaitu common catoda dan common anoda.
Gambar 2.2 Seven Segment
2.3. Bahan yang diperlukan
➢ Laptop / CPU
➢ Software Xilinx (min versi 13.2)
➢ Board FPGA Spartan 3E
➢ Data Ucf FPGA Spartan 3E (Kit & Papilio one 500k)
➢ Interface 7 segment & ic driver
2.4. List Program
a) File VHD
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity test is
port (
clk : in std_logic;
load : in std_logic;
trans : out std_logic;
bcd : in std_logic_vector(3 downto 0); --BCD input
segment7 : out std_logic_vector(6 downto 0) -- 7 bit decoded output.
);
end test;
architecture Behavioral of test is
begin
process (clk,bcd)
BEGIN
if (clk'event and clk='1') then
if (load='1')then
trans <= '1';
case bcd is
when "0000"=> segment7 <="0111111"; -- '0'
when "0001"=> segment7 <="0000110"; -- '1'
when "0010"=> segment7 <="1011011"; -- '2'
when "0011"=> segment7 <="1001111"; -- '3'
when "0100"=> segment7 <="1100110"; -- '4'
when "0101"=> segment7 <="1101101"; -- '5'
when "0110"=> segment7 <="1111101"; -- '6'
when "0111"=> segment7 <="0000111"; -- '7'
when "1000"=> segment7 <="1111111"; -- '8'
when "1001"=> segment7 <="1101111"; -- '9'
when "1010"=> segment7 <="1110111"; -- 'A'
when "1011"=> segment7 <="1111100"; -- 'B'
when "1100"=> segment7 <="1011000"; -- 'C'
when "1101"=> segment7 <="1011110"; -- 'D'
when "1110"=> segment7 <="1111001"; -- 'E'
when others=> segment7 <="1110001"; -- 'F'
end case;
end if;
end if;end process;
end Behavioral;
BAB III
DRIVING 7-SEGMENT
3.1. Tujuan
Peserta praktikum diharapkan dapat memanfaatkan frekuensi divider dan menggunakan
behavioral architecture pada driving menggunakan 7 segment.
3.2. Dasar Teori
Penggunaan I/O sangatlah penting dalam menggunakan suatu perangkat keras dari luar
seperti IC tambahan, driver motor, dll. Pada FPGA penggunaan I/O secara global sama
saja hanya yang membedakan alamat akses I/O karena walau sama-sama jenis FPGA
Spartan tetapi jenis Family yang berbeda tetapi secara penggunaan memiliki kesamaan.
Berikut I/O pada perangkat FPGA Spartan 6 :
Gambar 3.1 Modul FPGA SPARTAN 6
Seven segmen adalah salah satu perangkat layar untuk menampilkan sistem angka
desimal yang merupakan alternatif dari layar dot-matrix. Layar tujuh segmen ini
seringkali digunakan pada jam digital, meteran elektronik, dan perangkat elektronik
lainnya yang menampilkan informasi numerik. Seven segment sendiri memiliki dua
jenis yang berbeda yaitu common catoda dan common anoda.
Gambar 2.2 Seven Segment
3.3. Bahan yang diperlukan
➢ Laptop / CPU
➢ Software Xilinx (min versi 13.2)
➢ Board FPGA Spartan 3E
➢ Data Ucf FPGA Spartan 3E (Kit & Papilio one 500k)
➢ Interface 7 segment & ic driver
3.4. List Program
a). File VHD
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity counter09 is
PORT( clk : IN STD_LOGIC;
load : IN STD_LOGIC;
trans : out STD_LOGIC;
rst : IN STD_LOGIC;
seg : OUT STD_LOGIC_VECTOR(7 DOWNTO 1)
);
end counter09;
architecture Behavioral of counter09 is
CONSTANT div : INTEGER :=3999999;
SIGNAL clk_div : INTEGER RANGE 0 TO div := 0;
SIGNAL temp : INTEGER RANGE 0 TO 9 := 0;
begin
PROCESS(clk)
BEGIN
IF RISING_EDGE(clk) THEN
IF rst = '1' THEN
clk_div <= 0;
temp <= 0;
ELSE
IF load = '1' THEN
trans <= '1';
clk_div <= clk_div + 1;
IF clk_div = div THEN
clk_div <= 0;
temp <= temp +1;
IF temp = 9 THEN
temp <= 0;
END IF;
END IF;
END IF;
END IF;
END IF;
END PROCESS;
seg <= "0111111" WHEN temp = 0 ELSE
"0000110" WHEN temp = 1 ELSE
"1011011" WHEN temp = 2 ELSE
"1001111" WHEN temp = 3 ELSE
"1100110" WHEN temp = 4 ELSE
"1101101" WHEN temp = 5 ELSE
"1111101" WHEN temp = 6 ELSE
"0000111" WHEN temp = 7 ELSE
"1111111" WHEN temp = 8 ELSE
"1101111";
end Behavioral;
BAB IV
MOTOR STEPPER
4.1. Tujuan
Peserta praktikum diharapkan dapat menggunakan motor stepper dan dapat
diaplikasikan pada suatu system.
4.2. Dasar Teori
Motor stepper merupakan jenis motor yang memiliki jangkauan derajat per step. Besar
derajat putaran per step merupakan parameter terpenting dalam pemilihan motor
stepper karena akan menentukan ukuran resolusi gerak. Tiap-tiap motor stepper
mempunyai spesifikasi masing-masing, antara lain 0.72° per step, 1.8° per step, 3.6°
per step, 7.5° per step, 15° per step, dan bahkan ada yang 90° per step. Motor stepper
itu sendiri terbagi menjadi 2 bipolar dan unipolar. Motor stepper unipolar lebih mudah
dirancang karena hanya memerlukan satu switch pada setiap lilitannya. Untuk
menjalankan dan menghentikan motor ini cukup dengan menerapkan pulsa digital yang
hanya terdiri atas tegangan positif dan nol (ground) pada salah satu terminal lilitan
(wound) motor sementara terminal lainnya dicatu dengan tegangan positif konstan
(VM) pada bagian tengah (center tap) dari lilitan, sedangkan motor stepper bipolar
memerlukan sinyal pulsa yang berubah-ubah dari positif ke negatif dan sebaliknya. Jadi
pada setiap terminal lilitan (A & B) harus dihubungkan dengan sinyal yang mengayun
dari positif ke negatif dan sebaliknya. Karena itu dibutuhkan rangkaian pengendali yang
agak lebih kompleks daripada rangkaian pengendali untuk motor unipolar. Motor
stepper bipolar memiliki keunggulan dibandingkan dengan motor stepper unipolar
dalam hal torsi yang lebih besar untuk ukuran yang sama.
Gambar 4.1 Motor Stepper
4.3. Bahan yang diperlukan
➢ Laptop / CPU
➢ Software Xilinx (min versi 13.2)
➢ Board FPGA Spartan 6
➢ Data Ucf FPGA Spartan 6
➢ Interface motor stepper dan driver uln2003
4.4. List Program
a). File VHD
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity stepper is
port( clk : in std_logic ;
sw : in std_logic ;
op1 : out std_logic ;
op2 : out std_logic ;
op3 : out std_logic ;
op4 : out std_logic);
end stepper ;
architecture rtl of stepper is
type state1 is (ready1,b01,b02,b03);
signal ps1 : state1 := ready1;
begin
process(clk,sw)
variable i: integer := 0 ;
begin
if clk’event and clk = ‘1’ then
if sw = ‘0’ then
if ps1 = ready1 then
i := i + 1;
if i = 399999 then
op1 <= ‘0’ ;
op2 <= ‘0’ ;
op3 <= ‘0’ ;
op4 <= ‘1’ ;
ps1 <= b01;
i := 0;
end if;
end if;
if ps1 = b01 then
i := i + 1;
if i = 399999 then
op1 <= ‘0’ ;
op2 <= ‘0’ ;
op3 <= ‘1’ ;
op4 <= ‘0’ ;
ps1 <= b02;
i := 0;
end if;
end if;
if ps1 = b02 then
i := i + 1;
if i = 399999 then
op1 <= ‘0’ ;
op2 <= ‘1’ ;
op3 <= ‘0’ ;
op4 <= ‘0’ ;
ps1 <= b03;
i := 0;
end if;
end if;
if ps1 = b03 then
i := i + 1;
if i = 399999 then
op1 <= ‘1’ ;
op2 <= ‘0’ ;
op3 <= ‘0’ ;
op4 <= ‘0’ ;
ps1 <= ready1;
i := 0;
end if;
end if;
end if;
end if;
end process;
end architecture rtl;
BAB V
PROM / FLASH
5.1. Tujuan
Peserta praktikum diharapkan dapat menggunakan PROM/FLASH yang terdapat pada
FPGA dan dapat diaplikasikan pada suatu system.
5.2. Dasar Teori
PROM/FLASH pada FPGA digunakan perangkat elektronik digital untuk menyimpan data
permanen. ROM standard biasa nya diprogram saat di pabrik, sedangkan PROM
diprogram setelah terbuat di pabrik.
5.3. Bahan Yang Diperlukan
➢ Laptop / CPU
➢ Software Xilinx (min versi 13.2)
➢ Board FPGA Spartan 6
➢ Data Ucf FPGA Spartan 6
➢ Interface motor stepper dan driver uln2003
5.4. Langkah
a. Compile semua program lalu masuk ke Configure Target Device lalu klik OK
b. Maka akan muncul tampilan iMPACT lalu pilih CREATE PROM FILE
c. Lalu akan muncul kotak dialog seperti dibawah ini, pilih Auti Select PROM
d. Isi nama file pada output file name dan pilih untuk tempat menyimpina file hasil
compile PROM
e. Lalu pilih OK
f. Lalu Generate File dan pilih .bit yang akan dimasukkan ke dalam PROM lalu
OK/OPEN, jika muncul tampilan seperti dibawah ini maka pilih NO.
g. Jika selesai maka akan muncul tampilan seperti dibawah ini
h. Lakukan proses pemrogran FPGA melalui iMPACT seperti bab praktikum
sebelumnnya dengan memasukkan file .bit dan menambahkan file hasil compile
prom dengan ekstensi .mcs dan pilih S25FL128S lalu OK dan pilih PROGRAM
untuk memprogram FPGA. Jika PROM terisi maka akan muncul tulisan FLASH.