datasheet
TRANSCRIPT
TMS320Third-Party Support
Reference Guide
IMPORTANT NOTICEDescription in this publication of a third-party product or service does not constitute an endorse-Description in this publication of a third-party product or service does not constitute an endorse-ment of it by Texas Instruments. Further, TI does not accept responsibility for any representationsment of it by Texas Instruments. Further, TI does not accept responsibility for any representationsor warranties of any of the Third-Party Vendors, including representations or warranties regardingor warranties of any of the Third-Party Vendors, including representations or warranties regardingcompatibility or usefulness in connection with the TI TMS320 family of DSPs. If you want more infor-compatibility or usefulness in connection with the TI TMS320 family of DSPs. If you want more infor-mation about a product or service, please contact the Third-Party Vendor directly.mation about a product or service, please contact the Third-Party Vendor directly.
TI provides technical assistance as a service to its customers. TI assumes no liability and is notTI provides technical assistance as a service to its customers. TI assumes no liability and is notresponsible for any technical or applications assistance, customer applications or product design,responsible for any technical or applications assistance, customer applications or product design,software performance, third-party product information, or for any infringement of patents or rightssoftware performance, third-party product information, or for any infringement of patents or rightsof others based upon assistance contained in this publication. It is the responsibility of the customerof others based upon assistance contained in this publication. It is the responsibility of the customerto obtain the most current information about TI products and services. TI warrants its semiconduc-to obtain the most current information about TI products and services. TI warrants its semiconduc-tor products and related software to the specifications applicable at the time of sale in accordancetor products and related software to the specifications applicable at the time of sale in accordancewith TI’s standard warranty.with TI’s standard warranty.
TI semiconductor products are not designed, intended, authorized, or warranted to be suitable forTI semiconductor products are not designed, intended, authorized, or warranted to be suitable foruse in life-support applications or any other critical applications which could involve potential risksuse in life-support applications or any other critical applications which could involve potential risksof death, personal injury, or severe property or environmental damage.of death, personal injury, or severe property or environmental damage.
Copyright © 1996, Texas Instruments IncorporatedCopyright © 1996, Texas Instruments Incorporated
iii
Read This First
About This Manual
The The TMS320 Third-Party Support Reference GuideTMS320 Third-Party Support Reference Guide is a resource of development is a resource of development products available for the TMS320 family of digital signal processors. The products products available for the TMS320 family of digital signal processors. The products include assemblers, linkers, simulators, high-level language compilers, emulators, algo-include assemblers, linkers, simulators, high-level language compilers, emulators, algo-rithm development software and hardware, and application software and hardware. The rithm development software and hardware, and application software and hardware. The information is organized alphabetically by third party. Consultants are also listed alpha-information is organized alphabetically by third party. Consultants are also listed alpha-betically.betically.
How to Use This Manual
This document contains the following chapters:This document contains the following chapters:Chapter 1 IntroductionIntroductionChapter 2 Third-Party Product TablesThird-Party Product TablesChapter 3 Third-Party Product DescriptionsThird-Party Product DescriptionsChapter 4 Third-Party ConsultantsThird-Party ConsultantsChapter 5 TrademarksTrademarks
Related Documentation From Texas Instruments
The The TMS320 Family Development Support Reference GuideTMS320 Family Development Support Reference Guide (literature number (literature number SPRU011E) describes the TMS320 family of digital signal processors and the various SPRU011E) describes the TMS320 family of digital signal processors and the various Texas Instruments products that support this product line. This includes code-genera-Texas Instruments products that support this product line. This includes code-genera-tion tools (compilers, assemblers, and linkers) and system integration and debug tools tion tools (compilers, assemblers, and linkers) and system integration and debug tools (simulators, emulators, and evaluation modules). The book also discusses available doc-(simulators, emulators, and evaluation modules). The book also discusses available doc-umentation, seminars, the university program, and factory repair and exchange policies.umentation, seminars, the university program, and factory repair and exchange policies.
Related Documentation on Software Algorithms From Third Parties
The The TMS320 Software Cooperative Resource GuideTMS320 Software Cooperative Resource Guide (literature number SPRT111B) (literature number SPRT111B) contains over 200 easy-to-use, off-the-shelf, digital signal processing algorithms from contains over 200 easy-to-use, off-the-shelf, digital signal processing algorithms from third-party software vendors. The third-party software vendors. The Software Cooperative Resource GuideSoftware Cooperative Resource Guide contains contains algorithm data sheets on general and application-specific algorithms. These include algorithm data sheets on general and application-specific algorithms. These include speech, audio, image, motor control, and telecommunications software just to name a speech, audio, image, motor control, and telecommunications software just to name a few. Contact the TI Literature Response Center to request your free guide.few. Contact the TI Literature Response Center to request your free guide.
iv
If You Need Assistance ...
For More Information Resource Location
TI Product Information Worldwide Web site http://www.ti.com/dsps
USA Product Information TI Literature Response Center USA (800) 477-8924
TMS320 Hotline +(713) 274-2320
TMS320 Hotline Fax +(713) 274-2324
TMS320 BBS +(713) 274-2323
TMS320 e-mail address [email protected]
TMS320 Internet BBS ftp.ti.com (192.94.94.53)
Software Registration/Upgrades +(214) 638-0333
TI Europe Product
Information
European Product Information Center (EPIC)
Multi-Language Support
EPIC Hotline +33 1 30 70 11 69
EPIC Fax +33 1 30 70 10 32
EPIC BBS via modem +33 1 30 70 11 99
EPIC e-mail address [email protected]
TI Asia Product
Information
Literature Response Center +852 2 956 7288
Literature Response Center Fax +852 2 956 2200
Taiwan DSP Hotline +886 2 377 1450
Taiwan DSP Hotline Fax +886 2 377 2718
Taiwan DSP BBS +886 2 376 2592
Taiwan DSP Internet BBS via anonymous ftp to (140.111.1.10) in
directory/vendors/TI/tms320bbs
Korea DSP Hotline +82 2 551 2804
Korea DSP Hotline Fax +82 2 551 2828
Korea DSP BBS +82 2 551 2914
Hong Kong DSP Hotline +852 2956 7268
Hong Kong DSP Hotline Fax +852 2956 1002
Singapore DSP Hotline Fax +65 390 7179
TI Japan Product
Information
Product Information Center 0120-81-0026 (in Japan)
or call 03-3457-0972 or
(INTL) 813-3457-0972
Product Information Center Fax 0120-81-0036 (in Japan)
or call 03-3457-1259 or
(INTL) 813-3457-1259
DSP Hotline 03-3769-8735 (INTL) 813-3769-8735
DSP Hotline Fax 03-3457-7071 (INTL) 813-3457-7071
DSP BBS via NIFTY-Serve Type �Go TIASP�
Technical Training
Information
US Technical Training Organization (214) 644-5580
Europe Customer Training Fax Helpline +49 81 61 80 40 10
Report Updates to This
Document or to Join the
TI Third-Party Program
Send your information to:
Texas Instruments Incorporated
Third-Party Program Manager, M/S 704
P.O. Box 1443
Houston, TX 77251-1443
or send e-mail to: [email protected]
v
Third-Party Support Reference GuideContents
Read This First iii
1996 Software Cooperative Resource Guide Contents xxiii
At-a-Glance Contact Information xxxi
Third Party Contact InformationThird Party Contact Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xxxixxxi
Consultant Contact InformationConsultant Contact Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xxxxvxxv
Software Cooperative Contact InformationSoftware Cooperative Contact Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xxxviixxxvii
Chapter 1�Introduction 1-1
Chapter 2�Third-Party Product Tables 2-1
TMS320 Family Support TablesTMS320 Family Support Tables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 2-32-3
TMS320C1x Software Support TablesTMS320C1x Software Support Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-42-4
TMS320C1x Development Hardware SupportTMS320C1x Development Hardware Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-52-5
TMS320AVxxx Support TableTMS320AVxxx Support Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . 2-62-6
TMS320C2x Software Support TablesTMS320C2x Software Support Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-72-7
TMS320C2x Development Hardware Support TablesTMS320C2x Development Hardware Support Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-82-8
TMS320C2xx Software Support TableTMS320C2xx Software Support Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-102-10
TMS320C2xx Development Hardware Support TablesTMS320C2xx Development Hardware Support Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-112-11
TMS320C3x Software Support TablesTMS320C3x Software Support Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-122-12
TMS320C3x Development Hardware Support TablesTMS320C3x Development Hardware Support Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-142-14
TMS320C4x Software Support TablesTMS320C4x Software Support Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-162-16
vi
Chapter 2�Third-Party Product Tables (Continued)
TMS320C4x Development Hardware Support TablesTMS320C4x Development Hardware Support Tables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-182-18
TMS320C5x Software Support TablesTMS320C5x Software Support Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-202-20
TMS320C5x Development Hardware Support TablesTMS320C5x Development Hardware Support Tables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-212-21
TMS320C54x Software Support TablesTMS320C54x Software Support Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-232-23
TMS320C54x Development Hardware Support TablesTMS320C54x Development Hardware Support Tables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-242-24
TMS320C8x Software Support TablesTMS320C8x Software Support Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-252-25
TMS320C8x Development Hardware Support TablesTMS320C8x Development Hardware Support Tables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-262-26
Chapter 3�Third-Party Product Descriptions 3-1
2500AD Software, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-32500AD TMS320 Macro Cross Assembler2500AD TMS320 Macro Cross Assembler
AB-RoBioMat di Angelo Baronchelli & Dott. Gàbor Gulyàs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4POLYP -T44-1POLYP -T44-1
Acroloop Motion Control Systems, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5Acroloop’s ACR6000 and ACR8000 - Multi-Axes Motion ControllersAcroloop’s ACR6000 and ACR8000 - Multi-Axes Motion Controllers
ADAC Corporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-65400 Series5400 Series
Advin Systems Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7PILOT-MVP: The Most Valuable ProgrammerPILOT-MVP: The Most Valuable Programmer
Alacron, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8FT-C80FT-C80
Alta Group of Cadence Design Systems, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9Signal Processing WorkSystemSignal Processing WorkSystem®® (SPW™) (SPW™)
Analogic Corporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10TAP-800 DSP Board for Computer Telephony ApplicationsTAP-800 DSP Board for Computer Telephony Applications
Applied Signal Technology, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11B100 Digital Tuner ProcessorB100 Digital Tuner Processor
B105 Modular Digital ProcessorB105 Modular Digital Processor B108D/T Digital Receiver Board SetB108D/T Digital Receiver Board Set
Aptix Corporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14System ExplorerSystem Explorer
vii
Ariel Corporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-15HydraPlusHydraPlusHydra-IIHydra-IICommIO-IPCommIO-IPGriffinGriffinPC-Hydra8 and PC-HydraPC-Hydra8 and PC-HydraTIM44-2SGTIM44-2SGTIM40-SGTIM40-SGTIM40-DGTIM40-DGVPT-40VPT-40ArubaArubaDSP-C40 CyclopsDSP-C40 Cyclops
Atlanta Signal Processors, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-26 Peachtree™ DSP PlatformPeachtree™ DSP Platform VortexVortex®® System Board System Board Elf/SR60 DSP PlatformElf/SR60 DSP Platform MPEG Digital-Audio ProcessorMPEG Digital-Audio Processor ElfElf®® DSP Platform DSP Platform Elf/MC DSP PlatformElf/MC DSP Platform Elf Coprocessor BoardElf Coprocessor Board DFDP4/PlusDFDP4/Plus Chimera SystemChimera System Banshee II System BoardBanshee II System Board Banshee\VME System BoardBanshee\VME System Board A1023 MPEG Audio Encoder ModuleA1023 MPEG Audio Encoder Module
The Athena Group, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-38 MONARCH DSP SoftwareMONARCH DSP Software
Beetek, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-39 BEE-ICE25BEE-ICE25
Bridgenorth Signal Processing Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-40 BN2500 DSP Development and Data Acquisition ProcessorBN2500 DSP Development and Data Acquisition Processor BN4000 DSP Development and Data Acquisition ProcessorBN4000 DSP Development and Data Acquisition Processor BN3000 DSP Development and Data Acquisition ProcessorBN3000 DSP Development and Data Acquisition Processor BN3216 DSP Analog Interface ModuleBN3216 DSP Analog Interface Module BN1416 DSP Analog Interface ModuleBN1416 DSP Analog Interface Module
CHEOPS GmbH & Co. KG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-45 RAMSES 3RAMSES 3 KAIRO Basic CardKAIRO Basic Card SNOFRU OverlaySNOFRU Overlay SNOFRU IndustrySNOFRU Industry
Communication Automation & Control, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-49 BulletdspBulletdsp
Coreco Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-50 Oculus-F/64Oculus-F/64 MDSP-C44MDSP-C44
viii
Corelis Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-52 PI-320C40PI-320C40
D2 Technologies, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-53 VP Open Development PackageVP Open Development Package D2 Audio Telephone I/O BoardD2 Audio Telephone I/O Board
DGM&S . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-55 DGM&S ISDN DSP Basic Rate AdapterDGM&S ISDN DSP Basic Rate Adapter
DSP Control Group, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-56 Mx4 for PC/AT, VMEbus, or MultibusMx4 for PC/AT, VMEbus, or Multibus Mx42Mx42 Mx42_ac StandaloneMx42_ac Standalone VECTOR4 Parallel DSP-Based Vector-Controlled Drive System ControllerVECTOR4 Parallel DSP-Based Vector-Controlled Drive System Controller XDSP_dc Dual-Axis DSP-Based Motion ControllerXDSP_dc Dual-Axis DSP-Based Motion Controller XDSP_ac DSP-Based Vector-Controlled AC-Induction Motor ControllerXDSP_ac DSP-Based Vector-Controlled AC-Induction Motor Controller Visual Basic Mx4 ProgrammingVisual Basic Mx4 Programming Mx4 and Windows C and C++Mx4 and Windows C and C++ DSPL Compiler/LinkerDSPL Compiler/Linker
DSP Research, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-65 TIGER 31/PCTIGER 31/PC TIGER 32 EVMTIGER 32 EVM TIGER 31/IPTIGER 31/IP TIGER 30TIGER 30 TIGER TEM30TIGER TEM30 TIGER 31/SBuTIGER 31/SBu TIGER TEM30STIGER TEM30S TIGER 40TIGER 40 TIGER 440TIGER 440 TIGER TEM40TIGER TEM40 TIGER 40/SBusTIGER 40/SBus TIGER TEM40STIGER TEM40S TIGER 5XFTIGER 5XF TIGER 5XETIGER 5XE TIGER TEM50TIGER TEM50 TIGER TEM50ETIGER TEM50E TIGER TEM50STIGER TEM50S TIGER 5420TIGER 5420 TIGER ‘C31 Co-ProcessorTIGER ‘C31 Co-Processor TIGER DAI Digital Audio Interface BoardTIGER DAI Digital Audio Interface Board TIGER TIB Two-Line Telephone-Interface BoardTIGER TIB Two-Line Telephone-Interface Board Piranha 3111 Vocoder ModulePiranha 3111 Vocoder Module
DSP Solutions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-87 Noise Buster - Digital Filter Design and Simulation Software (V 2.0)Noise Buster - Digital Filter Design and Simulation Software (V 2.0)
DSP Tools, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-88 DT31-STD and DT31-HI boardsDT31-STD and DT31-HI boards PCI-31 boardPCI-31 board
ix
dSPACE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-90 dSPACE Development SystemdSPACE Development System DS1102DS1102 DS1002DS1002 DS1003DS1003 DS1201DS1201 A/D - D/A Peripheral BoardsA/D - D/A Peripheral Boards Digital I/O BoardsDigital I/O Boards DS2301 Direct Digital Synthesis BoardDS2301 Direct Digital Synthesis Board DS3001 Incremental Encoder BoardDS3001 Incremental Encoder Board DS4110 Memory Expansion BoardDS4110 Memory Expansion Board DS4201 Prototyping BoardDS4201 Prototyping Board DS4201-S Serial Communication BoardDS4201-S Serial Communication Board DS4301 CAN/VAN InterfaceDS4301 CAN/VAN Interface DS5001 Digital Waveform Capture BoardDS5001 Digital Waveform Capture Board Expansion Boxes (PX6, PX20, AutoBox, MiniBox)Expansion Boxes (PX6, PX20, AutoBox, MiniBox) Connector PanelsConnector Panels Real-Time Interface (RTI)Real-Time Interface (RTI) MLIB (MATLAB-DSP Interface Library)MLIB (MATLAB-DSP Interface Library) MTRACE (MATLAB-Callable TRACE)MTRACE (MATLAB-Callable TRACE) TRACETRACE COCKPITCOCKPIT CLIB (C Language Host-DSP Interface Library)CLIB (C Language Host-DSP Interface Library) DebuggerDebugger TextIOTextIO Real Motion 3-D AnimatorReal Motion 3-D Animator
DY 4 Systems Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-115 DMV-443 Quad TMS320C40 DSPDMV-443 Quad TMS320C40 DSP DMV-442 Quad TMS320C40 DSPDMV-442 Quad TMS320C40 DSP DMV-674 Frame GrabberDMV-674 Frame Grabber DMV-678 High-Speed Analog Capture BoardDMV-678 High-Speed Analog Capture Board DMV-783 Graphics and Image ProcessorDMV-783 Graphics and Image Processor
Daimler-Benz Aerospace AG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-120 PhotoJet10-PCIPhotoJet10-PCI
Dalanco Spry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-121 Model 250Model 250 Model 5000Model 5000 Model 310Model 310 Model 320-104Model 320-104
DEEMAX Technology, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-125 In-Circuit Emulator: P-ICE 320C14/C15/C16/C2x/C5x, Control System: CSDK-14, 25, 31, 50In-Circuit Emulator: P-ICE 320C14/C15/C16/C2x/C5x, Control System: CSDK-14, 25, 31, 50
Dialogic Corporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-126 Antares PlatformAntares Platform
Dicon Lab, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-127 DSProto3X Series Development SystemsDSProto3X Series Development Systems
Digisonix, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-128 DigiWare™ Application Development System for Active Sound & Vibration ControlDigiWare™ Application Development System for Active Sound & Vibration Control
x
dli digital logic instruments GmbH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-129 Personal LinePersonal Line pro Linepro Line
Domain Technologies, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-131 LINK-C30LINK-C30 PCMCIA-C5xPCMCIA-C5x Toolset-C31Toolset-C31 Debug-C3xDebug-C3x
Electronic Tools GmbH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-135 miniKit DSP ModulesminiKit DSP Modules EVM32 Evaluation BoardEVM32 Evaluation Board
Eonic Systems, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-138 Custom Engineering and ConsultancyCustom Engineering and Consultancy Virtuoso NanoVirtuoso Nano Virtuoso MicroVirtuoso Micro Virtuoso Modulo 0, 1, 2, 3, 4, 5, 6Virtuoso Modulo 0, 1, 2, 3, 4, 5, 6 Virtuoso Synchro /VSPVirtuoso Synchro /VSP Virtuoso Multi-Threaded Host ServerVirtuoso Multi-Threaded Host Server Virtuoso Classico /VSP Technology License2Virtuoso Classico /VSP Technology License2 Virtuoso RTOS Board Porting KitVirtuoso RTOS Board Porting Kit Virtuoso Technology LicenseVirtuoso Technology License
EPIX, Incorporated . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-147 4MEG VIDEO Model 104MEG VIDEO Model 10 4MEG VIDEO Model 124MEG VIDEO Model 12 COC402COC402
Epstein Associates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-150 K9APE™ Automatic Image Analysis Inspection SystemsK9APE™ Automatic Image Analysis Inspection Systems
ESSE-GI s.r.l. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-149 EASY-C80 ProjectEASY-C80 Project
GSE Gesellschaft fuer Software Engineering mbH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-152 Tartan C and C++ for TMS320C3x and TMS320C4x DSPsTartan C and C++ for TMS320C3x and TMS320C4x DSPs Tartan ADA Development Systems for TMS320C3x and TMS320C4xTartan ADA Development Systems for TMS320C3x and TMS320C4x
General Imaging Corporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-154 MegaPIPEMegaPIPE S/IP 80S/IP 80 ProtoPIPEProtoPIPE ProtoLIBProtoLIB
GO DSP Corporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-158 Code Composer 1.5Code Composer 1.5
hema Elektronik Fertigungs-und Vertriebs GmbH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-159 DSP1/DSP2DSP1/DSP2 VSP1VSP1
xi
hema Elektronik Fertigungs-und Vertriebs GmbH (Continued) HPSI1HPSI1 DSP3DSP3
High-Tech Services Partners . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-163 HSPTIM-ADM Family of Data Acquisition TIM ModulesHSPTIM-ADM Family of Data Acquisition TIM Modules MZ7772 family of VME boardsMZ7772 family of VME boards MZ4700 Family of VME BoardsMZ4700 Family of VME Boards HESB40 SBus to TIM-40 AdapterHESB40 SBus to TIM-40 Adapter HETIM-40 Family of CPU TIM ModulesHETIM-40 Family of CPU TIM Modules HETIM-IO Family of I/O TIM ModulesHETIM-IO Family of I/O TIM Modules
HotHaus Technologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-169 HotHaus TRUNK Telephony ModuleHotHaus TRUNK Telephony Module HotHaus Memory ModuleHotHaus Memory Module
Hunt Engineering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-171 HEPC2-M, HEPC3, HEPC2104, HESB40, HEV40, HEV40M, HET40C and CustomHEPC2-M, HEPC3, HEPC2104, HESB40, HEV40, HEV40M, HET40C and Custom HET40X, HET40SX, HET40SDX, HETWIN, HETWIN-44, HEQUAD and CustomHET40X, HET40SX, HET40SDX, HETWIN, HETWIN-44, HEQUAD and Custom HETVIO, HECFG44, HECCFG44, HEGD4, VIPTIM, SMT304 and CustomHETVIO, HECFG44, HECCFG44, HEGD4, VIPTIM, SMT304 and Custom HETBASEIO, HEXTIM, IPackTIM, HETAIO, HETIO, FLASH and CustomHETBASEIO, HEXTIM, IPackTIM, HETAIO, HETIO, FLASH and Custom HET403tl, HET403dtl, TAXIT, TAXIR, HEGD3 and CustomHET403tl, HET403dtl, TAXIT, TAXIR, HEGD3 and Custom TI C/ASM, Tartan C/C++, Coffloader, Parallel C, Helios, Virtuoso, SPOX, TI C/ASM, Tartan C/C++, Coffloader, Parallel C, Helios, Virtuoso, SPOX,
Source-Level Debugger, Application Libraries Source-Level Debugger, Application Libraries
Hyperception, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-177 HypersignalHypersignal®® for Windows RT-4 for Windows RT-4
IAT AG IAT Deutschland GmbH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-178 H.320 Developers’ Kit A2H.320 Developers’ Kit A2
I.S.I.T. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-179 DSPROTODSPROTO POWER100 Super Universal Programmer and TesterPOWER100 Super Universal Programmer and Tester T12840T12840 LINK-C30LINK-C30 STA132STA132
Image & Signal Processing, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-184 Blazer Hex 6U VME BoardBlazer Hex 6U VME Board
Innovative Integration Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-185 PC32 ISA Bus Plug-In SupercontrollerPC32 ISA Bus Plug-In Supercontroller PC31 ISA Bus Plug-In SupercontrollerPC31 ISA Bus Plug-In Supercontroller PC44 ISA Bus Plug-In SupercontrollerPC44 ISA Bus Plug-In Supercontroller PC50 ISA Bus Plug-In SupercontrollerPC50 ISA Bus Plug-In Supercontroller PCI32 PCI Bus Plug-In SupercontrollerPCI32 PCI Bus Plug-In Supercontroller PCI44 PCI Bus Plug-In SupercontrollerPCI44 PCI Bus Plug-In Supercontroller SBC31 Standalone Embeddable SupercontrollerSBC31 Standalone Embeddable Supercontroller SBC32 Standalone Embeddable SupercontrollerSBC32 Standalone Embeddable Supercontroller COM44 TIM40-Compatible ’C44 Processor ModuleCOM44 TIM40-Compatible ’C44 Processor Module TIM44 High-Performance TIM40 Processor ModuleTIM44 High-Performance TIM40 Processor Module GRABBER31 High-Speed Dual-Channel Analog Capture CardGRABBER31 High-Speed Dual-Channel Analog Capture Card
xii
Innovative Integration Inc. (Continued)MEM31 DRAM Memory Expansion CardMEM31 DRAM Memory Expansion Card
CAM3X 3XBUS-Compatible Digital Camera InterfaceCAM3X 3XBUS-Compatible Digital Camera Interface SCSI3X 3XBUS SCSI-2 Adapter Card SCSI3X 3XBUS SCSI-2 Adapter Card LINK4X 4XBUS-Compatible DSP~LINK InterfaceLINK4X 4XBUS-Compatible DSP~LINK Interface EDRAM4X 4XBUS-Compatible RAM ExpansionEDRAM4X 4XBUS-Compatible RAM Expansion SCSI4X High-Performance 4XBUS-Compatible SCSI-2 AdapterSCSI4X High-Performance 4XBUS-Compatible SCSI-2 Adapter DEBUG3X/4X/5X JTAG/MPSD Scan-Path DebuggersDEBUG3X/4X/5X JTAG/MPSD Scan-Path Debuggers
INRIA, Rocquencourt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-203 SynDExSynDEx
Instrumental Systems Corporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-204DSP25WDSP25W
DSP30BDSP30B DSP40QDSP40Q DST44QDST44Q DST40A8x40MDST40A8x40M DST40DDCDST40DDC
DSP50QDSP50Q DSP80DSP80 EML510EML510
JOVIAN Systems, Inc.�An ADAC Company . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-213 Pegasus Parallel Processing Development EnvironmentPegasus Parallel Processing Development Environment Io and Triton seriesIo and Triton series
Kane Computing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-215 SMT 311 - FFT Processing TIMSMT 311 - FFT Processing TIM SMT 306 Neural Processing TIMSMT 306 Neural Processing TIM SMT 301SMT 301 KC3x Series KC3x Series KCSbus Series KCSbus Series KC7000 Series KC7000 Series KC44PCI SeriesKC44PCI Series KC5xPC SeriesKC5xPC Series KCE Series KCE Series KC7928KC7928 KC4700 SeriesKC4700 Series
Loughborough Sound Images plc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-226 MDC40S Parallel DSP ModuleMDC40S Parallel DSP Module MDC40D Parallel DSP ModuleMDC40D Parallel DSP Module MDC40T Twin Processor Parallel OSP ModuleMDC40T Twin Processor Parallel OSP Module MDC40HB Parallel DSP ModuleMDC40HB Parallel DSP Module MDC40ED Parallel DSP ModuleMDC40ED Parallel DSP Module MDC40YS Shared-Memory ModuleMDC40YS Shared-Memory Module MDC40IM Monochrome Image-Processing ModuleMDC40IM Monochrome Image-Processing Module MDC40IC Color Image-Processing ModuleMDC40IC Color Image-Processing Module MDC40IL Image-Processing Module with Line-Scan Camera InterfaceMDC40IL Image-Processing Module with Line-Scan Camera Interface MDC40X Communications ModulesMDC40X Communications Modules DBV44 Quad-Module Board with VMEbus Slave InterfaceDBV44 Quad-Module Board with VMEbus Slave Interface DBV42 Modular TMS320C4x Board with Shared Memory and VMEbus Master/Slave InterfaceDBV42 Modular TMS320C4x Board with Shared Memory and VMEbus Master/Slave Interface DBV46 Quad-Module Board with VMEbus with Master/Slave InterfaceDBV46 Quad-Module Board with VMEbus with Master/Slave Interface
xiii
Loughborough Sound Images plc (Continued) DBV41DBV41 QPC/C40S TMS320C4x Parallel-Processing SystemQPC/C40S TMS320C4x Parallel-Processing System OPC/C40B Quad-Module TMS320C4x Parallel DSP and Parallel-Processing BoardOPC/C40B Quad-Module TMS320C4x Parallel DSP and Parallel-Processing Board DPC/C40B Dual-Module TMS320C4x Parallel-Processing BoardDPC/C40B Dual-Module TMS320C4x Parallel-Processing Board PC/C40EVM TMS320C40 Evaluation and Development Board PC/C40EVM TMS320C40 Evaluation and Development Board MDC44S Parallel DSP Module MDC44S Parallel DSP Module MDC44T Twin-Processor Parallel DSP Module MDC44T Twin-Processor Parallel DSP Module PCI/C44 TMS320C4x Parallel DSP Processing SystemPCI/C44 TMS320C4x Parallel DSP Processing System PC/C32 TMS320C32 Real-Time SystemsPC/C32 TMS320C32 Real-Time Systems DBV31A TMS320C31 VMEbus Processor with Flexible I/ODBV31A TMS320C31 VMEbus Processor with Flexible I/O PC/C31 Real-Time Applications BoardPC/C31 Real-Time Applications Board TMS320C30 System BoardTMS320C30 System Board TMS320C30 Processor BoardTMS320C30 Processor Board TMS320C30 SBus BoardTMS320C30 SBus Board TMS320C25 System BoardTMS320C25 System Board TMS320C25 Processor BoardTMS320C25 Processor Board TMS320C50 Development and Application BoardTMS320C50 Development and Application Board PCI/C80 Applications BoardPCI/C80 Applications Board TMS320C80 MVP-EVM BoardTMS320C80 MVP-EVM Board
Macrochip Research, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-258 BTT-523 Breakpoint, Trace, and Timing Analysis SystemBTT-523 Breakpoint, Trace, and Timing Analysis System
MEDAV Digitale Signalverarbeitung GmbH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-259 DSP-KITDSP-KIT SPEKTRO-KITSPEKTRO-KIT UD/VD-5000UD/VD-5000
Mentor Graphics Corporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-262 DSP Station, ASSYNT_C30, ASSYNT_C40DSP Station, ASSYNT_C30, ASSYNT_C40
MicroLAB Systems Ltd . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-263 MIRAGE-510D Dual-Channel Scan-Path Emulator for TMS320C3x/’C4x/’C5xMIRAGE-510D Dual-Channel Scan-Path Emulator for TMS320C3x/’C4x/’C5x TORNADO-3x DSP Systems and ’C3x Emulators DirectoryTORNADO-3x DSP Systems and ’C3x Emulators Directory TORNADO-30 Advanced DSP System and ’C3x EmulatorTORNADO-30 Advanced DSP System and ’C3x Emulator TORNADO-31 DSP System and ’C3x EmulatorTORNADO-31 DSP System and ’C3x Emulator TORNADO-31Z DSP System and ’C3x EmulatorTORNADO-31Z DSP System and ’C3x Emulator TORNADO-30Z DSP System and ’C3x EmulatorTORNADO-30Z DSP System and ’C3x Emulator UECM-30 Universal Emulation Control Daughter Module for UECM-30 Universal Emulation Control Daughter Module for
TORNADO-3x DSP Systems and External ’C3x DSPs TORNADO-3x DSP Systems and External ’C3x DSPs TORNADO-40 DSP System and ’C4x/’C5x EmulatorTORNADO-40 DSP System and ’C4x/’C5x Emulator TORNADO-40D Advanced Dual ’C40 DSP System and ’C4x/’C5x EmulatorTORNADO-40D Advanced Dual ’C40 DSP System and ’C4x/’C5x Emulator TORNADO-44 DSP System and ’C4x/’C5x EmulatorTORNADO-44 DSP System and ’C4x/’C5x Emulator TORNADO-44D Advanced Dual ’C44 DSP System and ’C4x/’C5x EmulatorTORNADO-44D Advanced Dual ’C44 DSP System and ’C4x/’C5x Emulator UECM-JTAG Universal Emulation-Control Daughter Module for UECM-JTAG Universal Emulation-Control Daughter Module for
TORNADO-4x/5x DSP Systems and External ’C4x/’C5x DSPs TORNADO-4x/5x DSP Systems and External ’C4x/’C5x DSPs TORNADO-PX/PXDP DSP Coprocessors for PIOX I/F of TORNADO-3x/4x DSP SystemsTORNADO-PX/PXDP DSP Coprocessors for PIOX I/F of TORNADO-3x/4x DSP Systems TORNADO-SX DSP Coprocessors for Host SIOX I/F of TORNADO-SX DSP Coprocessors for Host SIOX I/F of
TORNADO-3x/5x DSP Systems and TORNADO-PX3x/PX5x PIOX Coprocessors TORNADO-3x/5x DSP Systems and TORNADO-PX3x/PX5x PIOX Coprocessors Data Acquisition Daughter Modules for SIOX/PIOX/LIOX Interfaces of Data Acquisition Daughter Modules for SIOX/PIOX/LIOX Interfaces of
TORNADO DSP Systems and SIOX/PIOX Coprocessors TORNADO DSP Systems and SIOX/PIOX Coprocessors
xiv
Mizar, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-278 MZ 7772 Octal ‘C40 DSP Engine (Quad Version Available)MZ 7772 Octal ‘C40 DSP Engine (Quad Version Available) MZ 7775 Quad ’C40 Mil-Spec DSP EngineMZ 7775 Quad ’C40 Mil-Spec DSP Engine MZ 7778 Octal ’C40 Mil-Spec DSP EngineMZ 7778 Octal ’C40 Mil-Spec DSP Engine MZ 4700 Single/Dual ’C80 Parallel DSP EngineMZ 4700 Single/Dual ’C80 Parallel DSP Engine MZ 7914 Dual/Quad ’C44 ISA BoardMZ 7914 Dual/Quad ’C44 ISA Board MZ 7928 Single ’C80 PCI DSP EngineMZ 7928 Single ’C80 PCI DSP Engine
Momentum Data Systems, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-284 DSPworksDSPworks QEDesign1000 / QEDesign 2000QEDesign1000 / QEDesign 2000 Multirate Filter DesignMultirate Filter Design Sample Rate ConversionSample Rate Conversion Quadrature Mirror Filter BankQuadrature Mirror Filter Bank Condor-517Condor-517
MultiDSP Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-290 JPEG Library JPEG Library ASP 2.0ASP 2.0 DSPlus 2.0DSPlus 2.0 DigiFilter 2.0DigiFilter 2.0
Nova Engineering, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-294 Starburst 104C31Starburst 104C31 Starburst 104C5xStarburst 104C5x
Numerix Ltd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-296 SigLibSigLib
ORINCON Technologies, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-297 RIPPENRIPPEN
Orsys GmbH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-298 „micro-line“ Embedded DSP Board Family–’C31„micro-line“ Embedded DSP Board Family–’C31 „micro-line“ Embedded DSP Board Family–’C32„micro-line“ Embedded DSP Board Family–’C32 „micro-line“ Embedded DSP Board Family–’C44„micro-line“ Embedded DSP Board Family–’C44
Pacific Cyber/Metrix Inc. (PC/M Inc.) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-301 DSP-444DSP-444 DSP-449DSP-449 VSP-91VSP-91 DSP-428DSP-428 DSPC-44DSPC-44 DSPC-49DSPC-49
Pentek, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-307 Model 4285 Octal ’C40 Digital Signal Processor Board for VMEbusModel 4285 Octal ’C40 Digital Signal Processor Board for VMEbus Model 4270 Quad ’C40 Digital Signal Processor Board for VMEbusModel 4270 Quad ’C40 Digital Signal Processor Board for VMEbus Model 4269 is a Dual ’C40 Digital Signal Processor Board for VMEbusModel 4269 is a Dual ’C40 Digital Signal Processor Board for VMEbus Model 4284 ’C40 Digital Signal Processor Board for VMEbusModel 4284 ’C40 Digital Signal Processor Board for VMEbus Model 4257/00 Dual ’C40 Digital Signal Processor Board for VMEbusModel 4257/00 Dual ’C40 Digital Signal Processor Board for VMEbus Model 4254/00 ’C40 Digital Signal Processor Board for VMEbusModel 4254/00 ’C40 Digital Signal Processor Board for VMEbus
xv
Pentek, Inc. (Continued) Model 4283 TMS320C30 Digital Signal Processor Board for VMEbusModel 4283 TMS320C30 Digital Signal Processor Board for VMEbus Model 4280 Dual ’C31 DSP Board with Four-Channel Analog I/O for VMEbusModel 4280 Dual ’C31 DSP Board with Four-Channel Analog I/O for VMEbus Model 4247/00 ’C30 Digital Signal Processor Board for VMEbusModel 4247/00 ’C30 Digital Signal Processor Board for VMEbus Model 4241 Parallel I/O MIX ModuleModel 4241 Parallel I/O MIX Module Model 4242 18-Bit A/D and D/A Converter MIX ModuleModel 4242 18-Bit A/D and D/A Converter MIX Module Model 4243 Two-Channel 18-Bit A/D and D/A Converter MIX ModuleModel 4243 Two-Channel 18-Bit A/D and D/A Converter MIX Module Model 4245 32-Channel 16-Bit A/D Converter MIX ModuleModel 4245 32-Channel 16-Bit A/D Converter MIX Module Model 4246 10-MHz 12-Bit A/D Converter MIX ModuleModel 4246 10-MHz 12-Bit A/D Converter MIX Module Model 4248 32-Channel 12-Bit or 14-Bit A/D ConverterModel 4248 32-Channel 12-Bit or 14-Bit A/D Converter Model 4249 1-MHz 12-Bit A/D and D/A Converter MIX ModuleModel 4249 1-MHz 12-Bit A/D and D/A Converter MIX Module Model 4250 1-MHz 12-Bit A/D Converter MIX ModuleModel 4250 1-MHz 12-Bit A/D Converter MIX Module Model 4252 16-Channel 16-Bit A/D and D/A Converter MIX ModuleModel 4252 16-Channel 16-Bit A/D and D/A Converter MIX Module Model 4253 32-Channel 12-Bit D/A Converter MIX ModuleModel 4253 32-Channel 12-Bit D/A Converter MIX Module Model 4255 SCSI Interface MIX ModuleModel 4255 SCSI Interface MIX Module Model 4261 10-MHz, 12-Bit or 5-MHz, 14-Bit A/D Converter BoardModel 4261 10-MHz, 12-Bit or 5-MHz, 14-Bit A/D Converter Board Model 4263 2-Channel, 12-Bit, 20-MHz A/D Converter VME BoardModel 4263 2-Channel, 12-Bit, 20-MHz A/D Converter VME Board Model 4265 16-Channel, 16-Bit A/D and D/A Converter VME BoardModel 4265 16-Channel, 16-Bit A/D and D/A Converter VME Board Model 4273 Time Code Reader MIX ModuleModel 4273 Time Code Reader MIX Module Model 4274 10-MHz, 14-Bit A/D Converter MIX ModuleModel 4274 10-MHz, 14-Bit A/D Converter MIX Module Model 4275 32-Channel, 16-Bit A/D Converter MIX ModuleModel 4275 32-Channel, 16-Bit A/D Converter MIX Module Model 6102 8-Channel, 300-kHz, 16-Bit A/D and D/A Converter VME BoardModel 6102 8-Channel, 300-kHz, 16-Bit A/D and D/A Converter VME Board Model 6105 & Model 6106 8-Channel, 2-MHz 12-/14-Bit A/D Converter VME BoardModel 6105 & Model 6106 8-Channel, 2-MHz 12-/14-Bit A/D Converter VME Board Model 6402 2-Channel, 300-kHz A/D Converter VME BoardModel 6402 2-Channel, 300-kHz A/D Converter VME Board Model 6410 2-Channel, 10-MHz, 14-Bit A/D Converter VME BoardModel 6410 2-Channel, 10-MHz, 14-Bit A/D Converter VME Board Model 6425 12-MHz, 12-Bit A/D Converter VME BoardModel 6425 12-MHz, 12-Bit A/D Converter VME Board Model 6441 2-Channel, 41-MHz, 12-Bit A/D Converter VME BoardModel 6441 2-Channel, 41-MHz, 12-Bit A/D Converter VME Board Model 6472 2-Channel, 70-MHz, 10-Bit A/D Converter VME BoardModel 6472 2-Channel, 70-MHz, 10-Bit A/D Converter VME Board Model 6470 70-MHz, 10-Bit A/D Converter VME BoardModel 6470 70-MHz, 10-Bit A/D Converter VME Board SwiftTools Multiprocessing C Language Software Development EnvironmentSwiftTools Multiprocessing C Language Software Development Environment
PHYTEC Meßtechnik GmbH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-342 miniMODUL-DSP-C5xminiMODUL-DSP-C5x
Portescap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-343 S.O.A.P. Motion Software, MCT31 Motion Development BoardS.O.A.P. Motion Software, MCT31 Motion Development Board
Poznan University of Technology Institute of Electronics and Telecommunication . . . . . . . . . . . . . . . . . . . . .3-344 TMS SystemTMS System DTMF Detection SoftwareDTMF Detection Software
Precision Digital Images . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-346 Precision MXPrecision MX
Primary Image Ltd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-347 P10P10
Prodrive B.V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-348 PDT40PDT40
S.E.E.D. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-349 SEEboarD TI25-50 (code H001-94-C25-100)SEEboarD TI25-50 (code H001-94-C25-100) SEEboarD TI31-33 (code H005-95-C31-100)SEEboarD TI31-33 (code H005-95-C31-100) SBD25-CY/IC (code H002-95-SBD-100)SBD25-CY/IC (code H002-95-SBD-100)
xvi
S.E.E.D. (Continued) HARVEST-MCH8 (code H001-95-SBD-100)HARVEST-MCH8 (code H001-95-SBD-100) OAK/S (code H001-93-C26-100)OAK/S (code H001-93-C26-100) SAAI M16/PH23 (code H002-94-TSI-100)SAAI M16/PH23 (code H002-94-TSI-100) SADI AD78/CS02 (code H003-94-TSI-100)SADI AD78/CS02 (code H003-94-TSI-100) SBD25-31/EC (code H004-95-SBD-100)SBD25-31/EC (code H004-95-SBD-100) SDAI CS12/PH23 (code H004-94-TSI-100)SDAI CS12/PH23 (code H004-94-TSI-100) SEExover/D (code H003-95-C26-100)SEExover/D (code H003-95-C26-100)
SHAKTI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-359 SHAKTI_MTVSHAKTI_MTV
Siemens AG Österreich . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-360 Design and Development Support for TI ASICs with cDSPsDesign and Development Support for TI ASICs with cDSPs
Signalogic, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-361 SigC31-4SigC31-4 PC31PC31 PC32PC32 DSPower-Block DiagramDSPower-Block Diagram Hypersignal-MacroHypersignal-Macro Hypersignal-Macro EXHypersignal-Macro EX Hypersignal-AcousticHypersignal-Acoustic DSPower-HWLib DLLDSPower-HWLib DLL DSP Source Code InterfacesDSP Source Code Interfaces
Signix Corporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-370 DISPRODISPRO®®/Professional, DISPRO/Personal/Professional, DISPRO/Personal
SimPhonics, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-371 ISA-30 ’C30 DSP CardISA-30 ’C30 DSP Card
Sonitech International . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-372 SPIRIT™-30 AT/ISASPIRIT™-30 AT/ISA SPIRIT-30 SBusSPIRIT-30 SBus SPIRIT-30 VMESPIRIT-30 VME SPIRIT-40 AT/ISASPIRIT-40 AT/ISA SPIRIT-40 PCISPIRIT-40 PCI SPIRIT-40 VMESPIRIT-40 VME ACOMMACOMM BRAHMA MPSD/JTAGBRAHMA MPSD/JTAG DAQ-200DAQ-200 HSA4030 SeriesHSA4030 Series QUAD-40 VMEQUAD-40 VME SPIRIT-lite™SPIRIT-lite™ SPIRIT ImageSPIRIT Image SPIRIT-Jr ™SPIRIT-Jr ™
Spectrum Digital, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-386 EVM320C5XEVM320C5X SBC320C52SBC320C52 SBC320C203SBC320C203 Motor Development SystemMotor Development System EMU320C3XEMU320C3X
xvii
Spectrum Digital, Inc. (Continued) EMU320C4XEMU320C4X EMU320C5XEMU320C5X DSP-VBXDSP-VBX RTXC, Real-Time Executive in CRTXC, Real-Time Executive in C
Spectrum Signal Processing Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-395 Precidio VX8Precidio VX8 PC/C31 Real-Time Applications BoardPC/C31 Real-Time Applications Board MEDIA~LINK C31 Quad Processor BoardMEDIA~LINK C31 Quad Processor Board PC/C32 Real-Time System BoardPC/C32 Real-Time System Board PC Daughter Module Carrier BoardPC Daughter Module Carrier Board Precidio QPC40S Processor BoardPrecidio QPC40S Processor Board Precidio PCI/C44 Processor BoardPrecidio PCI/C44 Processor Board Aurora PCI/C80 Applications BoardAurora PCI/C80 Applications Board Precidio QPC40 Processor BoardPrecidio QPC40 Processor Board Precidio DPC40 System BoardPrecidio DPC40 System Board Precidio CV6 TMS320C40 VME64 Master BoardPrecidio CV6 TMS320C40 VME64 Master Board Precidio CV4 TMS320C40 VME Co-Processor BoardPrecidio CV4 TMS320C40 VME Co-Processor Board Precidio CV2 TMS320C40 VME Master BoardPrecidio CV2 TMS320C40 VME Master Board Spectrum 3U VME I/O BoardsSpectrum 3U VME I/O Boards PEROM ToolsPEROM Tools VME Daughter Module Carrier BoardVME Daughter Module Carrier Board Precidio MDC40YS Shared-Memory ModulePrecidio MDC40YS Shared-Memory Module Precidio MDC40S C40 SRAM Module0Precidio MDC40S C40 SRAM Module0 Precidio MDC44S ’C44 SRAM ModulePrecidio MDC44S ’C44 SRAM Module Precidio MDC44T Dual-’C44 SRAM ModulePrecidio MDC44T Dual-’C44 SRAM Module Precidio MDC40T Dual-’C40 SRAM ModulePrecidio MDC40T Dual-’C40 SRAM Module Precidio MDC40D ’C40 DRAM ModulesPrecidio MDC40D ’C40 DRAM Modules Precidio MDC40HB ’C40 S/DRAM ModulesPrecidio MDC40HB ’C40 S/DRAM Modules Precidio MDC40ED ’C40 EDRAM ModulePrecidio MDC40ED ’C40 EDRAM Module Precidio MDC40IM or IC Image-Processing DSP ModulePrecidio MDC40IM or IC Image-Processing DSP Module Precidio MDC40IL Linescan Image-Processing ModulePrecidio MDC40IL Linescan Image-Processing Module Precidio MDC40X Copper or Fiber TAXI ModulePrecidio MDC40X Copper or Fiber TAXI Module MVP-EVM TMS320C80 Evaluation BoardMVP-EVM TMS320C80 Evaluation Board ILIB Image-Processing LibrariesILIB Image-Processing Libraries REDS Remote Ethernet DebuggerREDS Remote Ethernet Debugger RELib Remote Ethernet LibraryRELib Remote Ethernet Library SunOS and Solaris Interface LibrariesSunOS and Solaris Interface Libraries SBus-to-VME Converter SunOS/Solaris Debug Support SoftwareSBus-to-VME Converter SunOS/Solaris Debug Support Software Embedded SPARC SunOS/Solaris Debug Support SoftwareEmbedded SPARC SunOS/Solaris Debug Support Software DSP31 TMS320C31 3U VME BoardDSP31 TMS320C31 3U VME Board Spectrum XDSC3x/4xSpectrum XDSC3x/4x Embedded PC DOS Debug Support SoftwareEmbedded PC DOS Debug Support Software SBusC30SBusC30 PC to VMEbus Converter DOS Debug Support SoftwarePC to VMEbus Converter DOS Debug Support Software Net API ’C40 Debug ToolsNet API ’C40 Debug Tools PC/C50 System BoardPC/C50 System Board VxWorks Interface LibraryVxWorks Interface Library
Sundance Multiprocessor Technology Ltd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-437 SMT300 4-Slot TIM Motherboard for PCSMT300 4-Slot TIM Motherboard for PC SMT302 EDRAM TIMSMT302 EDRAM TIM SMT303 Arithmetic Frame GrabberSMT303 Arithmetic Frame Grabber SMT304 Graphics Accelerator TIMSMT304 Graphics Accelerator TIM SMT305 High Memory TIMSMT305 High Memory TIM SMT306SMT306 SMT307 SCSI TIM ModuleSMT307 SCSI TIM Module
xviii
Sundance Multiprocessor Technology Ltd. (Continued) SMT308 Digital Video Interface TIMSMT308 Digital Video Interface TIM SMT309 Run Length Encoder TIMSMT309 Run Length Encoder TIM SMT311 FFT Accelerator TIMSMT311 FFT Accelerator TIM SMT312 RS232 and Fibre Modem TIMSMT312 RS232 and Fibre Modem TIM SMT313 Dual-Processor ’C44 EDRAM TIM ModuleSMT313 Dual-Processor ’C44 EDRAM TIM Module SMT318 Dual Digital Video Interface TIMSMT318 Dual Digital Video Interface TIM SMT319SMT319
Synetcom Digital, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-451 Packet Radio ModemPacket Radio Modem
Synopsys, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-452 SmartModel Behavioral ModelsSmartModel Behavioral Models ModelSource, LM-Family Full-Functional Hardware ModelsModelSource, LM-Family Full-Functional Hardware Models COSSAP DSP Design ToolsCOSSAP DSP Design Tools
SYNTECH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-455 DIVA’SDIVA’S DUODUO EXPORTEXPORT
Tartan, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-458 Tartan Ada Development Systems for Texas Instruments TMS320C3x and ’C40 DSPsTartan Ada Development Systems for Texas Instruments TMS320C3x and ’C40 DSPs Tartan Development Systems for C/C++Tartan Development Systems for C/C++ Tartan Development Systems for CTartan Development Systems for C FasTar, FloTar, VecTar, and SigTar Math LibrariesFasTar, FloTar, VecTar, and SigTar Math Libraries
Tasking Software Italia, S.r.l. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-462 USP-10 for TMS320C10/’C15/’C16/’C17 USP-25 for TMS32OC25/’C26USP-10 for TMS320C10/’C15/’C16/’C17 USP-25 for TMS32OC25/’C26 RTXCRTXC NucleusNucleus
Technology 80 Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-465 Models 50A and 51A Servo Motor ControllersModels 50A and 51A Servo Motor Controllers Models 4350A and 4351A STD Servo Motor ControllersModels 4350A and 4351A STD Servo Motor Controllers Models 5650A and 5651A PC Servo Motor ControllersModels 5650A and 5651A PC Servo Motor Controllers
TEIMA Audiotex . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-468 TEIMA21TEIMA21 TA-MC3040TA-MC3040 TA-C31TA-C31
Tektronix Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-471 DASDAS®® NT / DAS NT / DAS®® XP, TLA 510/520 XP, TLA 510/520
Transtech Parallel Systems Corporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-472 TDMB414TDMB414 TDMB412TDMB412 TDMB423TDMB423 TDMB424TDMB424 TDMB428TDMB428 TDM430 Memory/Boot ModuleTDM430 Memory/Boot Module
xix
Transtech Parallel Systems Corporation (Continued) TDM431 Dual-Channel Analog I/O ModuleTDM431 Dual-Channel Analog I/O Module TDM432 Fiber Optic LinkTDM432 Fiber Optic Link TDM435 Image-Processing ModuleTDM435 Image-Processing Module TDM436 Color/Monochrome FramegrabberTDM436 Color/Monochrome Framegrabber TDM4xx SeriesTDM4xx Series
Traquair Data Systems, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-483 HEV40: VME Slave TIM-40 MotherboardHEV40: VME Slave TIM-40 Motherboard HEV40M: VME Bus Master TIM-40 MotherboardsHEV40M: VME Bus Master TIM-40 Motherboards HESB40: SBus Interface and In-Circuit EmulatorHESB40: SBus Interface and In-Circuit Emulator HEPC2: TIM-40 Motherboard and In-Circuit EmulatorHEPC2: TIM-40 Motherboard and In-Circuit Emulator HEPC3: TIM-40 Motherboard and In-Circuit EmulatorHEPC3: TIM-40 Motherboard and In-Circuit Emulator HEPC2104: Slave PC/104 DSP BoardHEPC2104: Slave PC/104 DSP Board HET40: Single-Processor TIM-40 Processing ModulesHET40: Single-Processor TIM-40 Processing Modules HETWIN: Twin-Processor TIM-40 Processing ModulesHETWIN: Twin-Processor TIM-40 Processing Modules HEQUAD: Quad-Processor TIM-40 Processing ModulesHEQUAD: Quad-Processor TIM-40 Processing Modules Image-Processing ModulesImage-Processing Modules HEXTIM: Hardware Expansion and Prototyping TIM-40 ModuleHEXTIM: Hardware Expansion and Prototyping TIM-40 Module IPackTIM: IndustryPack™ TIM-40 ModuleIPackTIM: IndustryPack™ TIM-40 Module HEXTAXI: Fiber Optic Interface TIM-40 ModulesHEXTAXI: Fiber Optic Interface TIM-40 Modules Multiple Channel 12-Bit and 16-Bit Analog InterfacesMultiple Channel 12-Bit and 16-Bit Analog Interfaces HETAIO: Stereo Audio InterfaceHETAIO: Stereo Audio Interface HESCSI: Disk Controller and SCSI InterfaceHESCSI: Disk Controller and SCSI Interface
V.D.S. Video Display Systems s.r.l. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-499 VP280VP280
VisionSmart Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-500 VS 1C8x-1 VS 1C8x-1 VS 9C50-1 VS 9C50-1 VS 8C50-2 VS 8C50-2 VS 9C25-1,VS 9C26-2VS 9C25-1,VS 9C26-2
Voice Processing Corporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-504VPro-42, VPro-84, VPro-88VPro-42, VPro-84, VPro-88
Wavelink Technology Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-505WTIM004 SRAM Processing TIM-40WTIM004 SRAM Processing TIM-40
WTIM001 AT-Based TIM-40WTIM001 AT-Based TIM-40 C4F True Color FramegrabberC4F True Color Framegrabber C4D Data-Acquisition SystemC4D Data-Acquisition System C4T Dual-Processor ModuleC4T Dual-Processor Module
White Mountain DSP, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .3-510 Mountain-510 Universal EmulatorMountain-510 Universal Emulator Mountain-510/LT Universal EmulatorMountain-510/LT Universal Emulator Mountain-510/WS Universal Workstation EmulatorMountain-510/WS Universal Workstation Emulator Vista-MPVista-MP Surveyor™ Surveyor™ Mountain-30 Emulator/Target SystemMountain-30 Emulator/Target System Mountain-32 Emulator/Target SystemMountain-32 Emulator/Target System Slalom-40 Development SystemSlalom-40 Development System Slalom-50 Development SystemSlalom-50 Development System
xx
Wintriss Engineering Corp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-519 Cornet 1000 DSP Development BoardsCornet 1000 DSP Development Boards Maestro 2100S DSP Development BoardsMaestro 2100S DSP Development Boards Maestro 2100D DSP Development BoardsMaestro 2100D DSP Development Boards Master MVP Development SystemsMaster MVP Development Systems
Xcom Multimedia Communications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-523 XF30/MVIPXF30/MVIP
Zeelan Technology, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-524 MasterModelMasterModel®® TMS320C Model Libraries TMS320C Model Libraries
Chapter 4�Third-Party Consultants 4-1
AB-RoBioMat di Angelo Baronchelli & Dott. Gàbor GulyàsAB-RoBioMat di Angelo Baronchelli & Dott. Gàbor Gulyàs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-34-3
CHEOPS GmbH & Co. KG CHEOPS GmbH & Co. KG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-44-4
Czech Scientific and Technical SocietyCzech Scientific and Technical Society . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-54-5
D2 Technologies, Inc.D2 Technologies, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-64-6
DNA Enterprises, Inc.DNA Enterprises, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . 4-74-7
DSP Tools, Inc.DSP Tools, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-84-8
Dicon Lab, Inc.Dicon Lab, Inc.. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 4-94-9
Digital MultiWave Processing Inc. Digital MultiWave Processing Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-104-10
Doctor Design Inc.Doctor Design Inc.. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . 4-114-11
Eberwein & Associates, Inc.Eberwein & Associates, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . 4-124-12
Electronic Tools GmbHElectronic Tools GmbH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . 4-134-13
Engineering BureauEngineering Bureau . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-144-14
Eonic Systems IncEonic Systems Inc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . ..4-154-15
Epstein AssociatesEpstein Associates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-164-16
ESSE-GI s.r.l.ESSE-GI s.r.l. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 4-174-17
Fraunhofer Institut Integrierte Schaltungen (IIS) Fraunhofer Institut Integrierte Schaltungen (IIS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-184-18
Genesis Engineering, Inc.Genesis Engineering, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . 4-194-19
hema Elektronik Fertigungs-und Vertriebs GmbHhema Elektronik Fertigungs-und Vertriebs GmbH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-204-20
InnovaSystems, Inc.InnovaSystems, Inc.. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . 4-214-21
Innovative Integration IncInnovative Integration Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . ..4-224-22
Institute for Integrated Circuits at the Technical University of Munich Institute for Integrated Circuits at the Technical University of Munich . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-234-23
JKJ Associates JKJ Associates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-244-24
M.B. International srl M.B. International srl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-254-25
MVP Development Group, Inc.MVP Development Group, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ..4-264-26
MEDAV Digitale Signalverarbeitung GmbH MEDAV Digitale Signalverarbeitung GmbH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-274-27
xxi
Third-Party Consultants (Continued)
Miguel ChinMiguel Chin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-284-28
Momentum Data Systems, Inc. Momentum Data Systems, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-294-29
MultiDSP Inc.MultiDSP Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-304-30
New World Systems New World Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . 4-314-31
Nova Engineering, Inc.Nova Engineering, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-324-32
OBJECTIF S.A.OBJECTIF S.A. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-334-33
Onyx Systems LimitedOnyx Systems Limited . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-344-34
Poznan University of Technology Institute of Electronics and Telecommunication Poznan University of Technology Institute of Electronics and Telecommunication . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . 4-354-35
Primary Image Ltd.Primary Image Ltd.. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-364-36
Prodrive B.V. Prodrive B.V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-374-37
QSD Sistemi SRLQSD Sistemi SRL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-384-38
Questra ConsultingQuestra Consulting. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-394-39
RECOSYST Research & DevelopmentRECOSYST Research & Development . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-404-40
Samjung Co., Ltd. Mediacom, Inc.Samjung Co., Ltd. Mediacom, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-414-41
Sample Rate Systems, Inc.Sample Rate Systems, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-424-42
S.E.E.D.S.E.E.D.. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-434-43
Signal-Data Signal-Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . 4-444-44
Signals and Software Limited (SASL)Signals and Software Limited (SASL). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-454-45
SIMONYI Kft. (SE&SE Ltd.) SIMONYI Kft. (SE&SE Ltd.) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . 4-464-46
Sinectonalysis, Inc. Sinectonalysis, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-474-47
Sonitech International Sonitech International . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . 4-484-48
Synetcom Digital, Inc. Synetcom Digital, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . 4-494-49
SYNTECH-CENTRE of Competence SYNTECH-CENTRE of Competence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-504-50
Systems Technology Associates Systems Technology Associates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-514-51
Tadpole Technology, Inc.Tadpole Technology, Inc.. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-524-52
Technical University of Wroclaw/BreslauTechnical University of Wroclaw/Breslau . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-534-53
Technosoft Ltd.Technosoft Ltd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-544-54
Tornado SoftwareTornado Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-554-55
University of Miskolc Department of Electrical and Electronic Engineering University of Miskolc Department of Electrical and Electronic Engineering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-564-56
V.D.S. Video Display Systems s.r.l. V.D.S. Video Display Systems s.r.l. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-574-57
White Mountain DSP, Inc.White Mountain DSP, Inc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-584-58
Wintriss Engineering Corp. Wintriss Engineering Corp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . 4-594-59
Zeidman Consulting Zeidman Consulting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . 4-604-60
Chapter 5�Trademarks 5-1
xxiii
1996 Software CooperativeResource Guide Contents
The TMS320 Software Cooperative Resource Guide (literature #SPRT111B) contains over 200 easy-to-use, off-the-shelf, digital signal processing algorithms from third-party vendors. The Software Cooperative contains algorithm data sheets on general and appli-cation-specific algorithms. These include speech, audio, image, motor control, and tele-communications software just to name a few. Contact the TI Literature Response Center to request your free guide.
Following is a detailed list of the software providers and the licensable algorithm data sheets contained in the Software Cooperative Resource Guide.
3CEncryption Package
3L Ltd.3L Parallel C
AB Nyvalla DSPAladdin Interactive DSP Workbench™, Developer Edition Version 1.0Aladdin Interactive DSP Workbench™, Standard Edition Version 1.0DSPgate™ Development Kit Version 1.3
Accelerated TechnologyNucleus Real-Time Software
Advansis SoftwareAdvansis/RT40
Atlanta Signal Processors, Inc.10 Band Graphic EqualizerCaller ID, DTMF, and Call Progress Tone DetectorsCVSD 16 kbpsEcho and ReverberationG.711—Pulse Code Modulation (64 kbps)G.722—Audio CoderG.726—Speech CoderG.728—Low-Delay CELP Speech CoderG.729 CS-ACELP Speech CoderMELP2 (Mixed-Excitation Linear Predictive) CoderMPEG Audio DecoderMPEG Audio EncoderQuiteQuiet™ Acoustic Echo Cancellation SoftwareSample-Rate Conversion
xxiv
Atlanta Signal Processors, Inc. (Continued)Self-Excited Vocoder Speech-Compression AlgorithmSubband Coder Speech-Compression AlgorithmTime Scale Modification
CSELT AURIS® 1010 AURIS® 2010ELOQUENS® 2000FLEXUS® 1000
D2 Technologies, Inc.ADSI (Analog Display Services Interface)Call Progress Tone DetectionCaller Identification DeliveryCaller Identification Delivery on Call WaitingDial Pulse or Click DetectionDTMF Digit Detection and RemovalFAX/CNG Tone DetectionG.729 CS-ACELP Voice CoderHigh-Quality ADPCM Voice CoderLow-Complexity ADPCM Voice Coder
D2 Technologies, Inc. (Continued)
Low-Complexity DTMF Digit DetectionLow-Complexity Network Echo CancellerLow-Delay Vector Quantization Voice CoderMultifrequency Tone DetectionMulti-Rate VQ Voice CoderNetwork Echo CancellerResidual Excited Linear Predictive (RELP) Voice CoderTone GenerationTime ScalingUniversal Tone DetectionVoice Activity Detection and AGC
DNA Enterprises, Inc.CPT Detector/GeneratorDTMF Detector/GeneratorMF Detector/GeneratorR2 Transceiver
DSP Software Engineering, Inc.µ-law/A-law Detector for TMS320C3x/’C4xAudio Library for TMS320C3x/’C4xAudio Line Hybrid Echo Canceller for TMS320C25/’C5xAudio Line Hybrid Echo Canceller for TMS320C3x/’C4xBellcore Caller ID Detector for TMS320C5xIDEC™ Acoustic Echo Canceller for TMS320C3x/’C4xIDEC™-II Acoustic Echo Canceller for TMS320C3x/’C4xITU G.722 SB-ADPCM Waveform Coder for TMS320C3x/’C4xITU G.722 SB-ADPCM Waveform Coder for TMS320C5xITU G.723 CELP Vocoder for TMS320C5xITU G.726 ADPCM Waveform Coder for TMS320C3x/’C4x
xxv
DSP Software Engineering, Inc. (Continued)ITU G.726 ADPCM Waveform Coder for TMS320C5xITU G.728 Low-Delay CELP Vocoder for TMS320C3x/’C4xITU G.728 LD-CELP Vocoder for TMS320C5xITU V.22bis Modem for TMS320C3x/’C4xITU V.32bis Modem for TMS320C3x/’C4xITU Group 3 Fax Modem for TMS320C3x/’C4xJPEG Still Image Compression for TMS320C3x/’C4xLow-Delay CELP Vocoder for TMS320C3x/’C4xLow-Memory CELP Vocoder for TMS320C5xMulti-Rate CELP Vocoder for TMS320C5xRelease 1.00 ITU Group 3 Fax Modem for TMS320C5xSpeech Time Scaler for TMS320C3x/’C4x USFS 1016 CELP Vocoder for TMS320C5xTelco DTMF Generator/Detector for TMS320C25/’C5xTelco DTMF Generator/Detector for TMS320C3x/’C4xTIA IS-54 VSELP Vocoder for TMS320C3x/’C4xTIA IS-54 VSELP Vocoder for TMS320C5xTelco Call Progress Generator/Detector for TMS320C25/’C5xUSFS 1015 LPC10e Vocoder V52 for TMS320C3x/’C4xUSFS 1015 LPC10e Vocoder V52 for TMS320C5xUSFS 1016 CELP Vocoder for TMS320C3x/’C4xV Series Modem Interface for TMS320C3x/’C4xVoiceWave Vocoder for TMS320C3x/’C4x
Dallas Signal ProcessingData Modem Dada Pump For Floating-Point DSPsFax Modem Dada Pump For Fixed-Point DSPsFax Modem Dada Pump For Floating-Point DSPs
Digisonix, Inc. DigiWare™ Application Development System for Active Sound and Vibration Control
ENEA DATAOSE Auric (Real-Time Operating System)
ElicsDELPH™-SONAR: Digital Storage and Processing for Side-Scan Sonar DataDELPH2™: Digital Acquisition and Processing for Single-Channel Seismic
Eonic SystemsVirtuoso Classico /VSPVirtuoso Classico /VSP Technology License Virtuoso Micro /SP and /MPVirtuoso ModuloVirtuoso’s Multi-Threaded Host ServerVirtuoso Nano /SP and /VSPVirtuoso RTOS Board Porting KitVirtuoso Synchro /VSP
France Telecom CNET4 SB ADPCM, High-Quality and Low-Delay Audio CoderAcoustic Echo Cancellation, Hands Free (for TMS320C5x)Acoustic Echo Cancellation, Hands Free (for TMS320C54x)G.722 With QMF Filter
xxvi
France Telecom CNET (Continued)Gain Variation for Hands-Free Situation (for ’C5x)Gain Variation for Hands-Free Situation (for ’C54x)HLTP-CELP Full-Duplex Speech CoderITU-T Fixed-Point G.722ITU-T Fixed-Point G.722 With QMF FilterITU-T Fixed-Point LD-CELP G.728ITU-T Floating-Point LD-CELP G.728ITU-T G.722 With QMF FiltersITU-T G.723 Fixed-Point LBC Speech CoderITU-T New 8-kbps G.729Wide-Band (0–7 kHz) Speech Coder at 24 kbps Low-Delay (Frame of 10 ms)
GAO Research & Consulting Ltd.GAO Acoustical Echo CancellerGAO Automatic Gain Control GAO Call Progress Tone DetectionGAO Caller ID and ADSI SoftwareGAO DTMF Detector/Generator/RemoverGAO ITU Group 3 FaxGAO Line Echo CancellerGAO Modem LibraryGAO Playback Speed Modification
High-Tech Services PartnersThe VIRTUOSO Classico RTOSThe VIRTUOSO Micro RTOSThe VIRTUOSO MODULO Set of LibrariesThe VIRTUOSO Nano RTOSThe VIRTUOSO SYNCHROThe VIRTUOSO Tools for Real-Time Application Development
HotHaus TechnologiesCaller ID ModemCentrex ModemFull-Duplex SpeakerphoneG.726 ADPCMHausWarePOTS Phone DriverPSTN DriverTelecommunications Device for the Deaf ModemV.22bis Data ModemV.27ter Fax ModemV.29 Fax Modem V.32bis Data ModemVariable-Rate CELP Coding
IAT AGiat320library
ILLICO!FAX Relay Control SoftwareModem Code for Group 3 FAX (TMS320C3x)Modem Code for Group 3 FAX (TMS320C5x/’C25)Modem Code for V.22bis (TMS320C5x/’C25)
xxvii
Inform Software CorporationfuzzyTECH 4.0—MCU 320 Edition
Lernout & Hauspie Speech ProductL&H.asr200/AL&H.asr1000/TL&H.asr1500/ML&H.asr1500/TL&H.smc250L&H.smc350L&H.tts2000/TL&H.tts3000/AL&H.tts3000/CL&H.tts3000/T
M.B. International S.r.l.AM Mono/Stereo TransmitterAnalog TV Vestigial Side Band ModulatorITU-R BS.450-1 FM Stereo CoderITU-R BS.450-1 FM Stereo Coder With ITU-R BS.643-1 RDS, ARI, and SCAITU-RBS.642-1 High-Quality Variable-Emphasis LimiterITU-R BS.645-2 Vu Meter
MultiDSP Inc.Adaptive Filter AlgorithmsJPEG Library
Multiprocessor Toolsmiths Inc.Unison™ SystemUnison™/Embedded
OROSOROS-AU32 Multichannel DSP BoardOROS-CD16 Speech Coders/DecodersOROS-OR25 Multichannel Dynamic Signal AnalyzerOROS-SA31 Standalone DSP BoardOROS-SP104 PC104 Format DSP Board
Perihelion Distributed SoftwareHelios® ’C40
Precise Software Technologies Inc.Precise Embedded I/O ComponentsPrecise/MQXPrecise/MQX+m
Recosyst R&DFIRESS-LPCRF Identification
xxviii
Signals and Software LimitedAcoustic Echo Canceller for the TMS320C5xDTMF Tx/Rx for the TMS320C5xFR-GSM Speech Coder for the TMS320C2x, TMS320C5xFS1016 Speech Coder for the TMS320C5xG.722 Audio Coder for the TMS320C2x, TMS320C5xG.726 Audio Coder for the TMS320C5xG.728 Audio Coder for the TMS320C5xG.729 Audio Coder for the TMS320C5xGroup 3 Fax Modem for the TMS320C5xV.21 Modem for the TMS320C5xV.22/V.22bis Modem for the TMS320C5xV.23 Modem for the TMS320C5xV.32/V.32bis Modem for the TMS320C2x, TMS320C5xVideo Coding for the TMS320C5x
S.E.E.D.ADPCM 1.00 (Code S001-94-C25-100)EQU-31B/M 1.00 (Code S002-95-572-100)SEEDit 1.00 (Code S001-95-W31-100)SEEDit 1.50 (Code S001-96-W31-150)SP-ENV/1 1.00 (Code S004-95-W31-100)WIN-REC 1.00 (Code S002-96-W31-100)XOVER-4W/M 1.00 (Code S003-95-572-100)
SignalWorks Inc.’C3x Full-Duplex Speakerphone Algorithm
Sinectonalysis, Inc.C_BLAS 123C_EISPACKDSP/VECLIBEYELIBSTD/Mathlib
Spectron MicrosystemsSPOX-DBUG for TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8xSPOX-KNL for TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8xSPOX-LINK for TMS320C3x, TMS3204x, TMS320C5xSPOX-MATH for TMS320C3x, TMS3204xSPOX-MP for TMS320C4x
SYNTECHITU-T G.728JPEG (8-R8)
University of Washington—Image Computing Systems Laboratory University of Washington Image Computing Library (UWICL)
ViaDSPInvisiLink™ Telephony Codec
xxix
Voice Processing CorporationVPro™
Wideband Computers, Inc.TMS320C3x/’C4x Optimized DSP Library
xxxi
At-a-Glance Third-Party Contact InformationThird-Party Name Location Phone Number e-mail Address
2500AD Software, Inc. Buena Vista, CO (719) 395-8683 [email protected]
AB-RoBioMat di Angelo Baronchelli & Dott. Gàbor Gulyàs
Orzinuovi (BS), Italy +30-943301,+30-941264
Acroloop Motion Control Systems, Inc. Chanhassen, MN (612) 474-4500
ADAC Corporation Woburn, MA (617) 935-3200 [email protected]
Advin Systems Inc. Sunnyvale, CA (800) 627-2456,
(408) 243-7000
Alacron, Inc. Nashua, NH (603) 891-2750 [email protected]
Alta Group of Cadence Design Systems, Inc. Sunnyvale, CA (408) 733-1595 Fax: (408) 523-4601
Analogic Corporation Peabody, MA (508) 977-3000, x3521 [email protected]
Applied Signal Technology, Inc. Sunnyvale, CA (800) 374-3560,
(408) 522-3560
Aptix Corporation San Jose, CA (408) 428-6200 [email protected]
Ariel Corporation Cranbury, NJ (609) 860-2900 [email protected]
Atlanta Signal Processors, Inc. Atlanta, GA (404) 892-7265 [email protected]
The Athena Group, Inc. Gainesville, FL (352) 371-2567,
(800) 741-7440
Beetek, Inc. Shin-Chu, 300, R.O.C. +886-3-772772 [email protected]
Bridgenorth Signal Processing Inc. Blaine, WA (604) 538-0003 [email protected]
CHEOPS GmbH & Co. KG Schongau, Germany +49 8861 7902 [email protected]
Communication Automation & Control, Inc. Allentown, PA (800) 367-6735
(610) 776-6669
Coreco Inc. St. Laurent, Quebec, Canada
(514) 333-1301 [email protected]
D2 Technologies, Inc. Santa Barbara, CA (805) 564-3424 [email protected]
DGM&S Mt. Laurel, NJ (609) 866-1212 [email protected]
DSP Control Group, Inc. Minneapolis, MN (612) 831-9556 Fax: (612) 831-4697
DSP Research, Inc. Sunnyvale, CA (408) 773-1042 [email protected]
DSP Solutions Oceanside, CA (619) 941-3189 Fax: (619) 941-8912
DSP Tools, Inc. Potomac, MD (301) 424-5808 [email protected]
dSPACE Paderborn, Germany
Southfield, MI
+ 49 (0) 5251-1638-0
(810) 354-1694
75371,36@ compuserve.com
DY 4 Systems Inc. Nepean, Ontario, Canada (613) 596 9911 [email protected]
Daimler-Benz Aerospace AG Munich, Germany +(089) 607 27689 Fax: +(089) 607 28184
Dalanco Spry Rochester, NY (716) 473-3610 [email protected]
DEEMAX Technology, Inc. Hsinchu, Taiwan, R.O.C. +886-35-232548 [email protected]
Dialogic Corporation Parsippany, NJ (800) 755-4444,(201) 993-3030
Dicon Lab, Inc Gainesville, FL (904) 372-6160 [email protected]
Digisonix, Inc Middleton, WI (608) 836-3999 Fax: (608) 836-5583
dli digital logic instruments GmbH Dietzenbach, Germany + 49 6074/4002-0 Fax: (+ 49) 6074/4002-24
Domain Technologies, Inc. Plano, TX (214) 985-7593 [email protected]
Electronic Tools GmbH Ratingen, Germany +49 2102 8801-0 [email protected]
Eonic Systems, Inc. Linden, BelgiumSilver Spring, MD
+32 16 62 15 85(301) 572-5000
xxxii
EPIX, Incorporated Buffalo Grove, IL (847) 465-1818 [email protected]
Epstein Associates Wilmette, IL (847) 853-9292,(630) 698-9292
Fax: (847) 853-9293
ESSE-GI s.r.l. Milan, Italy +39 2 66017241 Fax: +39 2 6185492
GSE Gesellschaft fuer Software Engineering mbH
München, Germany +49 89 140 09-265 [email protected]
General Imaging Corporation Billerica, MA (508) 262-2262 [email protected]
GO DSP Corporation Toronto, Ontario, Canada (416) 214-1919 [email protected]
hema Elektronik Fertigungs-und Vertriebs
GmbH
Aalen, Germany +7361/9495-0 [email protected]
HotHaus Technologies Delta, B.C., Canada (604) 946-0060 [email protected]
High-Tech Services Partners Guyancourt, France +33 - 1 - 30 57 31 13 Fax: +33 - 1 - 30 43 51 17
Hunt Engineering Somerset, UK (+44) (0)1278 760188 [email protected]
Hyperception, Inc. Dallas, TX (214) 343-8525 info@ hyperception.com
IAT AG IAT Deutschland GmbH Vogelsang-Turgi, SwitzerlandBremen, Germany
+41 56 223 50 22
+49 421 20 21-0
I.S.I.T. L'isle Jourdain, France (+33) 62 07 29 54 100627,[email protected]
Image & Signal Processing, Inc. Anaheim, CA (714) 970-0700 [email protected]
Innovative Integration Inc. Westlake Village, CA (818) 865-6150 [email protected]
INRIA, Rocquencourt Le Chesnay Cedex, France +33-1-39-63-52-60 [email protected]
Instrumental Systems Corporation Moscow, Russia +7 (095) 274-9118 [email protected]
JOVIAN Systems, Inc. Woburn, MA (617) 937-6300 [email protected]
Kane Computing Cheshire, UK +44 (0)1606 351006 [email protected]
Loughborough Sound Images plc Leicestershire, UK +44 (0)1509 634300 [email protected]
Macrochip Research, Inc. Plano, TX (214) 578 5635 [email protected]
MEDAV Digitale Signalverarbeitung GmbH Uttenreuth, Germany +49-9131/583-0 Fax: +49-9131/583-11
Mentor Graphics Corporation Wilsonville, OR (800) 547-3000 www: http://www.mentorg.com
MicroLAB Systems Ltd Moscow, Russia +7-(095)-485-6332,+7-(095)-488-8744
Mizar, Inc. Carrollton, TX
Bristol, UK
(214) 277-4600,
(800) 635-0800
+44 (0) 1454 614584
Fax: +44 (0) 1454 617731
Momentum Data Systems, Inc. Costa Mesa, CA (714) 557-6884 [email protected]
MultiDSP Inc. Cypress, CA (714) 527-8086 [email protected]
Nova Engineering, Inc. Cincinnati, OH (513) 860-3456,(800) 341-NOVA
Numerix Ltd. Leics, UK +44 (0)1509 413195 [email protected]
ORINCON Technologies, Inc. San Diego, CA (619) 455-5025, (800) 4-RIPPEN
Orsys GmbH Markdorf, Germany +49 (0) 7544 73962 Fax: +49 (0) 7544 73952
Pacific Cyber/Metrix Inc. (PC/M Inc.) Dublin, CA (510) 829-8700 [email protected]
Pentek, Inc. Norwood, NJ (201) 767-7100 [email protected]
PHYTEC Meßtechnik GmbH Mainz, Germany +06131-95883-0 [email protected]
At-a-Glance Third-Party Contact InformationThird-Party Name Location Phone Number e-mail Address
xxxiii
Portescap La Chaux-de-Fonds,
Switzerland
Bucharest, Romania
+41 39 256.111
Tel/Fax: +40 1 77 8 66 66
Portescap @ ping.ch
Poznan University of Technology Institute of Electronics and Telecommunication
Poznan, Poland +48 61 782 745 [email protected]
Precision Digital Images Redmond, WA (800) 678-6505,
(206) 882-0218
Primary Image Ltd. Surrey, UK +44 (0) 181 339 9669 [email protected]
Prodrive B.V. Eindhoven, The Netherlands +31 40 2461268 Fax: +31 40 2462362
S.E.E.D. Massa, Italy (+39) 585 792990 [email protected]
SHAKTI Marseille, France +(33)-91-90-04-39 Fax: +(33) -91-91-43-34
Siemens AG Österreich Vienna, Austria +43 1 1707 37610 [email protected]
Signalogic, Inc. Dallas, TX (214) 343-0069 [email protected]
Signix Corporation Wayland, MA (508) 358-5955 [email protected]
SimPhonics, Inc. Tampa, FL (813) 623-9917 [email protected]
Sonitech International Wellesley, MA (617) 235-6824 [email protected]
Spectrum Digital, Inc. Houston, TX (713) 561-6952 [email protected]
Spectrum Signal Processing Inc. Burnaby, B.C., Canada (604) 421-5422 Fax: (604) 421-1764
Sundance Multiprocessor Technology Ltd. Bucks, UK +44 (0)1494 431203 [email protected]
Synetcom Digital, Inc. Redondo Beach, CA (310) 379-2000 73122,[email protected]
Synopsys, Inc Mountain View, CA (800)-34MODEL
COSSAP: (800) 388-9125
SYNTECH Valbonne, France +(33) 93 65 28 66 [email protected]
Tartan, Inc. Monroeville, PA (412) 856-3600,
(800) 856-5255
Tasking Software Italia, S.r.l. Milano, Italy +39 (2) 6698 2207 [email protected]
Technology 80 Inc. Minneapolis, MN (800) 545-2980,
(612) 542-9545
TEIMA Audiotex Madrid, Spain +34 1 311-3878 Fax: +34 1 311 -2819
Tektronix Inc. Beaverton, OR (800) 426-2200, ext. 483
Transtech Parallel Systems Corporation Ithaca, NY (607) 257 6502 [email protected]
Traquair Data Systems, Inc. Ithaca, NY (607) 266 6000 [email protected]
V.D.S. Video Display Systems s.r.l. Firenze, Italy +39-55-4378831 [email protected]
VisionSmart Inc. Edmonton, Alberta, Canada (403) 435-7082 [email protected]
Voice Processing Corporation Cambridge, MA (617) 494-0100 Fax: (617) 494-4970
Wavelink Technology Inc. Taipei, Taiwan +886-2-2995994 [email protected]
White Mountain DSP, Inc. Nashua, NH (603) 883-2430 [email protected]
Wintriss Engineering Corp. San Diego, CA (800) 550-7300,
(619) 550-7300
Xcom Multimedia Communications Montbonnot, France (33) 76 52 00 46 Fax: (33) 76 52 03 97
Zeelan Technology, Inc. Beaverton, OR (503) 520-1000 [email protected]
At-a-Glance Third-Party Contact InformationThird-Party Name Location Phone Number e-mail Address
xxxv
At-a-Glance Consultant Contact InformationThird-Party Name Location Phone Number e-mail Address
AB-RoBioMat di Angelo Baronchelli &
Dott. Gàbor Gulyàs
Orzinuovi (BS), Italy +30-943301 /
+30-941264
CHEOPS GmbH & Co. KG Schongau, Germany + 49 8861 7902 [email protected]
Czech Scientific and Technical Society Prague, Czech Republic +42 2 24310773 [email protected]
D2 Technologies, Inc. Santa Barbara, CA (805) 564-3424 [email protected]
Dicon Lab, Inc. Gainesville, FL (904) 372-6160 [email protected]
Digital MultiWave Processing Inc. Los Altos, CA (415) 988-WAVE (9283) [email protected]
DNA Enterprises, Inc. Richardson, TX (214) 644-3301 [email protected]
Doctor Design Inc. San Diego, CA (619) 457-4545 [email protected]
DSP Tools, Inc. Potomac, MD (301) 424-5808 [email protected]
Eberwein & Associates, Inc. Houston, TX (713) 784-1226 Fax: (713) 784-3651
Electronic Tools GmbH Ratingen, Germany +49 2102 8801-0 [email protected]
Engineering Bureau Munich, Germany +(49) 89-5806137 Fax: +(49) 89-5806137
Eonic Systems Inc. Linden, Belgium
Silver Spring, MD
+32 16 62 15 85
(301) 572 5000
Epstein Associates Wilmette, IL (847) 853-9292 Fax: (847) 853-9293
ESSE-GI s.r.l. Milan, Italy +39 2 66017241 Fax: +39 2 6185492
Fraunhofer Institut Integrierte Schaltungen (IIS)
Erlangen, Germany +49 9131 776 340 [email protected]
Genesis Engineering, Inc. Ellicott City, MD (410) 418-5410 [email protected]
hema Elektronik Fertigungs-und Vertriebs GmbH
Aalen, Germany +7361/9495-0 [email protected]
InnovaSystems, Inc. Pennsauken, NJ (609) 486-0030 [email protected]
Innovative Integration Inc. Westlake Village, CA (818) 865-6150 [email protected]
Institute for Integrated Circuits at the
Technical University of Munich
Munich, Germany +49-89-2105-3862 Fax: +49-89-2105-8323
JKJ Associates Mt. Laurel, NJ (609) 985-3068 [email protected]
M.B. International srl Milano, Italy +39 2 4091 0570 [email protected]
MEDAV Digitale Signalverarbeitung GmbH
Uttenreuth, Germany +49-9131/583-0 Fax: +49-9131/583-11
Miguel Chin Cupertino, CA (408) 252-5109 [email protected]
Momentum Data Systems, Inc. Costa Mesa, CA (714) 557-6884 [email protected]
MultiDSP Inc. Cypress, CA (714) 527-8086 [email protected]
MVP Development Group, Inc. Lowell, MA (508) 446-9166 Fax: (508) 446-9167
New World Systems Westford, MA (508) 692-4529 [email protected]
Nova Engineering, Inc. Cincinnati, OH (513) 860-3456 [email protected]
OBJECTIF S.A. Chatillon Cedex, France +(1) 47 35 30 31 [email protected]
Onyx Systems Limited Bath, UK +44 (0)1225 481173 Fax: +44 (0)1225 484025
Poznan University of Technology
Institute of Electronics and
Telecommunication
Poznan, Poland + 48 61 782 745 [email protected]
Primary Image Ltd. Surbiton Surrey, UKMaitland, FL
+44 (0) 181 339 9669(407) 667 4818
Prodrive B.V. Eindhoven, The Netherlands +31 40 2461268 Fax: +31 40 2462362
QSD Sistemi SRL Milan, Italy +39 2 95741699 Fax: +39 2 9504773
xxxvi
Questra Consulting Rochester, NY (800) 785-6359 [email protected]
RECOSYST Research & Development Emmerich, Germany +49-2822-18731 Fax: + 49-2822-18744
Samjung Co., Ltd.Mediacom, Inc. Seoul, Korea +82-2-958-3386 [email protected]
Sample Rate Systems, Inc. Tampere, Finland +358-31-3165 045 [email protected]
S.E.E.D. Massa, Italy +39 335 372256 [email protected]
Signal-Data Holte, Denmark +45 3042 6054 [email protected]
Signals and Software Limited (SASL) Middlesex, UK +44 181 426 9533 [email protected]
SIMONYI Kft. (SE&SE Ltd.) Budapest, Hungary +361 1416-421 Fax: 361 250-2249
Sinectonalysis, Inc. Reno, Nevada (702) 345-0148 [email protected]
Sonitech International Wellesley, MA (617) 235-6824 [email protected]
Synetcom Digital, Inc. Redondo Beach, CA (310) 379-2000 73122,[email protected]
SYNTECH-CENTRE of Competence Valbonne, France (33) 93 65 28 66 [email protected]
Systems Technology Associates Cary, NC (919) 460-0020 [email protected]
Tadpole Technology, Inc. Austin, TX (800) 232-6656 [email protected]
Technical University of Wroclaw/
Breslau
Wroclaw, Poland +(48) 71 - 203032 [email protected]
Technosoft Ltd. Bucharest, Romania +(40) 1 772 0848 [email protected]
Tornado Software Norco, CA (909) 736-9655 [email protected]
University of Miskolc Department of Electrical and Electronic Engineering
Egyetemvaros, Hungary +36 46 366-111/1218 [email protected]
V.D.S. Video Display Systems s.r.l. Firenze, Italy +39-55-4378831 [email protected]
White Mountain DSP, Inc. Nashua, NH (603) 883-2430 [email protected]
Wintriss Engineering Corp. San Diego, CA (800) 550-7300 [email protected]
Zeidman Consulting Cupertino, CA (408) 255-9279 [email protected]
At-a-Glance Consultant Contact InformationThird-Party Name Location Phone Number e-mail Address
xxxvii
At-a-Glance Software Cooperative Contact Information Third-Party Name - Abbreviated Name Location Phone Number e-mail Address
3C - 3C Herzogenrath, Germany +49 2407 96056 [email protected]
3L Ltd. - 3L Edinburgh, Scotland +44 131 662 4333 [email protected]
AB Nyvalla DSP - Nyvalla Stockholm, Sweden +468 790 9760 [email protected]
Accelerated Technology - Accelerated Mobile, ALWorchire, UK
(334) 661-5770+44-1527-6663
[email protected]@cityscape.co.uk
Advansis Software - Advansis River Edge, NJ (201) 489-6018 [email protected]
Atlanta Signal Processors, Inc. - ASPI Atlanta, Georgia (404) 892-7265 [email protected]
CSELT - CSELT Torino, Italy Fax: +39 11 228 6207 [email protected]
D2 Technologies, Inc. - D2 Santa Barbara, CA (805) 564-3424 [email protected]
Dallas Signal Processing, Inc. - Dallas SP Plano, TX (214) 867-1739 Fax: (214) 867-5683
Digisonix, Inc. - Digisonix Middleton, WI (608) 836-3999 Fax: (608) 836-5583
DNA Enterprises, Inc. - DNA Richardson, TX (214) 644-3301 [email protected]
DSP Software Engineering, Inc. - DSPSE Bedford, MA (617) 275-3733 [email protected]
Elics - Elics Paris, France +33 14419 65 80 Fax: +33 1 44 19 65 89
ENEA DATA - ENEA Täby, Sweden +46 8 638 50 00 [email protected]
Eonic Systems - Eonic Linden, Belgium
Silver Spring, MD
+32 16 62 15 85
(301) 572 5000
France Telecom CNET - CNET Lannion-Cedex, France 33-96-05-39-41 [email protected]
GAO Research & Consulting Ltd. - GAO Toronto, Ontario, Canada (416) 292-0038 [email protected]
High-Tech Services Partners - HSP Guyancourt, France +33 - 1 - 30 57 31 13 Fax: +33 - 1 - 30 43 51 17
HotHaus Technologies - HotHaus Delta, BC, Canada (604) 946-0060 Fax: (604) 946-5811
IAT AG - IAT Vogelsang-Turgi,SwitzerlandBremen, Germany
+41 56 223 50 22
+49 421 20 21-0
ILLICO! - ILLICO! Santa Clara, CA (408) 980-8179 Fax: (408) 980-9327
Inform Software Corporation - Inform Oak Brook, ILAachen, Germany
(708) 268-7550+49-2408-9456
Fax: (708) 268-7554Fax: +49-2408-6090
Lernout & Hauspie Speech Products - L&H Ieper, Belgium
Woburn, MA
SanChung, Taipei Hsien
+32-2-456-0500
(617) 932-4118
+886-2-999-2415
Fax: 32-2-460-0172
Fax: (617) 932-9209
M.B. International S.r.l. - MB Int. Milano, Italy +39 2 4091 0570 [email protected]
Multiprocessor Toolsmiths Inc. - Multipro Kanata, Ontario, Canada (800) 263-4377, x 224 [email protected]
MultiDSP Inc. - MultiDSP Cypress, CA (714) 527-8086 [email protected]
OROS - OROS Meylan, France +33.76.90.62.36
Perihelion Distributed Software - Perihelion Somerset, UK +44 1749 344 [email protected]
Precise Software Technologies Inc. - Precise Boxborough, MA
Nepean, Ont., Canada
(800) 628-8631
(800) 265-9833
Recosyst R&D - Recosyst Emmerich, Germany +49 (2822) 18731 Fax: +49 (2822) 18744
Signals and Software Limited - SASL Middlesex, UK +44 (0) 181 426 9533 [email protected]
S.E.E.D. - SEED Massa, Italy (+39) 335 372256 [email protected]
SignalWorks Inc. - SigWorks Mountain View, CA (415) 254-0186 [email protected]
Sinectonalysis, Inc. - Sinectonalysis Reno, NV (702) 345-0148 [email protected]
Spectron Microsystems - Spectron Santa Barbara, CA
Dublin, Ireland
(805) 968-5100
+353 1 676 1291
www: http://www.spectron.com
SYNTECH - SYNTECH Valbonne, France + (33) 93 65 28 66 [email protected]
xxxviii
Third-Party Name - Abbreviated Name Location Phone Number e-mail Address
Image Computing Systems Laboratory of the
University of Washington - UWICL
Seattle, WA (206) 685-2271 [email protected]
ViaDSP, Inc. - ViaDSP Concord, MA (508) 369-0048 [email protected]
Voice Processing Corporation - VPC Cambridge, MA (617) 494-0100 [email protected]
Wideband Computers, Inc. - Wideband Mountain View, CA (415) 962-8722 [email protected]
At-a-Glance Software Cooperative Contact Information
1-1
Chapter 1Introduction
The Texas Instruments TMS320 family of digital signal processors offers a wide range of The Texas Instruments TMS320 family of digital signal processors offers a wide range of fixed- and floating-point solutions. High-performance single and multiple DSPs, avail-fixed- and floating-point solutions. High-performance single and multiple DSPs, avail-able for as little as $3, are viable for even the most cost-sensitive applications like con-able for as little as $3, are viable for even the most cost-sensitive applications like con-sumer and automotive products.sumer and automotive products.
The TMS320 family currently comprises eight generations of DSPs and features a The TMS320 family currently comprises eight generations of DSPs and features a variety of price/performance alternatives. The TMS320C1x, ’C2x, ’C2xx, ’C5x, and ’C54x variety of price/performance alternatives. The TMS320C1x, ’C2x, ’C2xx, ’C5x, and ’C54x generations are fixed-point DSPs, while the ’C3x and ’C4x are floating-point genera-generations are fixed-point DSPs, while the ’C3x and ’C4x are floating-point genera-tions. Spin-offs based on the core CPU of each generation offer a variety of memory and tions. Spin-offs based on the core CPU of each generation offer a variety of memory and peripheral configurations to address specific applications needs. The newer higher-per-peripheral configurations to address specific applications needs. The newer higher-per-formance, code-compatible generations provide an easy product upgrade path while formance, code-compatible generations provide an easy product upgrade path while preserving software investment. The TMS320C8x is a multiprocessor DSP with four preserving software investment. The TMS320C8x is a multiprocessor DSP with four advanced DSPs and a RISC microprocessor on the same piece of silicon.advanced DSPs and a RISC microprocessor on the same piece of silicon.
All TMS320 DSPs are supported by products and services from independent ven-All TMS320 DSPs are supported by products and services from independent ven-dors and consultants, known as third parties. Support products (both software and dors and consultants, known as third parties. Support products (both software and hardware) include cross assemblers, simulators, DSP utility packages, logic analyzers, hardware) include cross assemblers, simulators, DSP utility packages, logic analyzers, and emulators. Consultants offer expertise in fields such as speech encoding, vector and emulators. Consultants offer expertise in fields such as speech encoding, vector quantization, software/hardware design, and system analysis.quantization, software/hardware design, and system analysis.
This book describes only tools and services that directly support the TMS320 family This book describes only tools and services that directly support the TMS320 family and that are not provided by Texas Instruments. Description of a product or service and that are not provided by Texas Instruments. Description of a product or service does not constitute its endorsement by Texas Instruments. If you want more informa-does not constitute its endorsement by Texas Instruments. If you want more informa-tion about a product or service, you should contact the third party directly.tion about a product or service, you should contact the third party directly.
The information in this guide is based on material provided by third parties. Due to The information in this guide is based on material provided by third parties. Due to space limitations, only a synopsis of each product is presented. TI has attempted to space limitations, only a synopsis of each product is presented. TI has attempted to identify all trademarks and list them in Chapter 5; any omissions have occurred inad-identify all trademarks and list them in Chapter 5; any omissions have occurred inad-vertently.vertently.
The following information is provided in this guide:The following information is provided in this guide:•• Third-Party Product Tables (Chapter 2)Third-Party Product Tables (Chapter 2)•• Third-Party Product Descriptions (Chapter 3)Third-Party Product Descriptions (Chapter 3)•• Third-Party Consultants (Chapter 4)Third-Party Consultants (Chapter 4)•• Trademarks (Chapter 5)Trademarks (Chapter 5)
All third parties are listed by product categories in the Third-Party Product Tables All third parties are listed by product categories in the Third-Party Product Tables and they are listed alphabetically in the product descriptions.and they are listed alphabetically in the product descriptions.
Request that your company be included in future versions of this document or to Request that your company be included in future versions of this document or to join the TMS320 Third-Party Program mail your information to:join the TMS320 Third-Party Program mail your information to:
Texas Instruments IncorporatedTexas Instruments IncorporatedAttn: TMS320 Third-Party Program ManagerAttn: TMS320 Third-Party Program ManagerP.O. Box 1443, M/S 704P.O. Box 1443, M/S 704Houston, Texas 77251-1443Houston, Texas 77251-1443
or by e-mail to: [email protected] by e-mail to: [email protected]
2-1
Chapter 2Third-Party Product Tables
The tables in this chapter list third parties according to categories of their products for The tables in this chapter list third parties according to categories of their products for the TMS320C1x-, ’C2x-, ’C2xx-, ’C3x-, ’C4x-, ’C5x-, ’C54x-, and ’C8x-generation devices.the TMS320C1x-, ’C2x-, ’C2xx-, ’C3x-, ’C4x-, ’C5x-, ’C54x-, and ’C8x-generation devices.To locate a particular product with these tables, follow this sequence:To locate a particular product with these tables, follow this sequence:1.1. Locate the desired TMS320 generation (’C1x, ’C2x, etc.) in the table.Locate the desired TMS320 generation (’C1x, ’C2x, etc.) in the table.2.2. Identify the appropriate tool (for example, application hardware, emulator, etc.) in Identify the appropriate tool (for example, application hardware, emulator, etc.) in
the title.the title.3.3. Scan the vertical column listing for the page number where the company’s products Scan the vertical column listing for the page number where the company’s products
are described.are described.4.4. Refer to the page in Chapter 3 for a description of the product(s) of interest. The Refer to the page in Chapter 3 for a description of the product(s) of interest. The
products are listed alphabetically by third-party name.products are listed alphabetically by third-party name.
2-3
TMS320 Family Support Tables
TMS320 Family Device Modeling
Third-Party Company Name Page No. DEC HP RS/6000 Sun Other
Synopsys, Inc. (all generations) 3-452 � � � � �
Zeelan Technology, Inc. (�C4x, �C5x) 3-524 � � � � �
2-4
TMS320C1x Software Support Tables
Device Programmer
Third-Party Company Name Page No. PC
Advin Systems, Inc. 3-7 �
Motor Control System
Third-Party Company Name Page No. PC VMEbus Other
Technology 80 Inc. 3-471 � � �
High-Level Language Compiler
Third-Party Company Name Page No. PC Standalone VMEbus Other
DSP Control Group, Inc. 3-56 � � � �
Algorithm Development Software
Third-Party Company Name Page No. HP PC Sun Other
Momentum Data Systems, Inc. 3-284 � � � �
Application Software
Third-Party Company Name Page No. Filter Design Visual Programming Other
Atlanta Signal Processors, Inc. 3-33 �
DSP Control Group, Inc. 3-56 � �
DSP Solutions 3-87 �
Hyperception, Inc. 3-177 �
Momentum Data Systems, Inc. 3-284 � �
Poznan University of Technology 3-344 � �
Signix Corporation 3-370 �
Spectrum Digital, Inc. 3-386 �
2-5
TMS320C1x Development Hardware Support
Development Hardware
Third-Party Company NamePage No. Multiprocessor Board Standalone Board
DSP Control Group, Inc. 3-56 �
Synetcom Digital, Inc. 3-451 �
Emulator (In-Circuit)
Third-Party Company Name Page No. HP PC Sun
Aptix Corporation 3-14 � � �
DEEMAX Technology, Inc. 3-125 �
I.S.I.T. 3-179 �
Tasking Software Italia, S.r.l. 3-462 �
Logic Analyzer
Third-Party Company Name Page No. PC
digital logic instruments GmbH 3-129 �
2-6
TMS320AVxxx Support Table
Development Hardware
Third-Party Company Name Page No. Data Acquisition Board
Atlanta Signal Processors, Inc. 3-26 �
2-7
TMS320C2x Software Support Tables
Device Programmer
Third-Party Company Name Page No. PC
Advin Systems Inc. 3-7 �
High-Level Language Compiler
Third-Party Company Name Page No. PC Standalone VMEbus Other
DSP Control Group, Inc. 3-64 � � � �
Algorithm Development Software
Third-Party Company Name Page No. HP PC Sun Other
Portescap 3-343 �
Momentum Data Systems, Inc. 3-284 � � � �
Signalogic, Inc. 3-361 �
Application Software
Third-Party Company Name Page No.Debugging
ToolsFilter
DesignOperating
SystemVisual
Programming Other
Atlanta Signal Processors, Inc. 3-26 �
DSP Control Group, Inc. 3-56 � �
DSP Solutions 3-87 �
Hyperception, Inc. 3-177 �
Momentum Data Systems, Inc. 3-284 � �
MultiDSP Inc. 3-290 � �
Poznan University of Technology 3-344 � �
Signalogic, Inc. 3-361 � � �
Signix Corporation 3-370 �
Spectrum Digital, Inc. 3-386 �
Tasking Software Italia, S.r.l. 3-462 �
2-8
TMS320C2x Development Hardware Support Tables
Development Hardware
Third-Party Company Name Page No.
Data Acquisition
Board
Multi-processor
Board
Plug-In Board/Module
Stand-aloneBoard Other
Applied Signal Technology, Inc. 3-11 �
Atlanta Signal Processors, Inc. 3-34 �
Bridgenorth Signal Processing Inc. 3-40 �
Dalanco Spry 3-121 �
DSP Control Group, Inc. 3-56 �
DEEMAX Technology, Inc. 3-125 �
EPIX, Incorporated 3-147 �
Instrumental Systems Corporation 3-204 �
Loughborough Sound Images pic 3-226 �
Portescap 3-343 �
S.E.E.D. 3-349 � � � �
Spectrum Signal Processing 3-395 �
Synetcom Digital, Inc. 3-451 �
VisionSmart Inc. 3-500 �
Emulator (In-Circuit)
Third-Party Company Name Page No. HP PC Sun
Aptix Corporation 3-14 � � �
Beetek, Inc. 3-39 �
DEEMAX Technology, Inc. 3-125 �
I.S.I.T. 3-179 �
Tasking Software Italia, S.r.l. 3-462 �
2-9
TMS320C2x Development Hardware Support Tables (Cont’d)
Logic Analyzer
Third-Party Company Name Page No. PC
digital logic instruments GmbH 3-129 �
Motor Control System
Third-Party Company Name Page No. PC
Spectrum Digital, Inc. 3-386 �
2-10
TMS320C2xx Software Support Table
Application Software
Third-Party Company Name Page No.Debugging
ToolsFilter
DesignOperating
SystemVisual
Programming Other
Atlanta Signal Processors, Inc. 3-33 �
DSP Solutions 3-87 �
GO DSP Corporation 3-158 � �
Hyperception, Inc. 3-177 �
Momentum Data Systems, Inc. 3-284 � �
Signix Corporation 3-370 �
Spectrum Digital, Inc. 3-386 � �
White Mountain DSP, Inc. 3-510 � �
2-11
TMS320C2xx Development Hardware Support Tables
Development Hardware
Third-Party Company Name Page No. Standalone Board
Spectrum Digital, Inc. 3-386 �
Emulator (In-Circuit)
Third-Party Company Name Page No. HP PC Sun
Aptix Corporation 3-14 � � �
I.S.I.T. 3-179 �
White Mountain DSP, Inc. 3-510 � �
Logic Analyzer
Third-Party Company Name Page No. PC
digital logic instruments GmbH 3-129 �
Macrochip Research, Inc. 3-258 �
Motor Control System
Third-Party Company Name Page No. PC
Spectrum Digital, Inc. 3-386 �
2-12
TMS320C3x Software Support Tables
Simulator
Third-Party Company Name Page No. HP PC Sun
Alta Group 3-9 � � �
High-Level Language Compiler
Third-Party Company Name Page No. HP PC Sun VAX VMS VMEbus Other
DSP Control Group, Inc. 3-64 � � � �
dSPACE 3-90 � � �
GSE Gesellschaft fuer Software
Engineering mbH
3-152 � � �
Mentor Graphics Corporation 3-262 � �
Tartan, Inc. 3-458 � � �
Algorithm Development Software
Third-Party Company Name Page No. HP PC Sun UNIX Other
dSPACE 3-90 � � �
Digisonix, Inc. 3-128 �
Eonic Systems, Inc. 3-138 � � �
MultiDSP, Inc. 3-290 �
Numerix Ltd. 3-296 � � � �
Portescap 3-343 �
Signalogic, Inc. 3-365 �
Tartan, Inc. 3-458 � �
2-13
TMS320C3x Software Support Tables (Cont’d)
Application Software
Third-Party Company Name Page No.Debugging
ToolsFilter
DesignOperating
SystemVisual
Programming Other
Alta Group of Cadence Design Systems, Inc. 3-9 � �
Atlanta Signal Processors, Inc. 3-26 �
The Athena Group, Inc. 3-38 �
DSP Control Group, Inc. 3-62 � �
DSP Solutions 3-87 �
dSPACE 3-90 �
Digisonix, Inc. 3-128 �
Domain Technologies, Inc. 3-131 �
GO DSP Corporation 3-158 �
Hyperception, Inc. 3-177 �
Momentum Data Systems, Inc. 3-284 � �
MultiDSP Inc. 3-290 � �
Pentek, Inc. 3-307 �
Signalogic, Inc. 3-361 � � �
Signix Corporation 3-370 �
Spectrum Digital, Inc. 3-386 � � �
Tasking Software Italia, S.r.l. 3-462 �
2-14
TMS320C3x Development Hardware Support Tables
Development Hardware
Third-Party Company NamePage No.
Data Acquisition
Board
Multi-processor
BoardPCMCIA�
Board
Plug-In Board/Module
Stand-alone Board Other
Analogic Corporation 3-10 �
Applied Signal Technology, Inc. 3-12 �
Ariel Corporation 3-24 �
Atlanta Signal Processors, Inc. 3-26 �
Bridgenorth Signal Processing Inc. 3-40 �
CHEOPS GmbH & Co. KG 3-45 � �
Communication Automation & Control, Inc. 3-49 �
DGM&S 3-55 �
DSP Control Group, Inc. 3-56 �
DSP Research, Inc. 3-65 �
DSP Tools, Inc. 3-88 � �
dSPACE 3-90 � � � � �
Dalanco Spry 3-121 �
Dialogic Corporation 3-126 �
Dicon Lab, Inc. 3-127 �
Domain Technologies, Inc. 3-131 �
Electronic Tools GmbH 3-135 �
Innovative Integration, Inc. 3-185 � �
Instrumental Systems Corporation 3-204 �
Kane Computing 3-215 �
Loughborough Sound Images pic 3-226 �
MEDAV Digitale Signalverarbeitung GmbH 3-259 �
MicroLAB Systems Ltd. 3-263 � � �
Nova Engineering, Inc. 3-294 �
Orsys GmbH 3-298 �
Pentek, Inc. 3-307 � �
Portescap 3-343 �
S.E.E.D. 3-349 � �
Signalogic, Inc. 3-361 �
SimPhonics, Inc. 3-371 �
Sonitech International 3-372 � � �
Spectrum Signal Processing Inc. 3-395 � �
SYNTECH 3-455 � �
TEIMA Audiotex 3-468 �
Voice Processing Corporation 3-504 �
Wintriss Engineering Corporation 3-519 �
2-15
TMS320C3x Development Hardware Support Tables (Cont’d)
Application Hardware
Third-Party Company Name Page No. PC Standalone
Acroloop Motion Control Systems, Inc. 3-5 � �
Emulator (In-Circuit)
Third-Party Company Name Page No. HP PC Sun Other
Aptix Corporation 3-14 � � �
DSP Research, Inc. 3-65 � �
Domain Technologies, Inc. 3-131 �
I.S.I.T. 3-179 �
Innovative Integration Inc. 3-185 �
Instrumental Systems Corporation 3-204 �
Kane Computing 3-215 � �
MicroLAB Systems Ltd. 3-263 � �
Sonitech International 3-372 � �
White Mountain DSP, Inc. 3-510 � �
Logic Analyzer
Third-Party Company Name Page No. PC Sun Other
digital logic instruments GmbH 3-129 �
Tektronix Inc. 3-471 � � �
Motor Control System
Third-Party Company Name Page No. PC
Spectrum Digital, Inc. 3-386 �
2-16
TMS320C4x Software Support Tables
Device Programmer
Third-Party Company Name Page No. PC Sun VMEbus Other
Spectrum Signal Processing 3-395 � � � �
Simulator
Third-Party Company Name Page No. HP PC Sun
Alta Group 3-9 � � �
dSPACE 3-90 �
High-Level Language Compiler
Third-Party Company Name Page No. HP PC Sun VAX VMS VMEbus
dSPACE 3-90 � � �
GSE Gesellschaft fuer Software
Engineering mbH
3-152 � � �
Hunt Engineering 3-171 � � �
Mentor Graphics Corporation 3-262 � �
Tartan, Inc. 3-458 � � �
Algorithm Development Software
Third-Party Company Name Page No. HP PC Sun UNIX VMEbus Other
dSPACE 3-90 � � �
Digisonix, Inc. 3-128 �
Eonic Systems, Inc. 3-138 � � �
Numerix Ltd. 3-296 � � �
Signalogic, Inc. 3-365 �
Spectrum Signal Processing, Inc. 3-395 � � �
Tartan, Inc. 3-458 � �
2-17
TMS320C4x Software Support Tables (Cont’d)
Application Software
Third-Party Company Name Page No.Debugging
ToolsFilter
DesignOperating
SystemVisual
Programming Other
Alta Group 3-9 � �
Atlanta Signal Processors, Inc. 3-33 �
The Athena Group, Inc. 3-38 �
DSP Solutions 3-87 �
dSPACE 3-90 �
Digisonix, Inc. 3-128 � �
Epstein Associates 3-150 �
GO DSP Corporation 3-158 �
Hyperception, Inc. 3-177 �
INRIA, Rocquencourt 3-203 �
JOVIAN Systems, Inc. 3-213 �
Momentum Data Systems, Inc. 3-284 � � �
ORINCRON Technologies, Inc. 3-297 �
Pentek, Inc. 3-307 �
Signalogic, Inc. 3-361 � � �
Signix Corporation 3-370 �
Spectrum Digital, Inc. 3-386 � � � �
Spectrum Signal Processing 3-395 � �
Tasking Software Italia, S.r.l. 3-462 �
White Mountain DSP, Inc. 3-510 �
2-18
TMS320C4x Development Hardware Support Tables
Development Hardware
Third-Party Company NamePage No.
Data Acquisition
Board
Multi-processor
Board
Plug-In Board/Module
Stand-alone Board
TIM Module Other
AB-RoBioMat di Angelo Baronchelli 3-4 �
ADAC Corporation 3-6 �
Applied Signal Technology, Inc. 3-12 �
Ariel Corporation 3-15 � �
Atlanta Signal Processors, Inc. 3-27 �
Bridgenorth Signal Processing Inc. 3-40 �
CHEOPS GmbH & Co. KG 3-45 �
Coreco 3-50 � �
DSP Research, Inc. 3-65 �
dSPACE 3-90 � � � � �
DY 4 Systems Inc. 3-115 �
Daimler-Benz Aerospace AG 3-120 �
Electronic Tools GmbH 3-135 �
EPIX, Incorporated 3-147 �
hema Electronik Fertigungs-und Vertriebs GmbH 3-159 �
High-Tech Services Partners 3-163 � � �
Hunt Engineering 3-171 � �
Image & Signal Processing, Inc. 3-184 �
Innovative Integration Inc. 3-185 � �
Instrumental Systems Corporation 3-204 � �
JOVIAN Systems, Inc. 3-213 �
Kane Computing 3-215 � �
Loughborough Sound Images pic 3-226 � �
MEDAV Digitale Signalverarbeitung GmbH 3-259 �
MicroLAB Systems Ltd. 3-263 � � �
Mizar, Inc. 3-278 �
Orsys GmbH 3-298 �
Pacific Cyber/Metrix Inc. 3-301 �
Pentek, Inc. 3-307 � �
Prodrive B.V. 3-348 �
S.E.E.D. 3-349 �
SHAKTI 3-359 �
Sonitech International 3-372 � � �
Spectrum Digital, Inc. 3-391 �
Spectrum Signal Processing Inc. 3-395 � � � � �
Sundance Multiprocessor Technology Ltd. 3-437 � �
2-19
TMS320C4x Development Hardware Support Tables (Cont’d)
Development Hardware (Cont�d.)
Third-Party Company NamePage No.
Multiprocessor Board
Plug-In Board/Module TIM Module
SYNTECH 3-455 �
TEIMA Audiotex 3-468 �
Transtech Parallel Systems Corporation 3-447 � �
Traquair Data Systems, Inc. 3-483 � � �
Wavelink Technology Inc. 3-505 �
White Mountain DSP, Inc. 3-510 � �
Emulator (In-Circuit)
Third-Party Company Name Page No. HP PC Sun Other
Aptix Corporation 3-14 � � �
DSP Research, Inc. 3-65 � �
I.S.I.T. 3-179 �
Innovative Integration Inc. 3-185 �
Instrumental Systems Corporation 3-204 �
Kane Computing 3-215 � �
MicroLAB Systems Ltd. 3-263 � �
Sonitech International 3-372 � �
White Mountain DSP, Inc. 3-510 � �
Logic Analyzer
Third-Party Company Name Page No. HP PC Sun Other
Corelis Inc. 3-52 �
digital logic instruments GmbH 3-129 �
Tektronix Inc. 3-471 � � �
Motor Control System
Third-Party Company Name Page No. PC
Spectrum Digital, Inc. 3-386 �
2-20
TMS320C5x Software Support Tables
Assembler/Linker
Third-Party Company Name Page No. HP PC Sun VMEbus Other
2500AD Software, Inc. 3-3 � � � � �
Simulator
Third-Party Company Name Page No. HP PC Sun UNIX Other
Alta Group 3-9 � � �
Synopsys, Inc. 3-452 � � � �
Algorithm Development Software
Third-Party Company Name Page No. PC
MultiDSP Inc. 3-290 �
Signalogic, Inc. 3-361 �
Application Software
Third-Party Company Name Page No.Filter
DesignDebugging
ToolsOperating
SystemVisual
Programming Other
Alta Group 3-9 � � �
Atlanta Signal Processors, Inc. 3-33 �
The Athena Group, Inc. 3-38 �
D2 Technologies, Inc. 3-53 �
DSP Solutions 3-87 �
GO DSP Corporation 3-158 �
Hyperception, Inc. 3-177 �
Momentum Data Systems, Inc. 3-284 � �
MultiDSP Inc. 3-290 � �
Poznan University of Technology 3-344 �
Signalogic, Inc. 3-361 � � �
Signix Corporation 3-370 �
Spectrum Digital, Inc. 3-386 � �
Tasking Software Italia, S.r.l. 3-462 �
White Mountain DSP, Inc. 3-510 �
2-21
TMS320C5x Development Hardware Support Tables
Development Hardware
Third-Party Company NamePage No.
Data Acquisition
Board
Multi-processor
Board
Plug-In Board/Module
Stand-alone Board Other
Applied Signal Technology, Inc. 3-12 �
D2 Technologies, Inc. 3-53 � �
DSP Control Group, Inc. 3-56 �
DSP Research, Inc. 3-65 �
Dalanco Spry 3-121 �
Electronic Tools GmbH 3-135 �
HotHaus Technologies 3-169 �
Innovative Integration Inc. 3-185 �
Instrumental Systems Corporation 3-204 �
Kane Computing 3-215 �
Loughborough Sound Images pic 3-226 �
MicroLAB Systems Ltd. 3-263 � � �
Momentum Data Systems, Inc. 3-284 �
Nova Engineering, Inc. 3-295 �
PHYTEC Meßtechnik GmbH 3-342 �
S.E.E.D. 3-349 �
Spectrum Digital, Inc. 3-386 �
Spectrum Signal Processing 3-395 �
Synetcom Digital, Inc. 3-451 �
VisionSmart Inc. 3-500 � �
White Mountain DSP, Inc. 3-510 �
Xcom Multimedia Communications 3-523 �
2-22
TMS320C5x Development Hardware Support Tables (Cont’d)
Emulator (In-Circuit)
Third-Party Company Name Page No. HP PC Sun Other
Aptix Corporation 3-14 � � �
DSP Research, Inc. 3-65 � �
DEEMAX Technology, Inc. 3-125 �
Domain Technologies, Inc. 3-131 �
I.S.I.T. 3-179 �
Innovative Integration Inc. 3-185 �
Instrumental Systems Corporation 3-204 �
Kane Computing 3-215 � �
MicroLAB Systems Ltd. 3-263 � �
White Mountain DSP, Inc. 3-510 � �
Logic Analyzer
Third-Party Company Name Page No. PC
digital logic instruments GmbH 3-129 �
Macrochip Research, Inc. 3-258 �
Motor Control System
Third-Party Company Name Page No. PC
Spectrum Digital, Inc. 3-386 �
2-23
TMS320C54x Software Support Tables
Simulator
Third-Party Company Name Page No. HP PC Sun UNIX Other
Alta Group 3-9 � � �
Synopsys, Inc. 3-452 � � � �
Application Software
Third-Party Company Name Page No.Debugging
Tools Filter DesignVisual
Programming Other
Alta Group 3-9 � �
DSP Solutions 3-87 �
GO DSP Corporation 3-158 �
Momentum Data Systems, Inc. 3-284 � �
Signix Corporation 3-370 �
Spectrum Digital, Inc. 3-386 �
2-24
TMS320C54x Development Hardware Support Tables
Development Hardware
Third-Party Company Name Page No. Plug-In Board/Module
Applied Signal Technology, Inc. 3-12 �
DSP Research, Inc. 3-65 �
Xcom Multimedia Communications 3-522 �
Emulator (In-Circuit)
Third-Party Company Name Page No. HP PC Sun
Aptix Corporation 3-14 � � �
I.S.I.T. 3-179 �
White Mountain DSP, Inc. 3-510 � �
Logic Analyzer
Third-Party Company Name Page No. PC
digital logic instruments GmbH 3-129 �
Motor Control System
Third-Party Company Name Page No. PC
Spectrum Digital, Inc. 3-386 �
2-25
TMS320C8x Software Support Tables
Algorithm Development Software
Third-Party Company Name Page No. PC Sun UNIX VMEbus Other
General Imaging Corporation 3-154 � � � � �
Application Software
Third-Party Company Name Page No.Debugging
Tools Filter DesignVisual
Programming Other
Alta Group 3-9 � � �
DSP Solutions 3-87 �
Epstein Associates 3-150 �
General Imaging Corporation 3-154 �
Signix Corporation 3-370 �
Spectrum Digital, Inc. 3-386 �
2-26
TMS320C8x Development Hardware Support Tables
Development Hardware
Third-Party Company NamePage No. Multiprocessor Board Plug-In Board/Module
StandaloneBoard
Alacron, Inc. 3-8 �
Ariel Corporation 3-18 �
CHEOPS GmbH & Co. 3-45 �
DY 4 Systems Inc. 3-119 �
ESSE-GI s.r.l. 3-151 �
General Imaging Corporation 3-153 � �
High-Tech Services Partners 3-163 �
IAT AG/IAT Deutschland GmbH 3-178 �
Instrumental Systems Corporation 3-204 �
Kane Computing 3-215 �
Loughborough Sound Images pic 3-226 �
Mizar, Inc. 3-278 �
Precision Digital Images 3-346 �
Primary Image Ltd. 3-347 �
Spectrum Signal Processing 3-395 �
Video Display Systems s.r.l. 3-499 �
VisionSmart Inc. 3-500 �
Wintriss Engineering Corporation 3-519 �
Emulator (In-Circuit)
Third-Party Company Name Page No. HP PC Sun
Aptix Corporation 3-14 � � �
I.S.I.T. 3-179 �
White Mountain DSP, Inc. 3-510 � �
2-27
TMS320C8x Development Hardware Support Tables (Cont’d)
Logic Analyzer
Third-Party Company Name Page No. PC
digital logic instruments GmbH 3-129 �
Motor Control System
Third-Party Company Name Page No. PC
Spectrum Digital, Inc. 3-386 �
3-1
Chapter 3Third-Party Product Descriptions
This chapter lists third-party manufacturers and suppliers alphabetically by name and This chapter lists third-party manufacturers and suppliers alphabetically by name and describes their current products that support TMS320 devices.describes their current products that support TMS320 devices.
3-3
2500AD Software, Inc.109 Brookdale AvenueP.O. Box 480Buena Vista, CO 81211 U.S.A.(719) 395-8683Fax: (719) 395-8206e-mail: [email protected]: http://www.2500ad.com
Company Background 2500AD Software, Inc. is a well-established 16-year-old engineering software develop-2500AD Software, Inc. is a well-established 16-year-old engineering software develop-ment company specializing in designing and producing programming tools for the ment company specializing in designing and producing programming tools for the embedded systems industry.embedded systems industry.
2500AD’s principal products are C Compilers, Macro Cross Assemblers, and Simula-2500AD’s principal products are C Compilers, Macro Cross Assemblers, and Simula-tor/Debuggers supporting over 40 different microprocessors.tor/Debuggers supporting over 40 different microprocessors.
Assembler/Linker
Product Name: 2500AD TMS320 Macro Cross Assembler
Platforms Supported: MS-DOS�, OS/2�, UNIX®, Xenix, Windows� NT, Sun-4�, HP 700, Open VMS�Devices Supported: TMS320C5x
Features and Benefits
•• The Assembler includes a Linker and Librarian.The Assembler includes a Linker and Librarian.•• Product includes a 90-day money-back guarantee.Product includes a 90-day money-back guarantee.
Product Description
The 2500AD TMS320 Macro Cross Assembler enables the user to write pro-The 2500AD TMS320 Macro Cross Assembler enables the user to write pro-grams which can be assembled into relocatable object code and linked to grams which can be assembled into relocatable object code and linked to the desired execution address using the 2500AD Linker.the desired execution address using the 2500AD Linker.
The 2500AD Linker outputs a listing file showing relocated opcodes The 2500AD Linker outputs a listing file showing relocated opcodes and addresses to run-time values. This allows predebugging practices.and addresses to run-time values. This allows predebugging practices.
The 2500AD Linker produces a map file with global variable cross ref-The 2500AD Linker produces a map file with global variable cross ref-erences to every file contained in a program. All program sections are listed erences to every file contained in a program. All program sections are listed showing code size, load-time, and run-time addressess.showing code size, load-time, and run-time addressess.
The Linker generates executable binary, IntelThe Linker generates executable binary, Intel®® Hex, Extended Intel Hex, Extended Intel Hex, Tektronix Hex, Motorola S19, S28, and S37 code files. The Linker cre-Hex, Tektronix Hex, Motorola S19, S28, and S37 code files. The Linker cre-ates 2500AD Global, Abbreviated Global, 2500AD High Level, Microtek, ates 2500AD Global, Abbreviated Global, 2500AD High Level, Microtek, Extended Microtek, Rockwell, and Zax Symbol Tables.Extended Microtek, Rockwell, and Zax Symbol Tables.
3-4
AB-RoBioMat di Angelo Baronchelli & Dott. Gàbor Gulyàs
Via Caduti del Lavoro,925034 Orzinuovi(BS)Italy+30-943301 / +30-941264Fax: +30-941264 / +30-9941501 e-mail: [email protected] [email protected] [email protected]
Company Background AB-RoBioMat is a fusion company of AB (industrial automation) and RoBioMat (H/W-AB-RoBioMat is a fusion company of AB (industrial automation) and RoBioMat (H/W-S/W developer). The target developements are process control, quality-control, data S/W developer). The target developements are process control, quality-control, data encryption, parallel processing. The company’s goal is to deliver the key hardware and encryption, parallel processing. The company’s goal is to deliver the key hardware and software for the “time-critical/CPU-hungry” applications in the industrial and scientific-software for the “time-critical/CPU-hungry” applications in the industrial and scientific-research environment.research environment.
AB-RoBioMat has cousin firms in US, Canada, Germany, and Sweden.AB-RoBioMat has cousin firms in US, Canada, Germany, and Sweden.
Development Hardware/ Plug-In PCI Board
Product Name: POLYP -T44-1Platforms Supported: Multiplatform (PC, VME�, Mac�, PowerPC�, Alpha ...) Devices Supported: TMS320C44
Features and Benefits
•• Single ’C44Single ’C44•• Up to 2 Mbytes of 0-wait-state SRAMUp to 2 Mbytes of 0-wait-state SRAM•• On-board JTAG interface for debuggingOn-board JTAG interface for debugging•• Piggy-interface for add-on modulesPiggy-interface for add-on modules•• PCI interfacePCI interface•• Multiple (OS) platform device driversMultiple (OS) platform device drivers•• Multiple (OS) platform visual framework/editor toolsMultiple (OS) platform visual framework/editor tools
Product Description
The POLYP -T44-1 is the Christmas gift for the researchers, industry engi-The POLYP -T44-1 is the Christmas gift for the researchers, industry engi-neers, students, and several future-oriented people. Its highly-modular, neers, students, and several future-oriented people. Its highly-modular, easily-expandable, industry-standard, host-independent architecture and easily-expandable, industry-standard, host-independent architecture and design make it an overall add-on turbo-engine.design make it an overall add-on turbo-engine.
The OS-independent feature ensures the versatility/portability. Via the The OS-independent feature ensures the versatility/portability. Via the external comm-port connectors tropical-combinations of parallel-comput-external comm-port connectors tropical-combinations of parallel-comput-ing applications are realizeable.ing applications are realizeable.
The delivered software framework tools are based on TI’s-compiler/ The delivered software framework tools are based on TI’s-compiler/ debugger.debugger.
3-5
Acroloop Motion Control Systems, Inc.7801 Park DriveChanhassen, MN 55317(612) 474-4500
Company Background Programmable multi-axis motion controllers for servo, stepper, and hydraulics. PC-Programmable multi-axis motion controllers for servo, stepper, and hydraulics. PC-based or standalone controllers, industrial standalone, or PC controller chassis, NEMA based or standalone controllers, industrial standalone, or PC controller chassis, NEMA 12 PC-based operator interfaces. Off-the-shelf PC software: AcroCUT (Plasma, laser, 12 PC-based operator interfaces. Off-the-shelf PC software: AcroCUT (Plasma, laser, oxy-fuel, and waterjet cutting), AcroMILL (milling and routing G and M code software). oxy-fuel, and waterjet cutting), AcroMILL (milling and routing G and M code software). Software development tools: C++, Windows, Visual Basic, and Windows NT libraries. Software development tools: C++, Windows, Visual Basic, and Windows NT libraries. Graphical Tuning software. Custom application programming, motors, amplifiers, and Graphical Tuning software. Custom application programming, motors, amplifiers, and turnkey systems. Full-line catalog and 100-page motion-controller technical brochure.turnkey systems. Full-line catalog and 100-page motion-controller technical brochure.
Application Hardware
Product Name: Acroloop�s ACR6000 and ACR8000 - Multi-Axes Motion ControllersPlatforms Supported: PC or Standalone
Devices Supported: TMS320C30
Features and Benefits
•• Texas Instruments TMS320C30 processor basedTexas Instruments TMS320C30 processor based•• Pre-emptive multi-tasker for up to 16 simultaneous motion programsPre-emptive multi-tasker for up to 16 simultaneous motion programs•• Pre-emptive multi-tasker for up to 8 simultaneous PLC programsPre-emptive multi-tasker for up to 8 simultaneous PLC programs•• Handles 1–4 and 1–8 axes applications (cascadable for additional axes)Handles 1–4 and 1–8 axes applications (cascadable for additional axes)•• 64 optically-isolated 24-V I/O standard (50-mA full load)64 optically-isolated 24-V I/O standard (50-mA full load)
Product Description
The ACR6000 and the ACR8000 are used in a wide variety of single- and The ACR6000 and the ACR8000 are used in a wide variety of single- and multi-axis applications. The applications for the ACR6000 and ACR8000 multi-axis applications. The applications for the ACR6000 and ACR8000 are virtually unlimited due to its flexible design.are virtually unlimited due to its flexible design.
The ACR6000 and ACR6000 are 32-bit floating-point multi-tasking DSP The ACR6000 and ACR6000 are 32-bit floating-point multi-tasking DSP based-motion controllers. The ACR6000 is used in applications requiring based-motion controllers. The ACR6000 is used in applications requiring only up to four axis of motion control per board, where the ACR8000 is only up to four axis of motion control per board, where the ACR8000 is used in applications requiring up to eight axis per board. Both the ACR6000 used in applications requiring up to eight axis per board. Both the ACR6000 and the ACR8000 are ideal for applications requiring a high degree of pro-and the ACR8000 are ideal for applications requiring a high degree of pro-cessing power and fast performance—this eliminates the tasks or need for cessing power and fast performance—this eliminates the tasks or need for a a host processor. Either the ACR6000 or the ACR8000 can perform as a host processor. Either the ACR6000 or the ACR8000 can perform as a standalone (without a host processor) or from within a PC bus architecture.standalone (without a host processor) or from within a PC bus architecture.
The ACR6000 and the ACR8000 can perform independent or coordi-The ACR6000 and the ACR8000 can perform independent or coordi-nated axes moves including circular, linear, sinusoidal, elliptical, and helical nated axes moves including circular, linear, sinusoidal, elliptical, and helical interpolation in any combination of up to four and eight axes respectively. interpolation in any combination of up to four and eight axes respectively. In addition, the user can define multiple coordinate systems for up to 24 In addition, the user can define multiple coordinate systems for up to 24 programs (16 motion and 8 PLC programs). In typical applications, the pre-programs (16 motion and 8 PLC programs). In typical applications, the pre-emptive multi-tasker will execute motion profiles, command serial and par-emptive multi-tasker will execute motion profiles, command serial and par-allel communications, and execute a high-speed ladder logic PLC simulta-allel communications, and execute a high-speed ladder logic PLC simulta-neously.neously.
3-6
ADAC Corporation70 Tower Office Park,Woburn, MA 01801(617) 935-3200Fax: (617)938-6553e-mail: [email protected]: http://www.adac.com
Company Background ADAC has an extensive line of data-acquisition boards designed specifically for DSP ADAC has an extensive line of data-acquisition boards designed specifically for DSP applications. Designed to simplify the task of getting analog data to and from the ’C4x applications. Designed to simplify the task of getting analog data to and from the ’C4x chip, these boards are directly compatible with ’C4x DSP cards from virtually every chip, these boards are directly compatible with ’C4x DSP cards from virtually every board vendor. board vendor.
Development Hardware/Plug-In PC Bus Module
Product Name: 5400 SeriesPlatforms Supported: �C4x Comm Ports, ISA BusDevices Supported: TMS320C4x cards from Ariel, DSP Research, Sonitech, Spectrum, Transtech,
White Mountain DSP, and more
Features and Benefits
•• ’C4x comm port I/O’C4x comm port I/O•• Direct hardware and software interface to most ’C4x boardsDirect hardware and software interface to most ’C4x boards•• Provides complete, integrated data-acquisition solutionProvides complete, integrated data-acquisition solution•• Analog inputs, analog outputs, and digital I/OAnalog inputs, analog outputs, and digital I/O
Product Description
The first data-acquisition boards with on-board ’C4x communications The first data-acquisition boards with on-board ’C4x communications ports, ADAC’s 5400 Series provides an integrated solution for DSP data ports, ADAC’s 5400 Series provides an integrated solution for DSP data acquisition. The 5400 Series is a line of high-performance data-acquisition acquisition. The 5400 Series is a line of high-performance data-acquisition boards with a direct interface to virtually all ’C4x-based digital signal pro-boards with a direct interface to virtually all ’C4x-based digital signal pro-cessing (DSP) cards. The industry standard ’C4x comm port allows high-cessing (DSP) cards. The industry standard ’C4x comm port allows high-speed data transfer between the data acquisition and the DSP cards with-speed data transfer between the data acquisition and the DSP cards with-out the bottle neck of the ISA bus. The 5400 Series cards plug into the ISA out the bottle neck of the ISA bus. The 5400 Series cards plug into the ISA bus, and feature FIFOs, on-board RAM for channel and gain list, as well as bus, and feature FIFOs, on-board RAM for channel and gain list, as well as an expansion clock bus for synchronization of multiple 5400 boards. The an expansion clock bus for synchronization of multiple 5400 boards. The 5400 Series includes: 12- and 16-bit A/D models for DC applications; very 5400 Series includes: 12- and 16-bit A/D models for DC applications; very high-speed, simultaneous sampling (A/D per channel) cards for dynamic high-speed, simultaneous sampling (A/D per channel) cards for dynamic applications, as well as analog-output cards with up to eight simultaneous applications, as well as analog-output cards with up to eight simultaneous analog outputs.analog outputs.
The 5400 Series can be custom programmed via the ’C4x or the host The 5400 Series can be custom programmed via the ’C4x or the host PC, and is also compatible with the HyperSignal package from Hypercep-PC, and is also compatible with the HyperSignal package from Hypercep-tion, and the Pegasus software from Jovian Systems.tion, and the Pegasus software from Jovian Systems.
5400 Series by ADAC5400 Series by ADAC
3-7
Advin Systems Inc.1050-L East Duane Ave.Sunnyvale, CA 94086 USA(800) 627-2456, (408) 243-7000Fax: (408) 736-2503e-mail: [email protected]: HTTP://WWW.WCO.COM/~ADVIN/
Company Background Advin Systems Inc. has been making high-quality device programmers for professional Advin Systems Inc. has been making high-quality device programmers for professional engineers since 1986. All products are designed in Sunnyvale, California and manufac-engineers since 1986. All products are designed in Sunnyvale, California and manufac-tured in Fremont, California. It is recognized and recommended by major IC manufac-tured in Fremont, California. It is recognized and recommended by major IC manufac-turers. For quality-conscious engineers who need almost instant support when new turers. For quality-conscious engineers who need almost instant support when new devices come to market, Advin’s small-company high-quality mentality will fit very well.devices come to market, Advin’s small-company high-quality mentality will fit very well.
Device Programmer
Product Name: PILOT-MVP: The Most Valuable ProgrammerPlatforms Supported: PCDevices Supported: TMS320C1x, TMS320C2x
Features and Benefits
•• Controlled by IBM PCs/notebooks or compatiblesControlled by IBM PCs/notebooks or compatibles•• Standard parallel-printer-port interface, no PC slot requiredStandard parallel-printer-port interface, no PC slot required•• Powerful, flexible, and friendly softwarePowerful, flexible, and friendly software•• Industrial quality, made in USAIndustrial quality, made in USA
Product Description
PILOT-MVP is a very cost-effective and reliable solution for programming PILOT-MVP is a very cost-effective and reliable solution for programming the TMS320 DSP devices. It is a universal programmer capable of program-the TMS320 DSP devices. It is a universal programmer capable of program-ming a wide range of devices such as EPROMs, EEPROMs, FLASH memo-ming a wide range of devices such as EPROMs, EEPROMs, FLASH memo-ries, PALs, GALs, FPGAs, and many others.ries, PALs, GALs, FPGAs, and many others.
Please contact Advin for product details and pricing.Please contact Advin for product details and pricing.Major international Distributors are:Major international Distributors are:
Voice:Voice: FAX:FAX:Australia: KenelecAustralia: Kenelec (61) 3-878-2700(61) 3-878-2700 (61) 3-878-0824(61) 3-878-0824Benelux: Suminvent Benelux: Suminvent (55) 31.37.37 (55) 31.37.37 (55) 31.43.99(55) 31.43.99Canada West: J&FCanada West: J&F (604) 986-1286 (604) 986-1286 (604) 986-2216(604) 986-2216Canada East: Multitest Canada East: Multitest (416) 609-8396 (416) 609-8396 (416) 609-8399(416) 609-8399China: UniversalChina: Universal (852) 833-5188(852) 833-5188 (852) 941-5930(852) 941-5930England: Quarndon England: Quarndon (332) 32651 (332) 32651 (332) 360-922(332) 360-922France: Antycip France: Antycip (33) 1-3961-1414 (33) 1-3961-1414 (33) 1-3076-2973(33) 1-3076-2973Germany: Lascar Germany: Lascar (49) 7459-1271(49) 7459-1271 (49) 7459-2471(49) 7459-2471Hong Kong: Universal Hong Kong: Universal (852) 833-5188(852) 833-5188 (852) 941-5930(852) 941-5930Korea: Hankook MDSKorea: Hankook MDS (82) 2-783-0022(82) 2-783-0022 (82) 2-783-7836(82) 2-783-7836Sweden: Instr. Ctr. Sweden: Instr. Ctr. (46) 589-19250 (46) 589-19250 (46) 589-16153(46) 589-16153Taiwan: EnterichTaiwan: Enterich (886) 3-333-0232 (886) 3-333-0232 (886) 3-333-5853(886) 3-333-5853
PILOT-MVPPILOT-MVP
3-8
Alacron, Inc.71 Spitbrook Rd.Nashua, NH 03060(603) 891-2750Fax: (603) 891-2745e-mail: [email protected]: http://www.alacron.com
Company Background Alacron is a leading supplier of high-performance computing solutions to the image and Alacron is a leading supplier of high-performance computing solutions to the image and signal processing communities. Alacron computing subsystems can be integrated into a signal processing communities. Alacron computing subsystems can be integrated into a wide variety of operating environments on VME, PCI, or PC/ISA bus computers. On-wide variety of operating environments on VME, PCI, or PC/ISA bus computers. On-board and daughter card options provide efficient, balanced I/O. All Alacron computing board and daughter card options provide efficient, balanced I/O. All Alacron computing subsystems are supported by a full range of software development tools, including subsystems are supported by a full range of software development tools, including highly-optimized, microcoded-signal, and image-processing libraries.highly-optimized, microcoded-signal, and image-processing libraries.
Development Hardware/Plug-In Module/VMEbus, PCI, ISA
Product Name: FT-C80Platforms Supported: DOS; Windows 3.x, 95, NT; OS/2; Solaris�, HPUX, VxWorks, LynxOS Devices Supported: TMS320C80
Features and Benefits
•• 2 Giga-ops peak performance2 Giga-ops peak performance•• Up to 128-MB DRAMUp to 128-MB DRAM•• Digital/analog on-board camera inputDigital/analog on-board camera input•• High-resolution monochrome and color display optionsHigh-resolution monochrome and color display options•• Available for PCI, VME, ISA busesAvailable for PCI, VME, ISA buses
Product Description
The FT-C80 series of products is ideal for the most demanding fixed-point The FT-C80 series of products is ideal for the most demanding fixed-point DSP, image-processing, and real-time filtering applications. Providing 2 DSP, image-processing, and real-time filtering applications. Providing 2 Giga-ops of processing power, the FT-C80 can perform a 3 Giga-ops of processing power, the FT-C80 can perform a 3 × 3 convolution 3 convolution on a 512 on a 512 × 512 512 × 8-bit image in 6.6 msec. 8-bit image in 6.6 msec.
Alacron’s FT-C80 provides tightly coupled on-board analog and digital Alacron’s FT-C80 provides tightly coupled on-board analog and digital frame-grabbers, supporting 8-, 16-, and 24-bit video, plus on-board video frame-grabbers, supporting 8-, 16-, and 24-bit video, plus on-board video output options. The FT-C80’s two FastTrack™ expansion connectors inter-output options. The FT-C80’s two FastTrack™ expansion connectors inter-face to Alacron’s family of I/O expansion boards. A high-performance 64-bit face to Alacron’s family of I/O expansion boards. A high-performance 64-bit digital (camera) interface, a high-resolution video and graphic display digital (camera) interface, a high-resolution video and graphic display interface, a high-performance digital I/O interface, as well as SCSI, and VSB interface, a high-performance digital I/O interface, as well as SCSI, and VSB FastTrack daughter cards are available.FastTrack daughter cards are available.
FT-C80 computing subsystems are supported by Alacron’s RT operat-FT-C80 computing subsystems are supported by Alacron’s RT operat-ing environment and an extensive suite of application-specific libraries for ing environment and an extensive suite of application-specific libraries for image processing, DSP, and graphics applications. ANSI development tools image processing, DSP, and graphics applications. ANSI development tools for C and FORTRAN 77 are available as well as a debugger and profiler.for C and FORTRAN 77 are available as well as a debugger and profiler.
3-9
Alta Group of Cadence Design Systems, Inc.555 N. Mathilda Ave.Sunnyvale CA 94086(408) 733-1595 Fax: (408) 523-4601www: http://www.altagroup.com/
Company Background The Alta Group is the leading supplier of high-level system design solutions for DSP-The Alta Group is the leading supplier of high-level system design solutions for DSP-based applications. Alta Group offers design tools, libraries, and services, specifically based applications. Alta Group offers design tools, libraries, and services, specifically tailored for communication and multimedia systems. Alta’s critical mass of application tailored for communication and multimedia systems. Alta’s critical mass of application expertise, engineering resources, and technology enables its customers to quickly expertise, engineering resources, and technology enables its customers to quickly design the right product.design the right product.
Application Software/Filter Design/Debugging Tools
Simulator
Product Name: Signal Processing WorkSystem® (SPW�)Platforms Supported: Sun�, HP, IBM�Devices Supported: TMS320C3x, TMS320C4x, TMS320C5x, TMS320C54x
Features and Benefits
•• Powerful graphical design environment facilitates the capture of com-Powerful graphical design environment facilitates the capture of com-plex systems.plex systems.
•• Comprehensive libraries provide a head start for system design.Comprehensive libraries provide a head start for system design.•• CONVERGENCE™ simulation architecture: continuous verification CONVERGENCE™ simulation architecture: continuous verification
throughout the design. Links to hardware/software tools bridge the gap throughout the design. Links to hardware/software tools bridge the gap between concept and implementation.between concept and implementation.
•• World-class design services to accelerate your development process.World-class design services to accelerate your development process.
Product Description
SPW is a tightly integrated software environment for developing, simulat-SPW is a tightly integrated software environment for developing, simulat-ing, and implementing DSP, communications, and multimedia systems. Its ing, and implementing DSP, communications, and multimedia systems. Its graphical design methodology consists of comprehensive block libraries, a graphical design methodology consists of comprehensive block libraries, a finite state machine editor, the CONVERGENCE simulation architecture, finite state machine editor, the CONVERGENCE simulation architecture, sophisticated analysis tools, and a wide selection of implementation options.sophisticated analysis tools, and a wide selection of implementation options.
The Code Generation System (CGS™), automatically produces opti-The Code Generation System (CGS™), automatically produces opti-mized C code from designs captured in SPW. The generated code is auto-mized C code from designs captured in SPW. The generated code is auto-matically compiled and downloaded to target boards. Using the DSP matically compiled and downloaded to target boards. Using the DSP Processor Models (DPMT™) designers can embed assembly code in their Processor Models (DPMT™) designers can embed assembly code in their system block diagrams. A seamless interface between SPW and the target system block diagrams. A seamless interface between SPW and the target processor simulator, ensures that the assembly code is accurately tested processor simulator, ensures that the assembly code is accurately tested within the context of the system.within the context of the system.
The Hardware Design System (HDS™) is used to specify and test The Hardware Design System (HDS™) is used to specify and test hardware architectures, which can be later converted into synthesizable hardware architectures, which can be later converted into synthesizable HDL for implementation. Existing HDL code can be incorporated into the HDL for implementation. Existing HDL code can be incorporated into the design and verified as well.design and verified as well.
3-10
Analogic CorporationCDA Division8 Centennial DrivePeabody, MA 01960(508) 977-3000 x3521Fax: (508) 977-6813e-mail: [email protected]
Company Background Analogic Corporation is a leading OEM for advanced electronic components, subassem-Analogic Corporation is a leading OEM for advanced electronic components, subassem-blies, and systems. A pioneer in signal analysis and DSP, Analogic’s CDA Division has blies, and systems. A pioneer in signal analysis and DSP, Analogic’s CDA Division has been shipping array processors and DSP boards and systems used in imaging and tele-been shipping array processors and DSP boards and systems used in imaging and tele-communications applications since 1978. Most recently, Analogic has produced multi-communications applications since 1978. Most recently, Analogic has produced multi-processor DSP boards and software utilizing the TI ’C3x family of DSP. These custom processor DSP boards and software utilizing the TI ’C3x family of DSP. These custom and commercial boards are available on the ISA and VME buses.and commercial boards are available on the ISA and VME buses.
Development Hardware/Plug-In Board/ISA/VMEbus
Product Name: TAP-800 DSP Board for Computer Telephony ApplicationsPlatforms Supported: UNIX, Windows NTDevices Supported: TMS320C3x
Features and Benefits
•• 2, 4, or 6 60-MHz TI TMS320C31 processors2, 4, or 6 60-MHz TI TMS320C31 processors•• 4-MB or 16-MB Global DRAM4-MB or 16-MB Global DRAM•• 256-kB, 512-kB, or 1-MB local SRAM256-kB, 512-kB, or 1-MB local SRAM•• MVIP and SCSA™ bus interfacesMVIP and SCSA™ bus interfaces•• Powerful Resource Manager supports multiple algorithms per nodePowerful Resource Manager supports multiple algorithms per node•• Pre-ported third-party algorithms availablePre-ported third-party algorithms available
Product Description
The TAP-800 Telephony Algorithm Processor is a powerful open DSP plat-The TAP-800 Telephony Algorithm Processor is a powerful open DSP plat-form developed specifically for advanced computer telephony-integration form developed specifically for advanced computer telephony-integration (CTI) applications. With up to six 60-MHz TMS320C31 floating-point DSP (CTI) applications. With up to six 60-MHz TMS320C31 floating-point DSP processors, the TAP-800 delivers 360 MFLOPS of performance. This is processors, the TAP-800 delivers 360 MFLOPS of performance. This is enough compute power to handle even the most demanding computer-tele-enough compute power to handle even the most demanding computer-tele-phony applications such as automatic speech recognition (ASR), text-to-phony applications such as automatic speech recognition (ASR), text-to-speech (TTS), and digital voice, fax, and data compression.speech (TTS), and digital voice, fax, and data compression.
Both industry-standard TDM data buses, MVIP and SCSA, are sup-Both industry-standard TDM data buses, MVIP and SCSA, are sup-ported on the TAP-800 giving users access to the whole computer tele-ported on the TAP-800 giving users access to the whole computer tele-phony market. In each case, the TAP-800 brings any 32 of the 512 to 2048 phony market. In each case, the TAP-800 brings any 32 of the 512 to 2048 TDM data channels to a local TDM bus. All 32 local TDM channels are avail-TDM data channels to a local TDM bus. All 32 local TDM channels are avail-able via the TAP-800’s TDMRouter™ chip to any or all of the six DSPs for able via the TAP-800’s TDMRouter™ chip to any or all of the six DSPs for complete processing flexibility.complete processing flexibility.
The TAP-800 comes with on-board firmware for power-on self test and The TAP-800 comes with on-board firmware for power-on self test and memory loading. And Analogic provides a complete set of TAP-800 specific memory loading. And Analogic provides a complete set of TAP-800 specific software tools including host libraries, downloader, TAP-800 kernel, and software tools including host libraries, downloader, TAP-800 kernel, and drivers for both UNIX and Windows NT.drivers for both UNIX and Windows NT.
3-11
Applied Signal Technology, Inc.400 West California AvenueSunnyvale, CA 94086(800) 374-3560 Customer Service(408) 522-3560Fax: (408) 522-2960e-mail: [email protected]: //www.appsig.com
Company Background Applied Signal Technology, Inc. develops, manufactures, and markets data-acquisition Applied Signal Technology, Inc. develops, manufactures, and markets data-acquisition and signal-processing boards and equipment for a wide range of telecommunications and signal-processing boards and equipment for a wide range of telecommunications applications. In addition to the over 50 products produced, the company has extensive applications. In addition to the over 50 products produced, the company has extensive development and system engineering capabilities. The company’s products for data development and system engineering capabilities. The company’s products for data acquisition, DSP, and wireless communications including terrestrial microwave, satel-acquisition, DSP, and wireless communications including terrestrial microwave, satel-lite, and cellular systems make it an excellent OEM and development partner.lite, and cellular systems make it an excellent OEM and development partner.
Development Hardware/Plug-In Board
Product Name: B100 Digital Tuner ProcessorPlatforms Supported: IBM PC XT�/AT� or 386 compatible Devices Supported: TMS320C25
Features and Benefits
•• Baseband or IF input with maximum frequency of 15 MHzBaseband or IF input with maximum frequency of 15 MHz•• Custom VLSI chip set digitally tunes and filters to provide a single Custom VLSI chip set digitally tunes and filters to provide a single
channel of selectable bandwidth (3 to 55 kHz)channel of selectable bandwidth (3 to 55 kHz)•• CEPT E1 serial inputCEPT E1 serial input•• Bidirectional communications port and DMA capability between the Bidirectional communications port and DMA capability between the
host PC and B100host PC and B100•• Applications include voice channel modem demodulator, fax demodu-Applications include voice channel modem demodulator, fax demodu-
lator, signal detection, and analysislator, signal detection, and analysis
Product Description
The B100 is an IBM PC-compatible plug-in board capable of processing a The B100 is an IBM PC-compatible plug-in board capable of processing a selected channel from an IF baseband input which is sampled at 32.768 selected channel from an IF baseband input which is sampled at 32.768 MHz or a CEPT E1. A digital tuner can be directed to extract any single MHz or a CEPT E1. A digital tuner can be directed to extract any single channel of selectable bandwidth (3 to 55 kHz) from the input signal. This channel of selectable bandwidth (3 to 55 kHz) from the input signal. This single channel is then processed by the TMS320C25 DSP circuit. Digital single channel is then processed by the TMS320C25 DSP circuit. Digital outputs are available from the RS-232 port or the byte-wide PC bus. Analog outputs are available from the RS-232 port or the byte-wide PC bus. Analog outputs include an audio port and X-Y monitor signals. The host PC down-outputs include an audio port and X-Y monitor signals. The host PC down-loads the TMS processor application program and exchanges control and loads the TMS processor application program and exchanges control and status information with the B100. Supported software includes ELVIRA status information with the B100. Supported software includes ELVIRA Signal Analysis Workstation, and FaxCepter.Signal Analysis Workstation, and FaxCepter.
3-12
Applied Signal Technology, Inc.
Development Hardware/Plug-In Board
Product Name: B105 Modular Digital Processor Platforms Supported: IBM AT ISA bus compatible Devices Supported: TMS320C30, TMS320C40, TMS320C50
Features and Benefits
•• Modular I/O including dual baseband or IF inputs with frequency up to Modular I/O including dual baseband or IF inputs with frequency up to 15 MHz15 MHz
•• Custom digital receiver ASICs to tune and filter four channels of select-Custom digital receiver ASICs to tune and filter four channels of select-able bandwidth 0.5 to 512 kHzable bandwidth 0.5 to 512 kHz
•• Dual-DSP moduleDual-DSP module•• Two bidirectional communications ports for 16-bit DMATwo bidirectional communications ports for 16-bit DMA•• Modular I/O including E1/T1 PCM, TTL, ECL; RS-232/422/485Modular I/O including E1/T1 PCM, TTL, ECL; RS-232/422/485
Product Description
The B105 is a modular, digital signal processor board for the IBM-AT and The B105 is a modular, digital signal processor board for the IBM-AT and compatibles. With two 15-MHz bandwidth inputs and four digital tuners, compatibles. With two 15-MHz bandwidth inputs and four digital tuners, multiple signals can be extracted and processed from receiver baseband or multiple signals can be extracted and processed from receiver baseband or IF outputs. A variety of input and outputs can be accommodated via field-IF outputs. A variety of input and outputs can be accommodated via field-changeable I/O modules, including E1 and T1 PCM, RS-232/422/485 serial changeable I/O modules, including E1 and T1 PCM, RS-232/422/485 serial and high-resolution A/D and D/A. The applications for this board include and high-resolution A/D and D/A. The applications for this board include wireless radio, voice-channel modem identification and demodulation, pre-wireless radio, voice-channel modem identification and demodulation, pre-detected modem demodulation, facsimile processing, RF search, and T1 to detected modem demodulation, facsimile processing, RF search, and T1 to E1 transmultiplexing. Supported software includes ELVIRA Signal Analysis E1 transmultiplexing. Supported software includes ELVIRA Signal Analysis Workstation, and FaxCepter.Workstation, and FaxCepter.
3-13
Applied Signal Technology, Inc.
Development Hardware/Plug-In Board
Product Name: B108D/T Digital Receiver Board Set Platforms Supported: UNIX, DOSDevices Supported: TMS320C25, TMS320C40
Features and Benefits
•• 10- or 12-bit A/D converters provide digitized basebands with band-10- or 12-bit A/D converters provide digitized basebands with band-widths up to 32.5 MHzwidths up to 32.5 MHz
•• On-board TMS320C25 DSP provides AGC or MGC function for each On-board TMS320C25 DSP provides AGC or MGC function for each basebandbaseband
•• Choice of two on-board sample clocks or an external ECL clockChoice of two on-board sample clocks or an external ECL clock•• Custom ASICs provide eight digitally-tuned and down-sampled chan-Custom ASICs provide eight digitally-tuned and down-sampled chan-
nelsnels•• Four TMS320C40 communication ports used to transfer tuner output Four TMS320C40 communication ports used to transfer tuner output
and controland control
Product Description
The B108 board set consists of a 10- or 12-bit four-channel digitizer board The B108 board set consists of a 10- or 12-bit four-channel digitizer board and an eight-channel narrowband tuner board. A TMS320C25 DSP, resi-and an eight-channel narrowband tuner board. A TMS320C25 DSP, resi-dent on the board, allows software-controlled AGC algorithms to be imple-dent on the board, allows software-controlled AGC algorithms to be imple-mented individually on each analog input. The digitizer’s data is passed to mented individually on each analog input. The digitizer’s data is passed to the B108T digital receiver board through a dedicated, high-speed parallel the B108T digital receiver board through a dedicated, high-speed parallel bus. A group of nonblocking switches allows any of the four digitizer input bus. A group of nonblocking switches allows any of the four digitizer input streams to be fed to any of eight independent digital tuners which can tune streams to be fed to any of eight independent digital tuners which can tune independent narrowband signals out of the input data stream. The tuning independent narrowband signals out of the input data stream. The tuning frequency is programmable in increments of 0.1 Hz. The output interface of frequency is programmable in increments of 0.1 Hz. The output interface of the tuner board consists of the industry-standard TMS320C40 communica-the tuner board consists of the industry-standard TMS320C40 communica-tions port protocol and is designed to be used with any TMS320C40. Both tions port protocol and is designed to be used with any TMS320C40. Both boards have been integrated with various quad-TMS320C40 boards with boards have been integrated with various quad-TMS320C40 boards with drivers developed in C to simplify integration with existing applications. drivers developed in C to simplify integration with existing applications. This environment provides an ideal platform for applications in wireless This environment provides an ideal platform for applications in wireless radio demodulation, direction finding, spectrum analysis, high-speed spec-radio demodulation, direction finding, spectrum analysis, high-speed spec-tral search, narrowband tuning, and beamforming.tral search, narrowband tuning, and beamforming.
B108T boardB108T board
B108D boardB108D board
3-14
Aptix Corporation2880 North First StreetSan Jose, CA 95134(408) 428-6200Fax: (408) 944-0646e-mail: [email protected]
Company Background Aptix Corporation is the leading supplier of system emulation solutions. Aptix’s unique Aptix Corporation is the leading supplier of system emulation solutions. Aptix’s unique solution is based on a reprogrammable emulator architecture that incorporates field-solution is based on a reprogrammable emulator architecture that incorporates field-programmable interconnect components and field-programmable circuit boards, and programmable interconnect components and field-programmable circuit boards, and the accompanying software to emulate a complete system. System emulation is critical the accompanying software to emulate a complete system. System emulation is critical for the verification of complex designs—especially in DSP-based environments where for the verification of complex designs—especially in DSP-based environments where images, sound, protocols, and subjective judgment comprise the validation domain.images, sound, protocols, and subjective judgment comprise the validation domain.
Development Hardware/Emulator
Product Name: System ExplorerPlatforms Supported: Sun, HP, PC (Windows)Devices Supported: TMS320C1x, TMS320C2x, TMS320C2xx, TMS320C3x, TMS320C4x, TMS320C5x,
TMS320C54x, TMS320C8x
Features and Benefits
•• Enables prototyping of a complete system to fully verify a design Enables prototyping of a complete system to fully verify a design within the system contextwithin the system context
•• Promotes early integration of software and firmware with hardware to Promotes early integration of software and firmware with hardware to reduce the design cyclereduce the design cycle
•• Validates design 100,000 times faster than software simulation allowing Validates design 100,000 times faster than software simulation allowing much greater verificationmuch greater verification
•• Programmable design environment allows the exploration of architec-Programmable design environment allows the exploration of architec-ture trade-offsture trade-offs
Product Description
The System Explorer Series™ extends DSP emulation into real-time verifi-The System Explorer Series™ extends DSP emulation into real-time verifi-cation of software and hardware for DSP-embedded systems. System cation of software and hardware for DSP-embedded systems. System Explorer is based on an open architecture that offers the ability to simulta-Explorer is based on an open architecture that offers the ability to simulta-neously emulate DSPs, microcontrollers, microprocessors, FPGAs, ASSPs, neously emulate DSPs, microcontrollers, microprocessors, FPGAs, ASSPs, and ASICs with bonded-out cores while executing the accompanying soft-and ASICs with bonded-out cores while executing the accompanying soft-ware in real time. This allows DSP algorithms to be fully qualified and ware in real time. This allows DSP algorithms to be fully qualified and tested within the system context before the design is sent to fabrication.tested within the system context before the design is sent to fabrication.
Aptix offers a wide variety of DSP rapid-prototyping platforms depend-Aptix offers a wide variety of DSP rapid-prototyping platforms depend-ing on capacity, speed, FPGA partitioning, and bus interface requirements, ing on capacity, speed, FPGA partitioning, and bus interface requirements, all of which are compatible with TI’s lines of DSP. System Explorer is avail-all of which are compatible with TI’s lines of DSP. System Explorer is avail-able in both node-locked and multi-user floating network configurations.able in both node-locked and multi-user floating network configurations.
The Explorer software automatically imports standard netlist formats, The Explorer software automatically imports standard netlist formats, routes and downloads the interconnect components, and sets up signal routes and downloads the interconnect components, and sets up signal probes for logic analysis. The debugging interface permits complete observ-probes for logic analysis. The debugging interface permits complete observ-ability of any node in the design.ability of any node in the design.
3-15
Ariel CorporationUSA International SalesMr. Robert Hughes Mr. Brian McConnell2540 Route 130 Ariel CorporationCranbury, NJ 08512 1990 North California Blvd., #830(609) 860-2900 Walnut Creek, CA 94596Fax: (609) 860-1155 (510) 935-4309e-mail: [email protected] Fax: (510) 935-4809www: http://www.ariel.com e-mail: [email protected]
Company Background Ariel Corporation (ADSP:Nasdaq) designs, manufactures, and markets digital signal Ariel Corporation (ADSP:Nasdaq) designs, manufactures, and markets digital signal processing (DSP) hardware and software products. The company supplies a family of processing (DSP) hardware and software products. The company supplies a family of DSP OEM products, development hardware, software development tools, and custom DSP OEM products, development hardware, software development tools, and custom designs for a wide range of industrial, commercial, military/government, educational, designs for a wide range of industrial, commercial, military/government, educational, and research applications.and research applications.
In its 15-year history, Ariel has developed more than 30 new DSP-based-off-the-In its 15-year history, Ariel has developed more than 30 new DSP-based-off-the-shelf products as well as numerous custom-designed items based on DSP semiconduc-shelf products as well as numerous custom-designed items based on DSP semiconduc-tor technology.tor technology.
Development Hardware/Plug-In Board/VMEbus
Product Name: HydraPlusPlatforms Supported: DOS, SunOS, VxWorks, SolarisDevices Supported: TMS320C40
Features and Benefits
•• Up to four ’C40sUp to four ’C40s•• Up to 4-MBytes local and global private SRAM (8 MBytes per DSP)Up to 4-MBytes local and global private SRAM (8 MBytes per DSP)•• Up to 16-MBytes shared DRAM or 12-MBytes shared SRAMUp to 16-MBytes shared DRAM or 12-MBytes shared SRAM•• VME32 bus master or slave and has slot 1 controller capabilityVME32 bus master or slave and has slot 1 controller capability•• Expansion interfaceExpansion interface
Product Description
High-performance floating-point signal and array processing boards based High-performance floating-point signal and array processing boards based on the TMS320C40 dual-bus processor. The HydraPlus is a 6U VME board on the TMS320C40 dual-bus processor. The HydraPlus is a 6U VME board with four 50-MHz processors for peak performance at up to 1100 MOPS and with four 50-MHz processors for peak performance at up to 1100 MOPS and 200 MFLOPS. Each DSP has two banks of private, on-board, zero-wait-state 200 MFLOPS. Each DSP has two banks of private, on-board, zero-wait-state SRAM, with up to 4 MBytes per bank for each DSP. In addition to the pri-SRAM, with up to 4 MBytes per bank for each DSP. In addition to the pri-vate SRAM, all HydraPlus boards also include a shared memory bank which vate SRAM, all HydraPlus boards also include a shared memory bank which is accessible from all DSPs and the VME bus via a multimater Internal is accessible from all DSPs and the VME bus via a multimater Internal Shared Bus. The standard shared memory configuration is a 4-MByte bank Shared Bus. The standard shared memory configuration is a 4-MByte bank of DRAM; optional shared memory configurations include a larger DRAM of DRAM; optional shared memory configurations include a larger DRAM bank or banks of one-wait-state SRAM instead of DRAM. HydraPlus can bank or banks of one-wait-state SRAM instead of DRAM. HydraPlus can operate as a VME bus master or slave and has slot 1 controller capability. operate as a VME bus master or slave and has slot 1 controller capability. Three high-speed parallel communication ports from each DSP are brought Three high-speed parallel communication ports from each DSP are brought out to front-panel connectors.out to front-panel connectors.
3-16
Ariel Corporation
Development Hardware/Plug-In Board/VMEbus
Product Name: Hydra-IIPlatforms Supported: DOS, SunOS, VxWorks, SolarisDevices Supported: TMS320C40
Features and Benefits
•• Up to four 60-MHz DSPs in a single VME slotUp to four 60-MHz DSPs in a single VME slot•• Up to 4-MBytes local and global private SRAM (8 MBytes per DSP)Up to 4-MBytes local and global private SRAM (8 MBytes per DSP)•• Low-cost VME slave-only interface via a 32-kByte bank of dual-port Low-cost VME slave-only interface via a 32-kByte bank of dual-port
RAMRAM•• High-speed I/O interfaceHigh-speed I/O interface
Product Description
Hydra-II is a 6U VME board which is available in dual- and quad-processor Hydra-II is a 6U VME board which is available in dual- and quad-processor configurations for peak performance at up to 1650 MOPS and 240 MFLOPS configurations for peak performance at up to 1650 MOPS and 240 MFLOPS (based on 50-MHz processors). Each DSP has two banks of zero-wait-state (based on 50-MHz processors). Each DSP has two banks of zero-wait-state SRAM, with up to 4 MBytes per bank for each DSP. DSP#1 also has access SRAM, with up to 4 MBytes per bank for each DSP. DSP#1 also has access to a 128k to a 128k × 8-bit flash memory bank; the board can optionally be built with 8-bit flash memory bank; the board can optionally be built with 128k 128k × 32-bit flash memory for special applications. Hydra-II has a VME 32-bit flash memory for special applications. Hydra-II has a VME slave-only interface via a 32-kByte bank of dual-port RAM which is accessi-slave-only interface via a 32-kByte bank of dual-port RAM which is accessi-ble from each DSP. Three high-speed parallel communication ports from ble from each DSP. Three high-speed parallel communication ports from DSP#1 and DSP#2 are brought out to front-panel connectors, and the DSP#1 and DSP#2 are brought out to front-panel connectors, and the remaining 10 comm ports not dedicated to on-board interprocessor com-remaining 10 comm ports not dedicated to on-board interprocessor com-munications are accessible on a high-density multi-pin connector. One munications are accessible on a high-density multi-pin connector. One external memory bus each from DSP#3 and DSP#4 is accessible on a high-external memory bus each from DSP#3 and DSP#4 is accessible on a high-density multi-pin connector for ultra-high throughput I/O interface. A VSB density multi-pin connector for ultra-high throughput I/O interface. A VSB master-only interface is optionally available to connect DSP#2 to external master-only interface is optionally available to connect DSP#2 to external memory or I/O boards over the VME P2 bus.memory or I/O boards over the VME P2 bus.
3-17
Ariel Corporation
Development Hardware/Plug-In Board/VMEbus
Product Name: CommIO-IPPlatforms Supported: DOS, SunOS, VxWorks, SolarisDevices Supported: TMS320C40
Features and Benefits
•• Single 48-MHz TMS320C40Single 48-MHz TMS320C40•• Four IndustryPack™ sitesFour IndustryPack™ sites•• Low-cost VME slave-only interface via a 32-kByte bank of dual-port RAMLow-cost VME slave-only interface via a 32-kByte bank of dual-port RAM•• High private memory densityHigh private memory density•• Customizable front panel connector interfaceCustomizable front panel connector interface
Product Description
CommIO-IP is a single-processor TMS320C40 board for flexible, modular CommIO-IP is a single-processor TMS320C40 board for flexible, modular I/O in VMEbus systems. CommIO-IP can be used as a self-contained I/O and I/O in VMEbus systems. CommIO-IP can be used as a self-contained I/O and DSP system or as an I/O subsystem for use with DSP boards based on the DSP system or as an I/O subsystem for use with DSP boards based on the TMS320C4x processor family. I/O functionality is provided by up to four TMS320C4x processor family. I/O functionality is provided by up to four IndustryPack modules fitted to the CommIO carrier; two of the IP sites are IndustryPack modules fitted to the CommIO carrier; two of the IP sites are connected to each of the ’C40’s memory buses for maximum I/O through-connected to each of the ’C40’s memory buses for maximum I/O through-put. A slave-only VMEbus interface via a bank of dual-port SRAM supports put. A slave-only VMEbus interface via a bank of dual-port SRAM supports software development and debugging as well as I/O for external VMEbus software development and debugging as well as I/O for external VMEbus master boards. When used as an I/O subsystem, the CommIO-IP card com-master boards. When used as an I/O subsystem, the CommIO-IP card com-municates with one or more external ’C4x processors via the ’C40’s high-municates with one or more external ’C4x processors via the ’C40’s high-speed parallel communication ports; four of the ’C40’s comm ports are speed parallel communication ports; four of the ’C40’s comm ports are available on front-panel connectors that are compatible with standard Ariel available on front-panel connectors that are compatible with standard Ariel comm port cables. I/O connections to the IndustryPack module are avail-comm port cables. I/O connections to the IndustryPack module are avail-able via on-board 100-pin connectors and may be brought out to the front able via on-board 100-pin connectors and may be brought out to the front panel by means of optional connector transition modules. CommIO-IP is a panel by means of optional connector transition modules. CommIO-IP is a 6U VME board which includes a 48-MHz TMS320C40 processor, up to 6U VME board which includes a 48-MHz TMS320C40 processor, up to 4 MBytes of zero-wait-state SRAM on each memory bus of the ’C40, a 4 MBytes of zero-wait-state SRAM on each memory bus of the ’C40, a 128k128k × 8-bit bank of flash memory, a VMEbus slave interface, a JTAG inter-8-bit bank of flash memory, a VMEbus slave interface, a JTAG inter-face header.face header.
3-18
Ariel Corporation
Development Hardware/Plug-In Board/PCI Bus
Product Name: GriffinPlatforms Supported: DOS, Windows-NT, Windows �95Devices Supported: TMS320C80
Features and Benefits
•• Master/slave PCI bus interfaceMaster/slave PCI bus interface•• VGA/SVGA/RGB graphics display outputVGA/SVGA/RGB graphics display output•• NTSC/PAL/SVIDEO video input optionNTSC/PAL/SVIDEO video input option•• Stackable mezzanine architecture for I/OStackable mezzanine architecture for I/O•• 4-MByte VRAM frame buffer4-MByte VRAM frame buffer
Product Description
High-performance image- and signal-processing board for PCI bus based on High-performance image- and signal-processing board for PCI bus based on the TMS320C80 parallel processor. On-chip integrated multiprocessing the TMS320C80 parallel processor. On-chip integrated multiprocessing architecture of the ’C80 provides peak performance of 2 BOPS, 100 architecture of the ’C80 provides peak performance of 2 BOPS, 100 MFLOPS, and 250 MIPS (at 50 MHz). Standard memory includes 8 MBytes MFLOPS, and 250 MIPS (at 50 MHz). Standard memory includes 8 MBytes of DRAM (expandable via factory-installed daughter cards) and a 4-MByte of DRAM (expandable via factory-installed daughter cards) and a 4-MByte VRAM frame buffer in addition to 50 kBytes of on-chip SRAM. Standard on-VRAM frame buffer in addition to 50 kBytes of on-chip SRAM. Standard on-board RAMDAC provides VGA, SVGA, and RGB display outputs, and other board RAMDAC provides VGA, SVGA, and RGB display outputs, and other video I/O interfaces are available via factory installed daughter cards. Grif-video I/O interfaces are available via factory installed daughter cards. Grif-fin supports PCIbus “plug-and-play” configuration standards and is sup-fin supports PCIbus “plug-and-play” configuration standards and is sup-plied with PC interface library, hardware, and peripheral drivers, utility and plied with PC interface library, hardware, and peripheral drivers, utility and example programs, and a standard I/O library.example programs, and a standard I/O library.
3-19
Ariel Corporation
Development Hardware/Plug-In Board/ISA Bus
Product Name: PC-Hydra8 and PC-HydraPlatforms Supported: DOS, Windows-NTDevices Supported: TMS320C4x
Features and Benefits
•• Eight ’C40s in a single slot (PC-Hydra8)Eight ’C40s in a single slot (PC-Hydra8)•• Four ’C40s in a single slot (PC-Hydra)Four ’C40s in a single slot (PC-Hydra)•• Hydra architecture for the PCHydra architecture for the PC•• 12 off-board communication ports12 off-board communication ports•• Parallel debug and emulation supportParallel debug and emulation support•• Up to 32 MBytes SRAM or DRAM (PC-Hydra)Up to 32 MBytes SRAM or DRAM (PC-Hydra)•• Up to 32 MBytes SRAM (PC-Hydra8)Up to 32 MBytes SRAM (PC-Hydra8)
Product Description
Ultra-high performance multiple-processor 32-bit floating-point DSP Ultra-high performance multiple-processor 32-bit floating-point DSP boards using the TMS320C4x dual-bus processor. PC-Hydra systems are boards using the TMS320C4x dual-bus processor. PC-Hydra systems are configurations comprising an ISA carrier card fitted with four identical configurations comprising an ISA carrier card fitted with four identical Ariel TIM-40 modules. Smaller configurations can be built up by ordering Ariel TIM-40 modules. Smaller configurations can be built up by ordering the TMC-4 carrier card and Ariel TIM-40 modules separately. The carrier the TMC-4 carrier card and Ariel TIM-40 modules separately. The carrier card includes 8 kwords (32 kbytes) of dual-port RAM as the host PC inter-card includes 8 kwords (32 kbytes) of dual-port RAM as the host PC inter-face which is accessible from any of the four TIM sites. All TIM-40 module face which is accessible from any of the four TIM sites. All TIM-40 module sites are connected in a ring via comm ports, and 12 comm ports are made sites are connected in a ring via comm ports, and 12 comm ports are made available for off-board connections (10 via Cyclops-compatible headers and available for off-board connections (10 via Cyclops-compatible headers and two via Hydra-compatible rear bracket connectors). Software includes PC two via Hydra-compatible rear bracket connectors). Software includes PC interface library and example programs.interface library and example programs.
3-20
Ariel Corporation
Development Hardware/Plug-In Board/TIM Module
Product Name: TIM44-2SGPlatforms Supported: DOS, WindowsDevices Supported: TMS320C44
Features and Benefits
•• Industry-standard TIM-40™ formatIndustry-standard TIM-40™ format•• Four banks of zero-wait-state SRAMFour banks of zero-wait-state SRAM•• Six external communication portsSix external communication ports•• 100 MFLOPS, 50 MIPS in one TIM-40 site100 MFLOPS, 50 MIPS in one TIM-40 site•• External bus for shared memory systemsExternal bus for shared memory systems
Product Description
Single-width TIM-40 module with dual TMS320C44 processors. Module Single-width TIM-40 module with dual TMS320C44 processors. Module includes two 50-MHz ’C44 processors interconnected via comm ports plus includes two 50-MHz ’C44 processors interconnected via comm ports plus four equal banks of zero-wait-state SRAM (totaling either 2 MBytes or four equal banks of zero-wait-state SRAM (totaling either 2 MBytes or 8 Bytes) for true Harvard architecture on both processors. TIM44-2SG 8 Bytes) for true Harvard architecture on both processors. TIM44-2SG implements a third module connector (optional in the TIM-40 specifica-implements a third module connector (optional in the TIM-40 specifica-tion) which allows one DSP to access off-module via its global memory tion) which allows one DSP to access off-module via its global memory interface bus.interface bus.
3-21
Ariel Corporation
Development Hardware/Plug-In Board/TIM Module
Product Name: TIM40-SGPlatforms Supported: DOS, WindowsDevices Supported: TMS320C40
Features and Benefits
•• Industry-standard TIM-40 formatIndustry-standard TIM-40 format•• Up to 2-MBytes fast static memoryUp to 2-MBytes fast static memory•• All communication ports accessibleAll communication ports accessible•• External bus for shared-memory systemsExternal bus for shared-memory systems
Product Description
TMS320C40 module fully compliant with TIM-40 specification. Module TMS320C40 module fully compliant with TIM-40 specification. Module includes 50-MHz processors and two equal banks of zero-wait-state SRAM includes 50-MHz processors and two equal banks of zero-wait-state SRAM totaling either 512 kBytes or 2 MBytes. TIM40-SG implements a third mod-totaling either 512 kBytes or 2 MBytes. TIM40-SG implements a third mod-ule connector (optional in the TIM-40 specification) for off-module global ule connector (optional in the TIM-40 specification) for off-module global memory bus access.memory bus access.
3-22
Ariel Corporation
Development Hardware/Plug-In Board/TIM Module
Product Name: TIM40-DGPlatforms Supported: DOS, WindowsDevices Supported: TMS320C40
Features and Benefits
•• Industry-standard TIM-40 formatIndustry-standard TIM-40 format•• 8-MBytes dynamic memory8-MBytes dynamic memory•• All communication ports accessibleAll communication ports accessible•• External bus for shared-memory systemsExternal bus for shared-memory systems
Product Description
TMS320C40 module fully compliant with TIM-40 specification. Module TMS320C40 module fully compliant with TIM-40 specification. Module includes 50-MHz processors and two equal banks of zero-wait-state DRAM includes 50-MHz processors and two equal banks of zero-wait-state DRAM totaling 8 MBytes. TIM40-DG implements a third module connector totaling 8 MBytes. TIM40-DG implements a third module connector (optional in the TIM-40 specification) for off-module global memory bus (optional in the TIM-40 specification) for off-module global memory bus access.access.
3-23
Ariel Corporation
Development Hardware/Plug-In Board/TIM Module
Product Name: VPT-40Platforms Supported: ISADevices Supported: TMS320C4x
Features and Benefits
•• NTSC/PAL/RS-170/CCIR video inputNTSC/PAL/RS-170/CCIR video input•• RGB video output with 4-bit overlayRGB video output with 4-bit overlay•• 640 640 × 480 (NTSC), 690 480 (NTSC), 690 × 580 (PAL) resolution 580 (PAL) resolution•• Horizontal and vertical blankingHorizontal and vertical blanking•• Single-width TIM-40 moduleSingle-width TIM-40 module
Product Description
Video I/O module compliant with TIM-40 specification. Single-width mod-Video I/O module compliant with TIM-40 specification. Single-width mod-ule provides 8-bit video ADC compatible with NTSC, PAL, RS-170, or CCIR ule provides 8-bit video ADC compatible with NTSC, PAL, RS-170, or CCIR interlaced video and three 8-bit video DACs for 24-bit RGB output plus interlaced video and three 8-bit video DACs for 24-bit RGB output plus comm-port interfaces to external TMS320C4x processors. Video signals use comm-port interfaces to external TMS320C4x processors. Video signals use on-module 75 SMB connectors.on-module 75 SMB connectors.
3-24
Ariel Corporation
Development Hardware/Plug-In Module/ISA Bus
Product Name: ArubaPlatforms Supported: DOS, WindowsDevices Supported: TMS320C31
Features and Benefits
•• PC-compatible AT/ISA/EISAPC-compatible AT/ISA/EISA•• 2-MBytes zero-wait-state SRAM2-MBytes zero-wait-state SRAM•• 8-kBytes interprocessor dual-port RAM8-kBytes interprocessor dual-port RAM•• Dual RS-422 buffered serial portsDual RS-422 buffered serial ports•• Two JTAG debug interfacesTwo JTAG debug interfaces
Product Description
Dual-processor floating-point DSP board based on the 40-MHz Dual-processor floating-point DSP board based on the 40-MHz TMS320C31. Hardware includes 384 kwords (1.5 MBytes) of zero-wait-TMS320C31. Hardware includes 384 kwords (1.5 MBytes) of zero-wait-state SRAM private to DSP A, 128 kwords (512 kBytes) of zero-wait-state state SRAM private to DSP A, 128 kwords (512 kBytes) of zero-wait-state SRAM private to DSP B, 8 kBytes of dual-ported RAM for interprocessor SRAM private to DSP B, 8 kBytes of dual-ported RAM for interprocessor communications, and two 4-kByte banks of dual-ported RAM (one for each communications, and two 4-kByte banks of dual-ported RAM (one for each DSP) for DSP-to-host communications. Each DSP on the PC-C31 board DSP) for DSP-to-host communications. Each DSP on the PC-C31 board also has a serial-port connection, a JTAG/MPSD header for use with also has a serial-port connection, a JTAG/MPSD header for use with XDS510-compatible emulator/debugger systems, and an on-board JTAG/XDS510-compatible emulator/debugger systems, and an on-board JTAG/MPSD decoder for debugging over the ISA bus using Ariel’s AXDS debug-MPSD decoder for debugging over the ISA bus using Ariel’s AXDS debug-ger software. Bundled software includes an ANSI C PC-interface library ger software. Bundled software includes an ANSI C PC-interface library and utility/diagnostic programs with source code.and utility/diagnostic programs with source code.
3-25
Ariel Corporation
Development Hardware/Plug-In Board/PC
Product Name: DSP-C40 CyclopsPlatforms Supported: DOS, WindowsDevices Supported: TMS320C4x
Features and Benefits
•• PC-compatible AT/ISA/EISAPC-compatible AT/ISA/EISA•• 50-MFLOPS floating-point DSP50-MFLOPS floating-point DSP•• Up to 6-MBytes SRAMUp to 6-MBytes SRAM•• Up to 64-MBytes DRAMUp to 64-MBytes DRAM•• All ’C40 communication ports accessibleAll ’C40 communication ports accessible•• GlobalBus+ and DT-Connect™GlobalBus+ and DT-Connect™
Product Description
DSP-C40 Cyclops Series: 32-bit floating-point DSP boards using the Texas DSP-C40 Cyclops Series: 32-bit floating-point DSP boards using the Texas Instruments TMS320C40 processor. All versions include two 16-kword (64-Instruments TMS320C40 processor. All versions include two 16-kword (64-kBytes) SRAM banks, 1 Mword (4 MBytes) of DRAM, 32 kBytes of dual-kBytes) SRAM banks, 1 Mword (4 MBytes) of DRAM, 32 kBytes of dual-port RAM for host PC interface, and DT-Connect and GlobalBus+ inter-port RAM for host PC interface, and DT-Connect and GlobalBus+ inter-faces. The standard DSP-C40 includes dual-channel CD-quality 16-bit ana-faces. The standard DSP-C40 includes dual-channel CD-quality 16-bit ana-log I/O with sample rates up to 48 kHz. Alternate DSP-C40 versions (DSP-log I/O with sample rates up to 48 kHz. Alternate DSP-C40 versions (DSP-C40D and DSP-C40X) substitute a DSP port-compatible interface for use C40D and DSP-C40X) substitute a DSP port-compatible interface for use with ProPort 656, DatPort, or Teleport (DSP-C40D) or an I/O prototyping with ProPort 656, DatPort, or Teleport (DSP-C40D) or an I/O prototyping board in place of on-board analog I/O facilities (DSP-C40X). Software board in place of on-board analog I/O facilities (DSP-C40X). Software includes a PC interface library, a C standard I/O library, and example pro-includes a PC interface library, a C standard I/O library, and example pro-grams. DSP-C40 boards are supplied with the latest processor version avail-grams. DSP-C40 boards are supplied with the latest processor version avail-able from TI, and updates to later or faster versions of the processor will be able from TI, and updates to later or faster versions of the processor will be available.available.
3-26
Atlanta Signal Processors, Inc.1375 Peachtree Street NESuite 690Atlanta, Georgia 30309-3115 USA(404) 892-7265Fax: (404) 892-2512e-mail: [email protected]
Company Background Atlanta Signal Processors Inc. (ASPI™) provides DSP hardware, development software, Atlanta Signal Processors Inc. (ASPI™) provides DSP hardware, development software, real-time algorithms, and complete solutions that make it easier for designers to real-time algorithms, and complete solutions that make it easier for designers to develop products with DSP technology. ASPI offers the OEM boards, tools, and system develop products with DSP technology. ASPI offers the OEM boards, tools, and system integration skills needed to implement a variety of signal-processing techniques and to integration skills needed to implement a variety of signal-processing techniques and to provide compatibility with an increasingly digital world. The company was established provide compatibility with an increasingly digital world. The company was established in 1981 by Ronald W. Schafer, Thomas P. Barnwell III, and Russell M. Mersereau, three in 1981 by Ronald W. Schafer, Thomas P. Barnwell III, and Russell M. Mersereau, three Professors of Electrical Engineering at the Georgia Institute of Technology. Professors of Electrical Engineering at the Georgia Institute of Technology.
Development Hardware/Plug-In ISA Board
Product Name: Peachtree� DSP PlatformPlatforms Supported: ISADevices Supported: TMS320C32
Features and Benefits
•• 50-MHz TMS320C32 32-bit floating-point digital signal processor50-MHz TMS320C32 32-bit floating-point digital signal processor•• Stereo 16-bit A-D/D-A converterStereo 16-bit A-D/D-A converter•• 128-kBytes zero-wait-state static RAM128-kBytes zero-wait-state static RAM•• SIMM socket for up to 16 MBytes of byte-addressable DRAM data SIMM socket for up to 16 MBytes of byte-addressable DRAM data
memorymemory•• 16-bit bi-directional digital interface16-bit bi-directional digital interface•• Low costLow cost
Product Description
The Peachtree DSP Platform is a low-cost OEM board with a TMS320C32, a The Peachtree DSP Platform is a low-cost OEM board with a TMS320C32, a stereo 16-bit A/D-D/A converter and 32 kwords (128 kBytes) of zero-wait-stereo 16-bit A/D-D/A converter and 32 kwords (128 kBytes) of zero-wait-state static RAM. A byte-wide DRAM interface is provided for low-cost data state static RAM. A byte-wide DRAM interface is provided for low-cost data memory expansion up to 16 MBytes. The TMS320C32’s external memory memory expansion up to 16 MBytes. The TMS320C32’s external memory interface can automatically load and store 8-, 16-, or 32-bit quantities into interface can automatically load and store 8-, 16-, or 32-bit quantities into this memory and convert them into an internally equivalent 32-bit repre-this memory and convert them into an internally equivalent 32-bit repre-sentation.sentation.
Also available is the Peachtree Software Developer’s Kit which includes Also available is the Peachtree Software Developer’s Kit which includes ASPI library functions providing real-time input from the A/D and output to ASPI library functions providing real-time input from the A/D and output to the D/A, file reading and writing (fread and fwrite), and standard screen the D/A, file reading and writing (fread and fwrite), and standard screen I/O (printf). Also included are library functions for efficient communica-I/O (printf). Also included are library functions for efficient communica-tions between the DSP and the host PC, memory management, and DMA tions between the DSP and the host PC, memory management, and DMA queuing for the ’C32’s DMA channel 0. queuing for the ’C32’s DMA channel 0.
3-27
Atlanta Signal Processors, Inc.
Development Hardware/Plug-In ISA Board
Product Name: Vortex® System BoardPlatforms Supported: ISADevices Supported: TMS320C40
Features and Benefits
•• ’C40-to-host computer communications using true dual-port memory’C40-to-host computer communications using true dual-port memory•• Available with up to 2-MBytes zero-wait-state SRAMAvailable with up to 2-MBytes zero-wait-state SRAM•• DT-Connect interface for easy connection to off-board devicesDT-Connect interface for easy connection to off-board devices•• Available as full development systemAvailable as full development system•• ’C31 I/O processor removes I/O overhead from the ’C40’C31 I/O processor removes I/O overhead from the ’C40
Product Description
The Vortex System Board is a ’C40 board that makes all six on-chip com-The Vortex System Board is a ’C40 board that makes all six on-chip com-munication ports available to you, allowing direct processor-to-processor munication ports available to you, allowing direct processor-to-processor communication. Almost any number of Vortexes can be linked quickly and communication. Almost any number of Vortexes can be linked quickly and easily by just plugging them together.easily by just plugging them together.
A unique feature of the Vortex Board is its I/O processor, a A unique feature of the Vortex Board is its I/O processor, a TMS320C31. Interfaced to the ’C40 through true dual-port RAM, the ’C31 TMS320C31. Interfaced to the ’C40 through true dual-port RAM, the ’C31 allows I/O overhead to be removed from the ’C40, freeing the ’C40 for com-allows I/O overhead to be removed from the ’C40, freeing the ’C40 for com-putational tasks.putational tasks.
Vortex/SPOXVortex/SPOX®® Systems include a ’C31 I/O system with device drivers Systems include a ’C31 I/O system with device drivers for ASPI I/O interfaces including: the AD16 dual-channel 16-bit A-D/D-A for ASPI I/O interfaces including: the AD16 dual-channel 16-bit A-D/D-A interface board; the digital audio interface; and two external units, the interface board; the digital audio interface; and two external units, the serial voice interface, and the serial audio interface. These drivers provide serial voice interface, and the serial audio interface. These drivers provide for the seam-less integration of these devices into C/SPOX programs run-for the seam-less integration of these devices into C/SPOX programs run-ning on the ’C40, without the need to program the ’C31. The ’C31 I/O sys-ning on the ’C40, without the need to program the ’C31. The ’C31 I/O sys-tem also includes 2X, 4X, and 6X oversampling functions for use with the tem also includes 2X, 4X, and 6X oversampling functions for use with the ’C31 devices.’C31 devices.
The Vortex Board's flexible I/O and memory architecture makes it easy The Vortex Board's flexible I/O and memory architecture makes it easy for users with unique requirements to develop special add-on boards for for users with unique requirements to develop special add-on boards for the Vortex Board. The host computer’s bus, TMS320C40’s memory bus, the Vortex Board. The host computer’s bus, TMS320C40’s memory bus, and TMS320C31’s bus are brought out to connectors on the Vortex Board. and TMS320C31’s bus are brought out to connectors on the Vortex Board. Two different classes of add-on boards can be plugged in simultaneously. A Two different classes of add-on boards can be plugged in simultaneously. A Wire Wrap™ board for this purpose is also available.Wire Wrap™ board for this purpose is also available.
3-28
Atlanta Signal Processors, Inc.
Development Hardware/Plug-In ISA Board
Product Name: Elf®/SR60 DSP PlatformPlatforms Supported: ISADevices Supported: TMS320C31
Features and Benefits
•• Full-speed, 33-ns cycle-time operation equals 60-MFLOPS perfor-Full-speed, 33-ns cycle-time operation equals 60-MFLOPS perfor-mancemance
•• Telephone line interface (DAA)Telephone line interface (DAA)•• Digital audio inputs and outputs available on optional daughter boardDigital audio inputs and outputs available on optional daughter board•• Additional processors on optional daughter boardsAdditional processors on optional daughter boards•• Fully software-compatible with ASPI’s original Elf DSP PlatformFully software-compatible with ASPI’s original Elf DSP Platform
Product Description
The Elf/SR60 DSP Platform offers the best computational price/perfor-The Elf/SR60 DSP Platform offers the best computational price/perfor-mance ratio yet for a DSP add-in card. The Elf/SR60 DSP Platform is built mance ratio yet for a DSP add-in card. The Elf/SR60 DSP Platform is built around a 60-MHz ’C31 floating-point DSP and 256 kwords (1 MByte) of around a 60-MHz ’C31 floating-point DSP and 256 kwords (1 MByte) of zero-wait-state static RAM for maximum performance. Like the original Elf zero-wait-state static RAM for maximum performance. Like the original Elf DSP Platform, it also includes a high-quality stereo 16-bit A D/D A con-DSP Platform, it also includes a high-quality stereo 16-bit A D/D A con-verter and a telephone-line interface.verter and a telephone-line interface.
The Elf/SR60 DSP Platform is available in the DSP Application Evalua-The Elf/SR60 DSP Platform is available in the DSP Application Evalua-tion Toolkit, the DSP Application Developer’s Toolkit, the Elf Algorithm tion Toolkit, the DSP Application Developer’s Toolkit, the Elf Algorithm Development Package, and to OEMs. The Elf/SR60 design can be licensed Development Package, and to OEMs. The Elf/SR60 design can be licensed for a one-time fee or for royalties by manufacturers who wish to build the for a one-time fee or for royalties by manufacturers who wish to build the Elf/SR60 to use in their own products. Elf/SR60 to use in their own products.
3-29
Atlanta Signal Processors, Inc.
Development Hardware/Plug-In Board/VMEbus
Product Name: MPEG Digital-Audio ProcessorPlatforms Supported: VMEbus�Devices Supported: TMS320C31
Features and Benefits
•• Encodes and decodes MPEG-1 Audio Layer I and Layer IIEncodes and decodes MPEG-1 Audio Layer I and Layer II•• ISO/IEC 11172-3-compliantISO/IEC 11172-3-compliant•• AES/EBU digital audio interfaceAES/EBU digital audio interface•• Sample-rate converter on digital-audio inputSample-rate converter on digital-audio input•• System clock reference for audio/video synchronizationSystem clock reference for audio/video synchronization
Product Description
Atlanta Signal Processors’ MPEG Digital Audio Processor provides high-Atlanta Signal Processors’ MPEG Digital Audio Processor provides high-quality digital-audio compression for mono and stereo signals. It imple-quality digital-audio compression for mono and stereo signals. It imple-ments the ISO MPEG-1 (Moving Picture Experts Group) Audio Compres-ments the ISO MPEG-1 (Moving Picture Experts Group) Audio Compres-sion Algorithm in a single-slot, double VMEbus board. The compression sion Algorithm in a single-slot, double VMEbus board. The compression ratio is adjustable over a wide range, delivering sound quality ranging from ratio is adjustable over a wide range, delivering sound quality ranging from high-fidelity CD-level down to approximately “toll” telephone quality. For high-fidelity CD-level down to approximately “toll” telephone quality. For stereo audio-input signals, a joint-stereo encoding method is available that stereo audio-input signals, a joint-stereo encoding method is available that exploits similarity between the left and right channels to further compress exploits similarity between the left and right channels to further compress the signal.the signal.
The MPEG Digital Audio Processor has both digital-audio and analog-The MPEG Digital Audio Processor has both digital-audio and analog-audio inputs and outputs. It can operate at any of the valid MPEG-1 audio audio inputs and outputs. It can operate at any of the valid MPEG-1 audio sampling rates: 32 kHz, 44.1 kHz, or 48 kHz. A sample rate converter allows sampling rates: 32 kHz, 44.1 kHz, or 48 kHz. A sample rate converter allows digital-audio input at one rate to be encoded at another rate for complete digital-audio input at one rate to be encoded at another rate for complete input-rate flexibility.input-rate flexibility.
The MPEG Digital Audio Processor has been designed for simple inte-The MPEG Digital Audio Processor has been designed for simple inte-gration into MPEG-1 video encoder systems. UNIX drivers are available. gration into MPEG-1 video encoder systems. UNIX drivers are available. Example code is also available for integrating the Digital-Audio Processor Example code is also available for integrating the Digital-Audio Processor into other operating environments.into other operating environments.
3-30
Atlanta Signal Processors, Inc.
Development Hardware/Plug-In ISA Board
Product Name: Elf DSP PlatformPlatforms Supported: ISADevices Supported: TMS320C31
Features and Benefits
•• Telephone-line interface (DAA)Telephone-line interface (DAA)•• Equipped with EPROM monitorEquipped with EPROM monitor•• Can be used in standalone operationCan be used in standalone operation•• Digital audio inputs and outputs available on optional daughter boardDigital audio inputs and outputs available on optional daughter board•• Additional ’C31 processors available on optional daughter boardsAdditional ’C31 processors available on optional daughter boards
Product Description
The Elf DSP Platform is a low-cost board that includes a TI TMS320C31 The Elf DSP Platform is a low-cost board that includes a TI TMS320C31 floating-point DSP, a high-quality stereo 16-bit A/D-D/A converter, 256 floating-point DSP, a high-quality stereo 16-bit A/D-D/A converter, 256 kwords (1 MByte) or 1 Mword (4 MBytes) of DRAM, and a telephone-line kwords (1 MByte) or 1 Mword (4 MBytes) of DRAM, and a telephone-line interface. It also has COM1 and COM2 emulation for modem support and a interface. It also has COM1 and COM2 emulation for modem support and a Musical Instrument Digital Interface (MIDI).Musical Instrument Digital Interface (MIDI).
Atlanta Signal Processors can also provide the OEM with DSP algo-Atlanta Signal Processors can also provide the OEM with DSP algo-rithms for processing speech, music, and images. Also available are a DSP rithms for processing speech, music, and images. Also available are a DSP operating system and host-interface software (which allows easy integra-operating system and host-interface software (which allows easy integra-tion into host applications) and a development environment (featuring a tion into host applications) and a development environment (featuring a loader, assembler, C compiler, and C source debugger).loader, assembler, C compiler, and C source debugger).
3-31
Atlanta Signal Processors, Inc.
Development Hardware/Plug-In Board/MCA Bus
Product Name: Elf/MC DSP PlatformPlatforms Supported: Micro Channel ArchitectureDevices Supported: TMS320C31
Features and Benefits
•• Digital-audio interface with S/P DIF or AES/EBU inputs and outputsDigital-audio interface with S/P DIF or AES/EBU inputs and outputs•• Additional ’C31 processor on optional daughter boardAdditional ’C31 processor on optional daughter board•• Equipped with EPROM monitorEquipped with EPROM monitor•• SCSI port available on optional daughter boardSCSI port available on optional daughter board•• Compatible with the Elf DSP Application Toolkits Compatible with the Elf DSP Application Toolkits
Product Description
The Elf/MC DSP Platform brings floating-point DSP technology to Micro The Elf/MC DSP Platform brings floating-point DSP technology to Micro Channel™ Architecture computers. It is a low-cost board that includes a Channel™ Architecture computers. It is a low-cost board that includes a ’C31, a high-quality stereo 16-bit A/D-D/A converter, and 256 kwords ’C31, a high-quality stereo 16-bit A/D-D/A converter, and 256 kwords (1 MByte) of DRAM. It also has a digital-audio interface and a daughter-(1 MByte) of DRAM. It also has a digital-audio interface and a daughter-board connector for use with ASPI’s Elf add-on boards (such as the Copro-board connector for use with ASPI’s Elf add-on boards (such as the Copro-cessor Board and the SCSI Port Board). The Elf/MC DSP Platform was cessor Board and the SCSI Port Board). The Elf/MC DSP Platform was developed for OEMs developing products with DSP technology.developed for OEMs developing products with DSP technology.
The Elf/MC DSP Platform’s digital-audio interface connects to digital-The Elf/MC DSP Platform’s digital-audio interface connects to digital-audio devices, including digital-audio tape (DAT) decks and compact-disc audio devices, including digital-audio tape (DAT) decks and compact-disc (CD) players through a digital connection instead of an analog connection, (CD) players through a digital connection instead of an analog connection, thus minimizing noise and distortion. It also provides a method to record thus minimizing noise and distortion. It also provides a method to record signals generated by the Elf/MC system on a DAT deck without using a D-signals generated by the Elf/MC system on a DAT deck without using a D-to-A converter. The digital audio interface operates at the standard rates of to-A converter. The digital audio interface operates at the standard rates of 32 kHz, 44.1 kHz, and 48 kHz.32 kHz, 44.1 kHz, and 48 kHz.
3-32
Atlanta Signal Processors, Inc.
Development Hardware/Plug-In Board/Other
Product Name: Elf Coprocessor BoardPlatforms Supported: OtherDevices Supported: TMS320C31
Features and Benefits
•• 40-MHz operation of the ’C31 equals 40-MFLOPS peak performance40-MHz operation of the ’C31 equals 40-MFLOPS peak performance•• 128-kBytes zero-wait-state static RAM on the coprocessor board128-kBytes zero-wait-state static RAM on the coprocessor board•• Does not require an extra slot in the host computerDoes not require an extra slot in the host computer•• Two coprocessor boards can be used on one Elf for 113 MFLOPS in one Two coprocessor boards can be used on one Elf for 113 MFLOPS in one
AT slot.AT slot.
Product Description
The Elf Coprocessor Board is a daughter board for the Elf DSP Platform The Elf Coprocessor Board is a daughter board for the Elf DSP Platform that provides an additional ’C31 DSP for applications that require even that provides an additional ’C31 DSP for applications that require even more DSP power. The Elf Coprocessor Board has 128 kBytes of static RAM more DSP power. The Elf Coprocessor Board has 128 kBytes of static RAM and an EPROM, allowing it to be used standalone, or its processor can be and an EPROM, allowing it to be used standalone, or its processor can be loaded from the host PC through the main Elf Board.loaded from the host PC through the main Elf Board.
The Elf Coprocessor Board also has connectors for the ’C31’s serial The Elf Coprocessor Board also has connectors for the ’C31’s serial port; an emulator port, for use with Texas Instruments development tools; port; an emulator port, for use with Texas Instruments development tools; and a debugger port for use with ASPI development tools. The debugger and a debugger port for use with ASPI development tools. The debugger port allows you to develop software on the main Elf processor and the port allows you to develop software on the main Elf processor and the coprocessor simultaneously. This can be done by either using a second PC coprocessor simultaneously. This can be done by either using a second PC compatible computer or running Microsoft Windows on the host PC.compatible computer or running Microsoft Windows on the host PC.
3-33
Atlanta Signal Processors, Inc.
Application Software/Filter Design
Product Name: DFDP4�/Plus Platforms Supported: PCDevices Supported: TMS320C1x, TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Features new to DFDP4/plus include wavelet and filterbank designFeatures new to DFDP4/plus include wavelet and filterbank design•• Ideal for any application that requires frequency or wavelet decomposi-Ideal for any application that requires frequency or wavelet decomposi-
tiontion•• Design multirate filtersDesign multirate filters•• Design arbitrary-magnitude and arbitrary-phase IIR filtersDesign arbitrary-magnitude and arbitrary-phase IIR filters•• Design frequency selective Infinite Impulse Response (IIR) recursive Design frequency selective Infinite Impulse Response (IIR) recursive
filtersfilters
Product Description
DFDP4/plus (Digital Filter Design Package plus Signal Analysis) designs DFDP4/plus (Digital Filter Design Package plus Signal Analysis) designs digital filters for implementation on either floating-point or fixed-point DSP digital filters for implementation on either floating-point or fixed-point DSP microprocessors.microprocessors.•• Design all forms of FIR filters optimally, by using the Parks-McClellan Design all forms of FIR filters optimally, by using the Parks-McClellan
(PMFIR) method, or quickly, by using the Kaiser and other windowing (PMFIR) method, or quickly, by using the Kaiser and other windowing methods (Window FIR).methods (Window FIR).
•• Optionally generate programs with ASPI’s code generators (CGEN) for Optionally generate programs with ASPI’s code generators (CGEN) for realizing filters on DSP microprocessors.realizing filters on DSP microprocessors.
•• Different scaling and ordering options for narrow-band and wide-band Different scaling and ordering options for narrow-band and wide-band input signals.input signals.
•• Direct pole input for IIR filters, allowing you to design filters by enter-Direct pole input for IIR filters, allowing you to design filters by enter-ing pole and zero characteristics.ing pole and zero characteristics.
•• Arbitrary magnitude and group-delay compensation for IIR-multiband Arbitrary magnitude and group-delay compensation for IIR-multiband filters, and automatic design of compensated group delay filters for filters, and automatic design of compensated group delay filters for standard low-pass, band-pass, band-stop, and high-pass filters.standard low-pass, band-pass, band-stop, and high-pass filters.
3-34
Atlanta Signal Processors, Inc.
Development Hardware/Plug-In ISA Board
Product Name: Chimera� SystemPlatforms Supported: ISADevices Supported: TMS320C2x
Features and Benefits
•• ’C2x/host data communications modes include DMA, direct register ’C2x/host data communications modes include DMA, direct register transfer, and dual-access memorytransfer, and dual-access memory
•• Optional on-board A/D-D/A system or AD16 daughter boardOptional on-board A/D-D/A system or AD16 daughter board•• Available with 128 kBytesAvailable with 128 kBytes•• Multiple Chimeras may be used in a single hostMultiple Chimeras may be used in a single host
Product Description
The Chimera System is an applications, development, and prototyping tool The Chimera System is an applications, development, and prototyping tool for the ’C25 or ’C26 Digital Signal Processor. The Chimera System consists for the ’C25 or ’C26 Digital Signal Processor. The Chimera System consists of software and a board that plugs into a PC, AT, or compatible.of software and a board that plugs into a PC, AT, or compatible.
The basic Chimera System includes a Chimera Mother Board with a The basic Chimera System includes a Chimera Mother Board with a 50-MHz TMS320C25 processor, 32 kBytes of 25-ns, zero-wait-state program/ 50-MHz TMS320C25 processor, 32 kBytes of 25-ns, zero-wait-state program/ data memory, and support software. The Chimera System is also available data memory, and support software. The Chimera System is also available with a 40-MHz ’C25 or a ’C26. The Chimera allows a variety of ways to with a 40-MHz ’C25 or a ’C26. The Chimera allows a variety of ways to access memory including DMA, direct register transfer, and shared memory.access memory including DMA, direct register transfer, and shared memory.
High-quality A/D-D/A input/output may be provided by Atlanta Signal High-quality A/D-D/A input/output may be provided by Atlanta Signal Processors’ AD16 Daughter Board. The AD16 provides 16-bit dual A/D-D/A Processors’ AD16 Daughter Board. The AD16 provides 16-bit dual A/D-D/A at 200-kHz sampling rate per channel—high enough for stereo quadruple at 200-kHz sampling rate per channel—high enough for stereo quadruple over-sampling for digital-audio applications. This daughter board does not over-sampling for digital-audio applications. This daughter board does not use an extra slot in the host since it mounts directly on the mother board. use an extra slot in the host since it mounts directly on the mother board. Alternatively, a low-cost on-board TLC32040 A/D-D/A system with built in Alternatively, a low-cost on-board TLC32040 A/D-D/A system with built in filters and a maximum sampling rate of 19,200 samples/second may be cho-filters and a maximum sampling rate of 19,200 samples/second may be cho-sen. Construction of prototype daughter boards is simplified by a Wire sen. Construction of prototype daughter boards is simplified by a Wire Wrap daughter board which plugs directly onto the mother board. The Wrap daughter board which plugs directly onto the mother board. The daughter board connectors have extensive data and control lines available daughter board connectors have extensive data and control lines available from both the TMS320C2x and the PC’s bus.from both the TMS320C2x and the PC’s bus.
3-35
Atlanta Signal Processors, Inc.
Development Hardware/Plug-In ISA Board
Product Name: Banshee� II System BoardPlatforms Supported: ISADevices Supported: TMS320C30
Features and Benefits
•• 40-MHz operation of the TMS320C30 equals 40-MFLOPS peak perfor-40-MHz operation of the TMS320C30 equals 40-MFLOPS peak perfor-mancemance
•• Three separate modes of ’C30 to host data communications, including Three separate modes of ’C30 to host data communications, including true dual-port memorytrue dual-port memory
•• Up to 4-MBytes zero-wait-state static RAM on the system boardUp to 4-MBytes zero-wait-state static RAM on the system board•• Flexible I/O and memory architectureFlexible I/O and memory architecture
Product Description
The Banshee II System Board, based on the ’C30 floating-point Digital Sig-The Banshee II System Board, based on the ’C30 floating-point Digital Sig-nal Processor, brings tremendous power to an AT or compatible computer. nal Processor, brings tremendous power to an AT or compatible computer. The Banshee II is an enhanced version of Atlanta Signal Processor’s popu-The Banshee II is an enhanced version of Atlanta Signal Processor’s popu-lar Banshee System Board. Speed has been increased to 40 MHz, and avail-lar Banshee System Board. Speed has been increased to 40 MHz, and avail-able on-board memory has been expanded to 4 MBytes of zero-wait-state able on-board memory has been expanded to 4 MBytes of zero-wait-state static RAM. Like its predecessor, the Banshee II is at the center of a large static RAM. Like its predecessor, the Banshee II is at the center of a large family of interface boards and other devices that take advantage of the family of interface boards and other devices that take advantage of the Banshee II’s flexible I/O and memory architecture. Data acquisition options Banshee II’s flexible I/O and memory architecture. Data acquisition options include the AD16 dual-channel 16-bit A/D-D/A interface board, the Digital include the AD16 dual-channel 16-bit A/D-D/A interface board, the Digital Audio Interface, and two external units, the Serial Voice Interface and the Audio Interface, and two external units, the Serial Voice Interface and the Serial Audio Interface. Also available is the Memory Expansion Board, an Serial Audio Interface. Also available is the Memory Expansion Board, an add-on board that expands the Banshee II’s memory to as much as 64 add-on board that expands the Banshee II’s memory to as much as 64 Mbytes.Mbytes.
The host bus and the TMS320C30’s memory and I/O buses are brought The host bus and the TMS320C30’s memory and I/O buses are brought out to connectors on the Banshee II board, making it easy for users with out to connectors on the Banshee II board, making it easy for users with unique requirements to develop special interface boards for the Banshee II.unique requirements to develop special interface boards for the Banshee II.
A Wire Wrap board for this purpose is also available.A Wire Wrap board for this purpose is also available.
3-36
Atlanta Signal Processors, Inc.
Development Hardware/Plug-In Board/VMEbus
Product Name: Banshee\VME System BoardPlatforms Supported: VMEbusDevices Supported: TMS320C30
Features and Benefits
•• 40-MHz TMS320C30, 40-MFLOPS peak performance40-MHz TMS320C30, 40-MFLOPS peak performance•• ’C30-to-host data communications using true dual-port memory’C30-to-host data communications using true dual-port memory•• Up to 2-MBytes zero-wait-state static RAM and up to 16-MBytes DRAMUp to 2-MBytes zero-wait-state static RAM and up to 16-MBytes DRAM•• Up to 256 kBytes of EPROM for standalone operationUp to 256 kBytes of EPROM for standalone operation•• Debugger port for use with ASPI’s PC-based development systemsDebugger port for use with ASPI’s PC-based development systems
Product Description
The Banshee\VME System Board brings the tremendous power of the The Banshee\VME System Board brings the tremendous power of the TMS320C30 floating-point Digital Signal Processor to the VMEbus. TMS320C30 floating-point Digital Signal Processor to the VMEbus. TMS320C30 systems are typically used for DSP applications, high-speed TMS320C30 systems are typically used for DSP applications, high-speed data acquisition and process control, or as numeric co processors. The Ban-data acquisition and process control, or as numeric co processors. The Ban-shee\VME is intended for OEM applications for these or similar uses.shee\VME is intended for OEM applications for these or similar uses.
The VMEbus features many CPUs and operating systems. DSP chip The VMEbus features many CPUs and operating systems. DSP chip makers and tool makers are unable to supply DSP development software makers and tool makers are unable to supply DSP development software for every combination of CPU and OS on the VMEbus. This poses a problem for every combination of CPU and OS on the VMEbus. This poses a problem if an OEM, who needs DSP capabilities, is already committed to a CPU and if an OEM, who needs DSP capabilities, is already committed to a CPU and OS not supported by the DSP chip maker.OS not supported by the DSP chip maker.
The Banshee\VME solves this problem through its debugger port. The The Banshee\VME solves this problem through its debugger port. The debugger port connects the Banshee\VME to virtually any PC-compatible debugger port connects the Banshee\VME to virtually any PC-compatible machine, allowing the DSP development to take place using low-cost hard-machine, allowing the DSP development to take place using low-cost hard-ware and available assemblers, compilers, debuggers, and other software ware and available assemblers, compilers, debuggers, and other software packages.packages.
The TMS320C30’s I/O bus is brought out to connectors on the Ban-The TMS320C30’s I/O bus is brought out to connectors on the Ban-shee\VME board, making it easy for users with unique requirements to shee\VME board, making it easy for users with unique requirements to develop special daughter boards for the Banshee\VME. A Wire Wrap board develop special daughter boards for the Banshee\VME. A Wire Wrap board for this purpose is also available.for this purpose is also available.
3-37
Atlanta Signal Processors, Inc.
Development Hardware/Plug-In ISA Board
Product Name: A1023 MPEG Audio Encoder ModulePlatforms Supported: ISA BusDevices Supported: TMS320AV120
Features and Benefits
•• ISO/IEC 11172-3 compliant, ISO/IEC 13818-1 compatibleISO/IEC 11172-3 compliant, ISO/IEC 13818-1 compatible•• Glueless interface to digital-audio receiver chipsGlueless interface to digital-audio receiver chips•• Audio/video synchronization signalsAudio/video synchronization signals•• SCR, PTS, and DTS-time stamp generationSCR, PTS, and DTS-time stamp generation•• Supports the Packetized Elementary Stream (PES)Supports the Packetized Elementary Stream (PES)
Product Description
The A1023 Audio Encoder Module provides digital-audio compression for The A1023 Audio Encoder Module provides digital-audio compression for mono and stereo signals. It implements ISO MPEG (Moving Picture mono and stereo signals. It implements ISO MPEG (Moving Picture Experts Group) Audio Compression in a self-contained module occupying Experts Group) Audio Compression in a self-contained module occupying less than 14 square inches of board space. It includes a stereo 16-bit ana-less than 14 square inches of board space. It includes a stereo 16-bit ana-log-to-digital converter that can operate at 32 kHz, 44.1 kHz,or 48 kHz and log-to-digital converter that can operate at 32 kHz, 44.1 kHz,or 48 kHz and a glueless interface to digital audio receiver chips. The A1023 module pro-a glueless interface to digital audio receiver chips. The A1023 module pro-duces compressed audio in either a bit-serial or byte-parallel format. The duces compressed audio in either a bit-serial or byte-parallel format. The module automatically handles timestamping the data for synchronization to module automatically handles timestamping the data for synchronization to a video bitstream.a video bitstream.
The A1023 module supports the Elementary Stream (ES) defined by The A1023 module supports the Elementary Stream (ES) defined by ISO/IEC 11172 3 and the Packetized Elementary Stream (PES) defined by ISO/IEC 11172 3 and the Packetized Elementary Stream (PES) defined by ISO/IEC 13818 1. The PES is directly compatible with the transport and ISO/IEC 13818 1. The PES is directly compatible with the transport and program streams defined by ISO/IEC 13818 1 commonly referred to as program streams defined by ISO/IEC 13818 1 commonly referred to as MPEG 2.MPEG 2.
3-38
The Athena Group, Inc.3424 N.W. 31st StreetGainesville, Florida, U.S.A. 32605(352) 371-2567(800) 741-7440Fax: (352) 373-5182e-mail: [email protected]
Company Background The Athena Group has been a leading provider of DSP software since 1988. The The Athena Group has been a leading provider of DSP software since 1988. The MONARCH Series of DSP software provides a vast array of design, analysis, and imple-MONARCH Series of DSP software provides a vast array of design, analysis, and imple-mentation capabilities.mentation capabilities.
Application Software/Filter Design
Product Name: MONARCH DSP SoftwarePlatforms Supported: PC: Windows and DOSDevices Supported: TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• FIR and IIR digital filtersFIR and IIR digital filters•• Microprocessor code generatorMicroprocessor code generator•• Data analysis with SIGLABData analysis with SIGLAB•• Complete system, affordable priceComplete system, affordable price
Product Description
The MONARCH Series of DSP software offers a complete set of signal and The MONARCH Series of DSP software offers a complete set of signal and systems analysis tools for the PC, at very competitive prices. DIGITAL systems analysis tools for the PC, at very competitive prices. DIGITAL FILTERS takes your filter specification, generates the filter and immedi-FILTERS takes your filter specification, generates the filter and immedi-ately displays impulse and frequency responses, and then stores the filter ately displays impulse and frequency responses, and then stores the filter coefficients in an ASCII file. A complete selection of FIR and IIR filter archi-coefficients in an ASCII file. A complete selection of FIR and IIR filter archi-tectures is provided. CODE GEN provides highly-optimized assembly lan-tectures is provided. CODE GEN provides highly-optimized assembly lan-guage implementation for both FIR and IIR filters. All filter code is tested guage implementation for both FIR and IIR filters. All filter code is tested on the TI simulator, and is very simple to modify for a target hardware sys-on the TI simulator, and is very simple to modify for a target hardware sys-tem. SIGLAB performs desktop signal and system analysis. It is an ideal tem. SIGLAB performs desktop signal and system analysis. It is an ideal environment for experimenting with different DSP solutions, and for com-environment for experimenting with different DSP solutions, and for com-paring theoretical expectations with real-world results. SIGLAB contains paring theoretical expectations with real-world results. SIGLAB contains over 140 operations, including Fourier transforms, convolution, correlation, over 140 operations, including Fourier transforms, convolution, correlation, window generation, complex arithmetic, and more.window generation, complex arithmetic, and more.
3-39
Beetek, Inc.3rd floor
Lane 15
Chun-chiun Street
Shin-Chu, 300, R.O.C.
+886-3-772772
Fax: +886-3-770878
e-mail: [email protected]
Company Background Beetek, Inc. offers the low-price, high-performance emulators for personal users. Beetek, Inc. offers the low-price, high-performance emulators for personal users. Beetek has a strong R&D team focused on DSP developing tools for professional DSP Beetek has a strong R&D team focused on DSP developing tools for professional DSP design engineers. Besides emulators, Beetek also has cache-memory emulators, digital-design engineers. Besides emulators, Beetek also has cache-memory emulators, digital-signal aquisition modules, linear signal aquisition modules, and arbitray function gener-signal aquisition modules, linear signal aquisition modules, and arbitray function gener-ator.ator.
Development Hardware/Emulator
Product Name: BEE-ICE25Platforms Supported: PC, DOS, Centronics interface
Devices Supported: TMS320C2x
Features and Benefits
•• Compact size 3.5" Compact size 3.5" × 2.5" 2.5" × 1.5" 1.5"•• High speed (up to 50 MHz)High speed (up to 50 MHz)•• High transparency (no cable between ICE and target)High transparency (no cable between ICE and target)•• Price only US $2,000 (most chip on the world)Price only US $2,000 (most chip on the world)
Product Description
BEE-ICE25 is a highly transparency in-circuit emulator for the TMS3202x BEE-ICE25 is a highly transparency in-circuit emulator for the TMS3202x CPU. The specifications of the BEE-ICE25 are listed below: CPU. The specifications of the BEE-ICE25 are listed below: •• Emulation processors: TMS320C20, TMS320C25, TMS320C26Emulation processors: TMS320C20, TMS320C25, TMS320C26•• Up to 50-MHz emulationUp to 50-MHz emulation•• Standalone Centronic interface to PC, compact sizeStandalone Centronic interface to PC, compact size•• DOS Windows environmentDOS Windows environment•• Symbolic debuggerSymbolic debugger•• Full range 64k-words PROGRAM and DATA emulation memoryFull range 64k-words PROGRAM and DATA emulation memory•• Up to 64K software breakpointsUp to 64K software breakpoints•• One bus breakpoint with address data and status combination capabil-One bus breakpoint with address data and status combination capabil-
ityity•• Free running, manual stopFree running, manual stop•• Instruction step, user-specified instruction stepInstruction step, user-specified instruction step•• Machine cycle stepMachine cycle step•• Line assemble and disassembleLine assemble and disassemble•• Download TI-TAG, TI-COFF, and INTEL-HEX, upload to INTEL-HEX.Download TI-TAG, TI-COFF, and INTEL-HEX, upload to INTEL-HEX.
3-40
Bridgenorth Signal Processing Inc.P.O. Box 2470Blaine, WA 98231 U.S.A.(604) 538-0003Fax: (604) 535-9073e-mail: [email protected]: http://deepcove.com/bridgenorth/
Company Background Bridgenorth Signal Processing, Inc. was established in 1987 to supply digital signal pro-Bridgenorth Signal Processing, Inc. was established in 1987 to supply digital signal pro-cessing solutions for numerically-intensive PC-based applications. Bridgenorth prod-cessing solutions for numerically-intensive PC-based applications. Bridgenorth prod-ucts are used both in development systems and in end products in a number of ucts are used both in development systems and in end products in a number of application areas. These include real-time applications such as vibration analysis, pro-application areas. These include real-time applications such as vibration analysis, pro-fessional audio and speech, meteorology, sonar, laboratory testing, medical research, fessional audio and speech, meteorology, sonar, laboratory testing, medical research, and high-speed control systems. All DSP products provide a number of hardware fea-and high-speed control systems. All DSP products provide a number of hardware fea-tures to support the DSP processor in performing these tasks. The design objectives in tures to support the DSP processor in performing these tasks. The design objectives in all Bridgenorth DSP products are to allow maximum data throughput and to reduce all Bridgenorth DSP products are to allow maximum data throughput and to reduce dependence on both the PC operating system and the ISA bus. Bridgenorth also sup-dependence on both the PC operating system and the ISA bus. Bridgenorth also sup-plies analog interface modules for A/D and D/A conversion. These modules interface plies analog interface modules for A/D and D/A conversion. These modules interface directly to a parallel port on the DSP boards for direct data input to the DSP. Multiple directly to a parallel port on the DSP boards for direct data input to the DSP. Multiple interface boards can be added to any DSP system and synchronized to provide concur-interface boards can be added to any DSP system and synchronized to provide concur-rent sampling across all channels.rent sampling across all channels.
Development Hardware/Plug-In Module/ISA Bus
Product Name: BN2500 DSP Development and Data Acquisition ProcessorPlatforms Supported: MS-DOSDevices Supported: TMS320C2x
Features and Benefits
•• 8-MByte on-board data buffer capacity8-MByte on-board data buffer capacity•• 64-kword data memory/64-kword program memory64-kword data memory/64-kword program memory•• 16-bit I/O expansion interface16-bit I/O expansion interface•• 6.25-Mbps full-duplex serial I/O6.25-Mbps full-duplex serial I/O•• Includes debug monitor for the TMS320C25Includes debug monitor for the TMS320C25•• Includes PC interface function libraryIncludes PC interface function library
Product Description
The BN2500 Data Acquisition System is designed specifically for fixed-The BN2500 Data Acquisition System is designed specifically for fixed-point applications that must acquire and process large amounts of data. point applications that must acquire and process large amounts of data. The large data buffer (up to 8 MBytes) and Buffer Management Unit The large data buffer (up to 8 MBytes) and Buffer Management Unit (BMU) hardware provide an optimum hardware environment for demand-(BMU) hardware provide an optimum hardware environment for demand-ing digital signal processing tasks. The key feature of this system is the ing digital signal processing tasks. The key feature of this system is the BMU hardware that effectively extends the addressing and processing BMU hardware that effectively extends the addressing and processing capability of the ’C25 DSP.capability of the ’C25 DSP.
Analog data can be acquired via the parallel I/O expansion interface. In Analog data can be acquired via the parallel I/O expansion interface. In addition, a 6.25-Mbps full-duplex interface is available with buffered signals addition, a 6.25-Mbps full-duplex interface is available with buffered signals from the TMS320C25.from the TMS320C25.
3-41
Bridgenorth Signal Processing Inc.
Development Hardware/Plug-In Module/ISA Bus
Product Name: BN4000 DSP Development and Data Acquisition ProcessorPlatforms Supported: MS-DOS, Windows Devices Supported: TMS320C4x
Features and Benefits
•• 2-Mword (32-bit) on-board data memory capacity2-Mword (32-bit) on-board data memory capacity•• 32-bit I/O expansion interface32-bit I/O expansion interface•• All six ’C40 comm ports are available for multi-DSP applicationsAll six ’C40 comm ports are available for multi-DSP applications•• Includes debug monitor for the TMS320C40Includes debug monitor for the TMS320C40•• Includes comprehensive PC interface function libraryIncludes comprehensive PC interface function library
Product Description
The BN4000 processor board is designed for applications which require the The BN4000 processor board is designed for applications which require the fastest possible floating-point DSP operations in a parallel-processing envi-fastest possible floating-point DSP operations in a parallel-processing envi-ronment. Applications include real-time and high-speed applications such ronment. Applications include real-time and high-speed applications such as vibration analysis, professional audio and speech, meteorology, sonar, as vibration analysis, professional audio and speech, meteorology, sonar, laboratory testing, medical research, and high-speed control systems. The laboratory testing, medical research, and high-speed control systems. The hardware components which support these tasks include a large on-board hardware components which support these tasks include a large on-board memory capacity (32 MBytes), six high-speed communication ports, a 32-memory capacity (32 MBytes), six high-speed communication ports, a 32-bit bidirectional control register, a 4-kbyte bidirectional FIFO, and a 32-bit bit bidirectional control register, a 4-kbyte bidirectional FIFO, and a 32-bit parallel I/O expansion interface. The large memory capacity and the paral-parallel I/O expansion interface. The large memory capacity and the paral-lel I/O port allow the BN4000 to operate independently from the AT-com-lel I/O port allow the BN4000 to operate independently from the AT-com-patible host in acquiring, processing, and storing data. The bidirectional patible host in acquiring, processing, and storing data. The bidirectional control register, FIFO, and dual-access memory allow the use of several control register, FIFO, and dual-access memory allow the use of several communication modes between the host and the DSP to optimize data communication modes between the host and the DSP to optimize data transfer and control without compromising the speed of the signal process-transfer and control without compromising the speed of the signal process-ing functions on the TMS320C40 processor. The six high-speed communi-ing functions on the TMS320C40 processor. The six high-speed communi-cation ports allow several BN4000 boards to be used in parallel for more cation ports allow several BN4000 boards to be used in parallel for more complex processing applications.complex processing applications.
The BN4000 can be used alone as a high-speed processor or with one The BN4000 can be used alone as a high-speed processor or with one or more Bridgenorth analog interface modules to provide from 2 to 32 or more Bridgenorth analog interface modules to provide from 2 to 32 channels of 16-bit A/D and D/A capability. The parallel I/O interface allows channels of 16-bit A/D and D/A capability. The parallel I/O interface allows high-speed data transfer directly between the analog interface and the DSP high-speed data transfer directly between the analog interface and the DSP without having to use the ISA bus.without having to use the ISA bus.
The BN4000 base system includes 1 MByte of zero-wait-state SRAM The BN4000 base system includes 1 MByte of zero-wait-state SRAM and includes an AT-interface library and a debug monitor. A high-speed and includes an AT-interface library and a debug monitor. A high-speed math library, DSP library, linear algebra library, and real-time operating math library, DSP library, linear algebra library, and real-time operating kernel are also available from Bridgenorth for the ’C40 processor. For users kernel are also available from Bridgenorth for the ’C40 processor. For users
who want an efficient visual design environment, Hypersignalwho want an efficient visual design environment, Hypersignal®® for Win- for Win-dows is available for the BN4000.dows is available for the BN4000.
3-42
Bridgenorth Signal Processing Inc.
Development Hardware/Plug-In Module/ISA Bus
Product Name: BN3000 DSP Development and Data Acquisition ProcessorPlatforms Supported: MS-DOS Devices Supported: TMS320C3x
Features and Benefits
•• 2-Mword (32-bit) on-board data memory capacity2-Mword (32-bit) on-board data memory capacity•• 32-bit I/O expansion interface32-bit I/O expansion interface•• Dual 8.33-Mbps full-duplex serial I/OsDual 8.33-Mbps full-duplex serial I/Os•• Includes debug monitor for the TMS320C30Includes debug monitor for the TMS320C30•• Includes comprehensive PC interface function libraryIncludes comprehensive PC interface function library
Product Description
The BN3000 Processor board is designed specifically for applications that The BN3000 Processor board is designed specifically for applications that require the speed and accuracy of a fast floating-point processor. When require the speed and accuracy of a fast floating-point processor. When configured with a Bridgenorth analog-interface option, this system has the configured with a Bridgenorth analog-interface option, this system has the capability of a dedicated lab instrument for acquisition and analysis of input capability of a dedicated lab instrument for acquisition and analysis of input data. The large on-board memory space, direct parallel link to the analog data. The large on-board memory space, direct parallel link to the analog interface modules, and other on-board hardware resources provide an opti-interface modules, and other on-board hardware resources provide an opti-mum system environment for demanding digital signal processing tasks. mum system environment for demanding digital signal processing tasks. The complete system allows users to acquire, process, and manipulate The complete system allows users to acquire, process, and manipulate large data sets without any of the limitations normally imposed by the PC large data sets without any of the limitations normally imposed by the PC environment. In typical applications, the large data space is used for storing environment. In typical applications, the large data space is used for storing multiple data buffers, fast-access display data, vector operations, table multiple data buffers, fast-access display data, vector operations, table storage, window functions, etc.storage, window functions, etc.
The base system comes with 2 MBytes of SRAM and can be configured The base system comes with 2 MBytes of SRAM and can be configured with up to 8 MBytes of primary-bus SRAM. System expansion is possible with up to 8 MBytes of primary-bus SRAM. System expansion is possible through the 32-bit parallel I/O expansion bus and both serial ports, which through the 32-bit parallel I/O expansion bus and both serial ports, which are brought to connectors. A PC-based debugger, sample programs and a are brought to connectors. A PC-based debugger, sample programs and a library of interface routines are provided for software development and library of interface routines are provided for software development and debugging on the ’C30 processor.debugging on the ’C30 processor.
3-43
Bridgenorth Signal Processing Inc.
Development Hardware/Plug-In Module/ISA Bus
Product Name: BN3216 DSP Analog Interface ModulePlatforms Supported: MS-DOS, WindowsDevices Supported: TMS320C2x, TMS320C3x, TMS320C4x
Features and Benefits
•• ’C4x/’C3x/’C2x DSP board-compatible parallel interface’C4x/’C3x/’C2x DSP board-compatible parallel interface•• Dual 16-bit A/D and D/A converters with on-board calibration refer-Dual 16-bit A/D and D/A converters with on-board calibration refer-
enceence•• Differential analog inputs with programmable front-end gainDifferential analog inputs with programmable front-end gain•• Frequency tracking anti-aliasing filtersFrequency tracking anti-aliasing filters•• Flexible on-board sample-rate generator up to 100 kHzFlexible on-board sample-rate generator up to 100 kHz•• Optional external sample rate, sample sync, and trigger inputsOptional external sample rate, sample sync, and trigger inputs•• Multiple boards that can be synchronized for concurrent samplingMultiple boards that can be synchronized for concurrent sampling•• Includes DSP driver for TMS320C3x, TMS320C4xIncludes DSP driver for TMS320C3x, TMS320C4x
Product Description
The BN3216 Analog Interface Module is designed to provide a two-channel The BN3216 Analog Interface Module is designed to provide a two-channel I/O analog interface for the Bridgenorth line of DSP processor boards, I/O analog interface for the Bridgenorth line of DSP processor boards, including the ’C4x, ’C3x, and ’C2x-based systems. The input channels can including the ’C4x, ’C3x, and ’C2x-based systems. The input channels can be set to 16-bit resolution at sample rates up to 100 kHz or 12-bit resolution be set to 16-bit resolution at sample rates up to 100 kHz or 12-bit resolution at rates up to 400 kHz. The output channels have 16-bit resolution at sam-at rates up to 400 kHz. The output channels have 16-bit resolution at sam-ple rates up to 400 kHz. The BN3216 is software-configurable with input ple rates up to 400 kHz. The BN3216 is software-configurable with input gain settings from 1 to 100, and on-board sample rates from 8 kHz to 400 gain settings from 1 to 100, and on-board sample rates from 8 kHz to 400 kHz. Input anti-aliasing filters track the full range of input sample rates. kHz. Input anti-aliasing filters track the full range of input sample rates. Output reconstruction filters are user-configurable by changing component Output reconstruction filters are user-configurable by changing component headers. A digital interface connector provides signals to allow optional headers. A digital interface connector provides signals to allow optional external clocking and support for concurrent sampling across several external clocking and support for concurrent sampling across several boards.boards.
3-44
Bridgenorth Signal Processing Inc.
Development Hardware/Plug-In Module/ISA Bus
Product Name: BN1416 DSP Analog Interface ModulePlatforms Supported: MS-DOS, WindowsDevices Supported: TMS320C2x, TMS320C3x, TMS320C4x
Features and Benefits
•• ’C4x/’C3x/’C2x DSP board-compatible parallel interface’C4x/’C3x/’C2x DSP board-compatible parallel interface•• Quad 16-bit A/D converters with on-board calibration referenceQuad 16-bit A/D converters with on-board calibration reference•• Differential analog inputs with programmable front-end gainDifferential analog inputs with programmable front-end gain•• Frequency tracking anti-aliasing filtersFrequency tracking anti-aliasing filters•• Flexible on-board sample-rate generator up to 100 kHzFlexible on-board sample-rate generator up to 100 kHz•• Optional external sample rate, sample sync, and trigger inputsOptional external sample rate, sample sync, and trigger inputs•• Multiple boards that can be synchronized for concurrent samplingMultiple boards that can be synchronized for concurrent sampling•• Includes DSP driver for TMS320C3x, TMS320C4xIncludes DSP driver for TMS320C3x, TMS320C4x
Product Description
The BN1416 Analog Interface Module is designed to provide an instrumen-The BN1416 Analog Interface Module is designed to provide an instrumen-tation-quality analog interface for the Bridgenorth line of DSP processor tation-quality analog interface for the Bridgenorth line of DSP processor boards. The 4-input channels can be set to 16-bit resolution at sample rates boards. The 4-input channels can be set to 16-bit resolution at sample rates up to 100 kHz or 12-bit resolution at rates up to 400 kHz. The BN1416 is up to 100 kHz or 12-bit resolution at rates up to 400 kHz. The BN1416 is software-configurable with input gain settings from 1 to 100, and on-board software-configurable with input gain settings from 1 to 100, and on-board sample rates from 8 kHz to 400 kHz. Input anti-aliasing filters track the full sample rates from 8 kHz to 400 kHz. Input anti-aliasing filters track the full range of input sample rates. A digital interface connector provides signals range of input sample rates. A digital interface connector provides signals to allow optional external clocking and support for concurrent sampling to allow optional external clocking and support for concurrent sampling across several boards.across several boards.
3-45
CHEOPS GmbH & Co. KGKlammspitzstraße 53
D-86956 Schongau
Germany
+ 49 8861 7902
Fax: + 49 8861 200164
e-mail: [email protected]
www: http://ourworld.compuserve.com/homepages/cheops_bv
Company Background The CHEOPS Image Processing GmbH & Co. KG has set itself the goal to develop rea-The CHEOPS Image Processing GmbH & Co. KG has set itself the goal to develop rea-sonably-priced hardware for industrial image processing. The CHEOPS client should sonably-priced hardware for industrial image processing. The CHEOPS client should not just be supplied with components, but also with the necessary detailed knowledge not just be supplied with components, but also with the necessary detailed knowledge and optimal driver software for the hardware.and optimal driver software for the hardware.
Thus, every client, whether beginner or image-processing specialist, should be able Thus, every client, whether beginner or image-processing specialist, should be able to solve his tasks quickly and easily. This company philosophy has developed, since the to solve his tasks quickly and easily. This company philosophy has developed, since the founding in 1991, into three product groups with approximately 20 products, which founding in 1991, into three product groups with approximately 20 products, which have found well over 1,000 customers worldwide. The product groups of the DSP have found well over 1,000 customers worldwide. The product groups of the DSP image-processing components using TI signal processors and will be introduced here.image-processing components using TI signal processors and will be introduced here.
Development Hardware/Plug-In PCI Board
Product Name: RAMSES 3Platforms Supported: PC, Mac, Sun
Devices Supported: TMS320C8x
Features and Benefits
•• Computing accelerator card for general purposesComputing accelerator card for general purposes•• Direct interface to most CCD-area and line-sensorsDirect interface to most CCD-area and line-sensors•• Client-specific programming service for application developmentClient-specific programming service for application development•• DSP for rapid image processingDSP for rapid image processing
Product Description
The card was conceived as a computing accelerator card for any host sys-The card was conceived as a computing accelerator card for any host sys-tem with PCI bus. In order to offer the maximum performance, reliability, tem with PCI bus. In order to offer the maximum performance, reliability, and usability in image processing for the user the many production-tested and usability in image processing for the user the many production-tested components from RAMSES 1 and SNOFRU-Overlay have been completely components from RAMSES 1 and SNOFRU-Overlay have been completely transferred to this design. Studies with the ’C80 simulator have shown that transferred to this design. Studies with the ’C80 simulator have shown that computing time for many general and image-processing algorithms with the computing time for many general and image-processing algorithms with the ’C80 can be shortened by a factor of 20 compared to the ’C40 or Pentium.’C80 can be shortened by a factor of 20 compared to the ’C40 or Pentium.
Since in ’C8x programming is especially important, we want to support Since in ’C8x programming is especially important, we want to support our clients here as well with training and programming service. Therefore our clients here as well with training and programming service. Therefore all CHEOPS hardware and software developers involved are specially all CHEOPS hardware and software developers involved are specially trained for this project, in order to make it possible for you to arrive in time trained for this project, in order to make it possible for you to arrive in time with your software and system solution.with your software and system solution.
3-46
CHEOPS GmbH & Co. KG
Development Hardware/Plug-In ISA Module
Product Name: KAIRO Basic CardPlatforms Supported: PC
Devices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• PC color image-processing card with sophisticated A/D and D/A con-PC color image-processing card with sophisticated A/D and D/A con-verterverter
•• Alternating image memory with 2 Alternating image memory with 2 × 768 768 × 512 image pixels 512 image pixels•• DSP for rapid image processingDSP for rapid image processing•• System price less than 5000 DMSystem price less than 5000 DM
Product Description
This card was especially conceived for color image processing. To this pur-This card was especially conceived for color image processing. To this pur-pose a gate array is located in the input part of the circuit, which can be pose a gate array is located in the input part of the circuit, which can be programmed with various functionalities during operation by the DSP. In programmed with various functionalities during operation by the DSP. In this gate array functions such as dark image subtraction or mixing of color this gate array functions such as dark image subtraction or mixing of color images can be carried out in 40 msec.images can be carried out in 40 msec.
For the operation of the card a PC is not strictly necessary, since the For the operation of the card a PC is not strictly necessary, since the card can be used as a standalone system. With this option, the user has a card can be used as a standalone system. With this option, the user has a high performance and secure system, i.e., the classic PC problems such as high performance and secure system, i.e., the classic PC problems such as viruses or compatibility problems are a thing of the past.viruses or compatibility problems are a thing of the past.
The card processes the standard video norms according to CCIR and The card processes the standard video norms according to CCIR and EIA and can be used as a black-and-white grabber with 8-bit as well as EIA and can be used as a black-and-white grabber with 8-bit as well as color grabber with 16-bit resolution in YUV 4:2:2 format. The signal type is color grabber with 16-bit resolution in YUV 4:2:2 format. The signal type is automatically recognized by the hardware. To suit the camera on different automatically recognized by the hardware. To suit the camera on different input levels, a programmable input unit is available to set gain and offset of input levels, a programmable input unit is available to set gain and offset of the video signal. A digital PLL circuit allows the adaptation of unstable and the video signal. A digital PLL circuit allows the adaptation of unstable and unsteady video sources as arise from video recorders. Due to the very high unsteady video sources as arise from video recorders. Due to the very high scanning rate the image can be digitalized without geometric distortion and scanning rate the image can be digitalized without geometric distortion and with full 16.7 mio colors.with full 16.7 mio colors.
3-47
CHEOPS GmbH & Co. KG
Development Hardware/Plug-In ISA Module
Product Name: SNOFRU OverlayPlatforms Supported: PC
Devices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Single monitor PC image-processing cardSingle monitor PC image-processing card•• Freely programmable camera input for all video normsFreely programmable camera input for all video norms•• DSP for rapid image processingDSP for rapid image processing•• System price less than 5000 DMSystem price less than 5000 DM
Product Description
The SNOFRU Overlay card was especially developed for the characteristic The SNOFRU Overlay card was especially developed for the characteristic requirements of image processing. The video image and the user guide can requirements of image processing. The video image and the user guide can be represented simultaneously on the VGA monitor, without restriction in be represented simultaneously on the VGA monitor, without restriction in the monitor ergonomy or the applications programming. Through the over-the monitor ergonomy or the applications programming. Through the over-lay technique of the SNOFRU card (the live image of the video camera or lay technique of the SNOFRU card (the live image of the video camera or the stored image from the SNOFRU card fade over a part of the VGA the stored image from the SNOFRU card fade over a part of the VGA image) a control monitor is not necessary and the flicker of the PAL NTSC image) a control monitor is not necessary and the flicker of the PAL NTSC video norm is done away with. Here the special advantage of the digital video norm is done away with. Here the special advantage of the digital mixing technique allows interference-free, brilliant representation of even mixing technique allows interference-free, brilliant representation of even the smallest structures e.g., cross-wires or texts above the image.the smallest structures e.g., cross-wires or texts above the image.
The signal processor TMS320C31 offers locally the performance of a The signal processor TMS320C31 offers locally the performance of a Pentium processor, without delaying influences through bus or operating Pentium processor, without delaying influences through bus or operating system. The programming of the DSP takes place with an ANSI-C Cross-system. The programming of the DSP takes place with an ANSI-C Cross-compiler in DOS and requires no special DSP knowledge.compiler in DOS and requires no special DSP knowledge.
The card can be addressed from DOS and Windows 3.x (support of The card can be addressed from DOS and Windows 3.x (support of other operating systems available on request) via an I/O interface. The other operating systems available on request) via an I/O interface. The communication with the PC takes place via polling or interrupt, which communication with the PC takes place via polling or interrupt, which makes programming very simple. Included in the package are DOS/Win-makes programming very simple. Included in the package are DOS/Win-dows libraries which makes programming very simple. The working and dows libraries which makes programming very simple. The working and image memory of the card can be accessed linearly upon the setting of a image memory of the card can be accessed linearly upon the setting of a basic address.basic address.
3-48
CHEOPS GmbH & Co. KG
Development Hardware/Standalone Board
Product Name: SNOFRU IndustryPlatforms Supported: Standalone, no host required
Devices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Compact and reasonably-priced image-processing systemCompact and reasonably-priced image-processing system•• Freely-programmable camera input for all video normsFreely-programmable camera input for all video norms•• DSP for rapid image processingDSP for rapid image processing•• System price less than 5000 DMSystem price less than 5000 DM
Product Description
The system SNOFRU Industry was developed from the SNOFRU Overlay The system SNOFRU Industry was developed from the SNOFRU Overlay card and its extensive system components. The system is equipped for card and its extensive system components. The system is equipped for most image-processing requirements. With this system variation, image most image-processing requirements. With this system variation, image processing in industrial applications can be used such as light barriers or processing in industrial applications can be used such as light barriers or any other sensor and directly drive an SPS. In industrial image-processing any other sensor and directly drive an SPS. In industrial image-processing systems based on PCs are often not usable. Possible reasons are: systems based on PCs are often not usable. Possible reasons are: lack of freedom of interference, susceptibility to viruses, and no long-term lack of freedom of interference, susceptibility to viruses, and no long-term guarantee of delivery for PC components.guarantee of delivery for PC components.
With the component group SNOFRU Industry we can close the gap With the component group SNOFRU Industry we can close the gap between inexpensive PC image-processing systems and industrial systems between inexpensive PC image-processing systems and industrial systems without a PC. For the development of the applications software, the system without a PC. For the development of the applications software, the system is first built into a PC and the software is then developed with C-crosscom-is first built into a PC and the software is then developed with C-crosscom-pilers. After development, it is built into a 19" module. All standard I/O pilers. After development, it is built into a 19" module. All standard I/O components of the PC like keyboard, mouse, V24, printer, and floppy disk components of the PC like keyboard, mouse, V24, printer, and floppy disk are still available and ensure the unproblematic data exchange with all PCs.are still available and ensure the unproblematic data exchange with all PCs.
In order to allow the development to begin as smoothly as possible, we In order to allow the development to begin as smoothly as possible, we have designed a development package for this system. This package con-have designed a development package for this system. This package con-sists of completely equipped hardware, PC C-crosscompiler, libraries for sists of completely equipped hardware, PC C-crosscompiler, libraries for the programming of the image-processing hardware and a two-day instruc-the programming of the image-processing hardware and a two-day instruc-tion by a CHEOPS system specialist.tion by a CHEOPS system specialist.
3-49
Communication Automation & Control, Inc.1642 Union Blvd - Suite 200Allentown, PA 18103(800) 367-6735(610) 776-6669Fax: (610) 770-1232e-mail: [email protected]: http://cacdsp.com
Company BackgroundThe Bulletdsp is the latest addition to our line of DSP board-level products which The Bulletdsp is the latest addition to our line of DSP board-level products which started in 1987 with the industry's first PC plug-in board to list for less than $100 per started in 1987 with the industry's first PC plug-in board to list for less than $100 per MFLOPS. Since then, we have expanded our line to include VME, STD, MCA, S-bus, MFLOPS. Since then, we have expanded our line to include VME, STD, MCA, S-bus, PC/104, and now PCMCIA cards which specialize in combining MIPS and MFLOPS with PC/104, and now PCMCIA cards which specialize in combining MIPS and MFLOPS with telecom and audio interfaces for the OEM and VAR industry.telecom and audio interfaces for the OEM and VAR industry.
Development Hardware/PCMCIA Board
Product Name: BulletdspPlatforms Supported: MS-DOS, Windows 3.1, SCO UnixDevices Supported: TMS320C32
Features and Benefits
•• 50 MFLOPS for notebook computers50 MFLOPS for notebook computers•• Up to 1-MB SRAM, 4-MB DRAM, 512-KB flash memoryUp to 1-MB SRAM, 4-MB DRAM, 512-KB flash memory•• 16-bit, 48-kHz stereo audio I/O with mic input16-bit, 48-kHz stereo audio I/O with mic input•• Programmable sampling rate, gain, and attenuationProgrammable sampling rate, gain, and attenuation•• External access to ’C32’s serial portExternal access to ’C32’s serial port
Product Description
The Bulletdsp provides users with a portable, high-performance DSP card The Bulletdsp provides users with a portable, high-performance DSP card for audio signal processing applications on notebook computers. A Crystal for audio signal processing applications on notebook computers. A Crystal Semiconductor CS4231A stereo multimedia CODEC provides line-in, line-Semiconductor CS4231A stereo multimedia CODEC provides line-in, line-out, and powered mic-in channels. The CS4231A uses sigma-delta modula-out, and powered mic-in channels. The CS4231A uses sigma-delta modula-tion, 64X over-sampling, 14-bit resolution, and auto-tracking anti-aliasing/tion, 64X over-sampling, 14-bit resolution, and auto-tracking anti-aliasing/reconstruction filters. Linear (16-bit and 8-bit), A-law, reconstruction filters. Linear (16-bit and 8-bit), A-law, µ-Law, and ADPCM -Law, and ADPCM formats are software selectable. An exterior 15-pin receptacle accepts a formats are software selectable. An exterior 15-pin receptacle accepts a dongle for connection to the ’C32’s serial port and audio channels. A Type-dongle for connection to the ’C32’s serial port and audio channels. A Type-II is expected in first quarter 1996.II is expected in first quarter 1996.
The flash memory contains either the bootstrap program which is sup- The flash memory contains either the bootstrap program which is sup-plied with the board or the user’s application software which is copied into plied with the board or the user’s application software which is copied into SRAM when powered up. The Bulletdsp can be configured to auto-execute SRAM when powered up. The Bulletdsp can be configured to auto-execute this program when power is applied, making it independent of the host.this program when power is applied, making it independent of the host.
3-50
Coreco Inc.DSP Products Group6969 Trans-Canada Hwy., Suite #142St. Laurent, QuebecCanada H4T-1V8(514) 333-1301Fax: (514) 333-1388e-mail: [email protected]
Company Background Coreco Inc. is a technologically-aggressive manufacturer of PC-based image-processing Coreco Inc. is a technologically-aggressive manufacturer of PC-based image-processing products, including high-performance image processors, DSP engines, and powerful products, including high-performance image processors, DSP engines, and powerful development software. Established in 1979, this privately-held company has firmly development software. Established in 1979, this privately-held company has firmly established itself as a premier supplier of high-performance image-processing boards established itself as a premier supplier of high-performance image-processing boards for OEMs and system integrators sold around the world.for OEMs and system integrators sold around the world.
Development Hardware/Image-Processing Board
Product Name: Oculus-F/64Platforms Supported: PCIDevices Supported: TMS320C40
Features and Benefits
•• High-performance image-processing board for the PC busHigh-performance image-processing board for the PC bus•• Simultaneous image acquisition and processingSimultaneous image acquisition and processing•• Real-time averaging, subtractions, and histogramsReal-time averaging, subtractions, and histograms•• Supports display resolutions up to 1600 Supports display resolutions up to 1600 × 1280 NI 1280 NI•• Optional 10-bit A/D card and 60-MHz acquisitionOptional 10-bit A/D card and 60-MHz acquisition
Product Description
Incorporating the latest high-speed processing power, the Oculus-F/64 Incorporating the latest high-speed processing power, the Oculus-F/64 includes the TMS34020 Graphics Signal Processor and the TMS320C40, includes the TMS34020 Graphics Signal Processor and the TMS320C40, both from TI. For applications requiring high performance, the TMS320C40 both from TI. For applications requiring high performance, the TMS320C40 is supplemented for the TMS34020, a 32-bit floating-point signal processor is supplemented for the TMS34020, a 32-bit floating-point signal processor capable of performing over 250 Million Operations Per Second (MOPS). capable of performing over 250 Million Operations Per Second (MOPS). This high-speed processor performs multiple operations per clock cycle This high-speed processor performs multiple operations per clock cycle and features six full-duplex, high-speed communications channels and two and features six full-duplex, high-speed communications channels and two 80 Mbytes/sec local buses for connection to high-speed memory.80 Mbytes/sec local buses for connection to high-speed memory.
These local buses empower the Oculus-F/64 with enough throughput These local buses empower the Oculus-F/64 with enough throughput to process and acquire images simultaneously. Convolutions, complex 2-D to process and acquire images simultaneously. Convolutions, complex 2-D filters, or FFTs are accelerated by using the 32-bit floating-point processing filters, or FFTs are accelerated by using the 32-bit floating-point processing unit of the TMS320C40 which performs over 40 million floating-point oper-unit of the TMS320C40 which performs over 40 million floating-point oper-ations per second. With the TMS320C40 and a memory transfer rate ations per second. With the TMS320C40 and a memory transfer rate exceeding 80 Mbytes/sec, the Oculus-F/64 can acquire, display, process, exceeding 80 Mbytes/sec, the Oculus-F/64 can acquire, display, process, and communicate images all at the same time. The Oculus-F/64 also fea-and communicate images all at the same time. The Oculus-F/64 also fea-tures a histogram processor and Coreco’s IP-Engine, which combine to per-tures a histogram processor and Coreco’s IP-Engine, which combine to per-form real-time arithmetic operations.form real-time arithmetic operations.
3-51
Coreco Inc.
Development Hardware/Multiprocessor
Product Name: MDSP-C44Platforms Supported: PC
Devices Supported: TMS320C44
Features and Benefits
•• Single-slot PCI board supports master mode and burst mode for 132-Single-slot PCI board supports master mode and burst mode for 132-Mbps transfersMbps transfers
•• 200-MFLOPS/1 billion200-MFLOPS/1 billion•• Available with 1, 2, or 4 50-MHz TMS320C44sAvailable with 1, 2, or 4 50-MHz TMS320C44s•• Scaleable and configurable on-board/off-board topologyScaleable and configurable on-board/off-board topology•• Up to 4 MB of zero-wait-state global SRAM per DSPUp to 4 MB of zero-wait-state global SRAM per DSP
Product Description
Incorporating the latest in DSP technology, the MDSP-C44 is a powerful, Incorporating the latest in DSP technology, the MDSP-C44 is a powerful, parallel-processing DSP engine that features multiple DSPs and maximizes parallel-processing DSP engine that features multiple DSPs and maximizes throughput with a PCI interface. Delivering transfer rates of 132 Mbps, the throughput with a PCI interface. Delivering transfer rates of 132 Mbps, the MDSP-C44 distributes image data amongst all four DSPs to quadruple pro-MDSP-C44 distributes image data amongst all four DSPs to quadruple pro-cessing power.cessing power.
Combining up to four ’C44s on a single circuit board with up to 6 Combining up to four ’C44s on a single circuit board with up to 6 Mbytes of zero-wait-state SRAM per DSP, the MDSP-C44 accelerates digi-Mbytes of zero-wait-state SRAM per DSP, the MDSP-C44 accelerates digi-tal-signal processing, graphics, and image-processing applications. Round-tal-signal processing, graphics, and image-processing applications. Round-ing off its impressive list of features is its ability to reconfigure topology ing off its impressive list of features is its ability to reconfigure topology through a reprogrammable cross-point switch creating a pipeline or parallel through a reprogrammable cross-point switch creating a pipeline or parallel system architecture.system architecture.
Global bus SRAM modules can be installed giving the MDSP-C44 card Global bus SRAM modules can be installed giving the MDSP-C44 card added memory. In addition to this feature, the global bus module incorpo-added memory. In addition to this feature, the global bus module incorpo-rates hardware-based pack/unpack circuitry which automatically formats rates hardware-based pack/unpack circuitry which automatically formats pixel data into a useable format for the DSP. Also available is a high-speed pixel data into a useable format for the DSP. Also available is a high-speed interface to the F/64 frame grabber (enabling data acquisition and display interface to the F/64 frame grabber (enabling data acquisition and display resources) and optional global bus modules to provide additional memory. resources) and optional global bus modules to provide additional memory. The MDSP-C44 is available in one, two, or four DSP configurations.The MDSP-C44 is available in one, two, or four DSP configurations.
3-52
Corelis Inc.12607 Hidden Creek WayCerritos, CA 90703(310) 926-6727Fax: (310) 404-6196e-mail: [email protected]
Company Background Corelis is a leading provider of preprocessor tools for use with the Hewlett-Packard fam-Corelis is a leading provider of preprocessor tools for use with the Hewlett-Packard fam-ily of logic analyzers. Corelis preprocessors and inverse assembler software are fully ily of logic analyzers. Corelis preprocessors and inverse assembler software are fully compatible with the entire line of HP logic analyzers and provide an easy and conve-compatible with the entire line of HP logic analyzers and provide an easy and conve-nient means of connecting a logic analyzer to a development target.nient means of connecting a logic analyzer to a development target.
Development Hardware/Logic Analyzers
Product Name: PI-320C40Platforms Supported: HP1650, HP1660, HP1670 series
Devices Supported: TMS320C40
Features and Benefits
•• Complete mnemonic disassembly of TMS320C40 instructionsComplete mnemonic disassembly of TMS320C40 instructions•• Unexecuted prefetched instructions are clearly markedUnexecuted prefetched instructions are clearly marked•• Quick and easy connection of logic analyzer pods to a TMS320C40 targetQuick and easy connection of logic analyzer pods to a TMS320C40 target•• Handle activated ZIF socket for easy installationHandle activated ZIF socket for easy installation•• Probes all signals for complete timing and state analysisProbes all signals for complete timing and state analysis•• Multi-layer low-noise PCB construction with ground and power planesMulti-layer low-noise PCB construction with ground and power planes
Product Description
The PI-320C40 Preprocessor provides a complete interface between a The PI-320C40 Preprocessor provides a complete interface between a Texas Instruments TMS320C40-based target system and a variety of Texas Instruments TMS320C40-based target system and a variety of Hewlett-Packard logic analyzers. The PI-320C40 configuration software on Hewlett-Packard logic analyzers. The PI-320C40 configuration software on a flexible diskette sets up the format specification of the logic analyzer for a flexible diskette sets up the format specification of the logic analyzer for compatibility with the ’C40 processor. It also loads the inverse assembler compatibility with the ’C40 processor. It also loads the inverse assembler (disassembler) for obtaining displays of the ’C40 data in ’C40 assembly lan-(disassembler) for obtaining displays of the ’C40 data in ’C40 assembly lan-guage mnemonics. The PI-320C40 Preprocessor Interface is a non-intrusive guage mnemonics. The PI-320C40 Preprocessor Interface is a non-intrusive development tool and provides a powerful environment for debugging of development tool and provides a powerful environment for debugging of both hardware and software real-time applications.both hardware and software real-time applications.
The PI-320C40 is inserted in place of the ’C40 processor chip in the tar-The PI-320C40 is inserted in place of the ’C40 processor chip in the tar-get system, and the ’C40 is then re-installed in the top of the Preprocessor get system, and the ’C40 is then re-installed in the top of the Preprocessor Interface module. The logic analyzer pods, with HP01650-63203 termina-Interface module. The logic analyzer pods, with HP01650-63203 termina-tion adapters, plug directly onto the mating connectors on the PI-320C40 tion adapters, plug directly onto the mating connectors on the PI-320C40 and provide tracing and monitoring of the ’C40 signals. The signals are and provide tracing and monitoring of the ’C40 signals. The signals are grouped in a logical order so that the HP logic analyzer configured with the grouped in a logical order so that the HP logic analyzer configured with the disassembler software can display bus activity in mnemonic form. In addi-disassembler software can display bus activity in mnemonic form. In addi-tion to the mnemonic disassembly, the logic analyzer displays all the bus tion to the mnemonic disassembly, the logic analyzer displays all the bus activity with the relevant status and timing information.activity with the relevant status and timing information.
3-53
D2 Technologies, Inc.Attn: Product Sales104 West Anapamu Street, Suite JSanta Barbara, CA 93101(805) 564-3424Fax: (805) 966-2144e-mail: [email protected]
Company Background D2 Technologies, Inc. was founded by David Y. Wong and David M. Lindsay to help com-D2 Technologies, Inc. was founded by David Y. Wong and David M. Lindsay to help com-panies innovate telecommunications and information products with voice-processing panies innovate telecommunications and information products with voice-processing technology, which include telephony and voice algorithms, real-time system software, technology, which include telephony and voice algorithms, real-time system software, and development services.and development services.
With over 20 years of experience in voice-processing algorithms, real-time DSP With over 20 years of experience in voice-processing algorithms, real-time DSP implementation, real-time systems, telephone systems, and voice-processing applica-implementation, real-time systems, telephone systems, and voice-processing applica-tions, D2’s business model is to develop close partnerships with its customers via high tions, D2’s business model is to develop close partnerships with its customers via high levels of service and special engineering to ensure mutual success.levels of service and special engineering to ensure mutual success.
Application Software
Product Name: VP Open Development PackagePlatforms Supported: PCDevices Supported: TMS320C5x
Features and Benefits
•• Audio driver for the serial port of the EVM-50 board and D2’s Audio Audio driver for the serial port of the EVM-50 board and D2’s Audio Telephone I/O (ATIO) boardTelephone I/O (ATIO) board
•• PC I/O support allows single file read/write channel or keyboard input PC I/O support allows single file read/write channel or keyboard input with display outputwith display output
•• Simple real-time debugger with commands to monitor, dump, and pokeSimple real-time debugger with commands to monitor, dump, and poke
Product Description
The VP Open Development Package offers a true turnkey solution with The VP Open Development Package offers a true turnkey solution with documentation, system software, and tools that allow you to develop VP documentation, system software, and tools that allow you to develop VP Open-compatible DSP software and to quickly run and monitor voice-pro-Open-compatible DSP software and to quickly run and monitor voice-pro-cessing algorithms on a D2-supported EVM-50 plug-in board. The package cessing algorithms on a D2-supported EVM-50 plug-in board. The package includes software for host I/O, audio I/O, and a simple real-time debugger. includes software for host I/O, audio I/O, and a simple real-time debugger. Source code for the host server and audio device drivers is also provided.Source code for the host server and audio device drivers is also provided.
The VP Open Development Package includes demonstration modules The VP Open Development Package includes demonstration modules and complete hardware configuration and instructions for running each of and complete hardware configuration and instructions for running each of the examples. The sample VP Open executables demonstrate call progress the examples. The sample VP Open executables demonstrate call progress and DTMF detection, speech detection, and automatic gain control, and and DTMF detection, speech detection, and automatic gain control, and several speech coders.several speech coders.
The optionally available D2 Audio Telephone I/O (ATIO) board with The optionally available D2 Audio Telephone I/O (ATIO) board with two audio and telephone I/O ports is required to run the VP Open exam-two audio and telephone I/O ports is required to run the VP Open exam-ples. The EVM-50 package including the Texas Instruments EVM-50 board, ples. The EVM-50 package including the Texas Instruments EVM-50 board, linker, and assembler is also optionally available.linker, and assembler is also optionally available.
VP OpenInterface
Specification
GettingStarted
withVDS50
COSimulator
EVM-50 BoardD2 ATIO Board
3-54
D2 Technologies, Inc.
Development Hardware/Data Acquisition Board
Product Name: D2 Audio Telephone I/O BoardPlatforms Supported: PC
Devices Supported: TMS320C5x
Features and Benefits
•• Based on the Texas Instruments TLC32046 chipBased on the Texas Instruments TLC32046 chip•• Two channels of input and outputTwo channels of input and output•• On-board anti-aliasing input filter and output reconstruction filterOn-board anti-aliasing input filter and output reconstruction filter•• Line level audio input and outputLine level audio input and output•• Analog telephone line interfaceAnalog telephone line interface
Product Description
The D2 Audio Telephone I/O (ATIO) board is a two-channel linear A/D and The D2 Audio Telephone I/O (ATIO) board is a two-channel linear A/D and D/A data acquisition module. It is designed to be a general-purpose tele-D/A data acquisition module. It is designed to be a general-purpose tele-phone-band (300–3500 kHz) interface for voice-processing input and out-phone-band (300–3500 kHz) interface for voice-processing input and out-put applications. The D2 ATIO board plugs into the Texas Instruments put applications. The D2 ATIO board plugs into the Texas Instruments EVM-50 board.EVM-50 board.
Designed as part of the VP Open Development Package, the D2 Audio Designed as part of the VP Open Development Package, the D2 Audio Telephone I/O board comes equipped with two audio and telephone I/O Telephone I/O board comes equipped with two audio and telephone I/O ports. The ATIO board is required to run the sample VP Open executables ports. The ATIO board is required to run the sample VP Open executables included with the VP Open Development package.included with the VP Open Development package.
Specifications•• A/D and D/A converter: Texas Instruments TLC32046A/D and D/A converter: Texas Instruments TLC32046•• Sampling resolution: 14 bitSampling resolution: 14 bit•• Sampling rates: 3.6 kHz, 4.0 kHz, 4.8 kHz, 7.2 kHz, 8.0 kHz, 9.6 kHz, Sampling rates: 3.6 kHz, 4.0 kHz, 4.8 kHz, 7.2 kHz, 8.0 kHz, 9.6 kHz,
14.4 kHz, 16 kHz, 19.2 kHz14.4 kHz, 16 kHz, 19.2 kHz•• Audio interface level: ±1.5 V, ±3 VAudio interface level: ±1.5 V, ±3 V•• Audio interface connectors: 3/32 inch (2.5 mm) stereo jacksAudio interface connectors: 3/32 inch (2.5 mm) stereo jacks•• Power requirements: 3/4 WPower requirements: 3/4 W•• Occupies one slot adjacent to the EVM-50 boardOccupies one slot adjacent to the EVM-50 board•• RJ-11 telephone line interfaceRJ-11 telephone line interface
3-55
DGM&S1025 Briggs Road, Suite 100Mt. Laurel, NJ 08033(609) 866-1212Fax: (609) 866-8850e-mail: [email protected]
Company Background DGM&S provides high-quality technology engineering solutions for telecommunications DGM&S provides high-quality technology engineering solutions for telecommunications industry. The company specializes in the design and delivery of TMS320C30 DSP solu-industry. The company specializes in the design and delivery of TMS320C30 DSP solu-tions linked to ISDN Basic Rate (BRI) and Primary Rate (PRI) offerings.tions linked to ISDN Basic Rate (BRI) and Primary Rate (PRI) offerings.
Development Hardware/Plug-In Board
Product Name: DGM&S ISDN DSP Basic Rate AdapterPlatforms Supported: DOS IBM AT-compatible PC chassisDevices Supported: TMS320C30
Features and Benefits
•• Utilizes DGM&S QSource ISDN enabling softwareUtilizes DGM&S QSource ISDN enabling software•• TI 32-bit TMS320C30 DSPTI 32-bit TMS320C30 DSP•• Supports CCITT V.110 and V.120 rate adoptionSupports CCITT V.110 and V.120 rate adoption•• Includes an ANSI C Complier, Assembles, Linker, and Source Level Includes an ANSI C Complier, Assembles, Linker, and Source Level
DebuggerDebugger•• 512K 32-bit words of zero-wait-state 60- to 80-ns high-speed DRAM512K 32-bit words of zero-wait-state 60- to 80-ns high-speed DRAM
Product Description
The DGM&S ISDN DSP adapter card offers a greater degree of perfor-The DGM&S ISDN DSP adapter card offers a greater degree of perfor-mance and flexibility than any other ISDN plug-in card available for PC/AT mance and flexibility than any other ISDN plug-in card available for PC/AT DOS systems intended for ISDN applications and services. Based on the TI DOS systems intended for ISDN applications and services. Based on the TI TMS320C30 32-bit DSP, the DGM&S adapater is suited for DSP-based TMS320C30 32-bit DSP, the DGM&S adapater is suited for DSP-based applications including: video compression, voice/data encryption, modem applications including: video compression, voice/data encryption, modem and fax emulation, speech recognition, and speech synthesis. Full-duplex and fax emulation, speech recognition, and speech synthesis. Full-duplex data transfers can be performed on both B channels at 64 Kbps each or data transfers can be performed on both B channels at 64 Kbps each or multiplexed at 128 Kbps with more than 90% of the DSP processor avail-multiplexed at 128 Kbps with more than 90% of the DSP processor avail-able for other applications. The IDC facilitiates development of ISDN appli-able for other applications. The IDC facilitiates development of ISDN appli-cations that require increased processing functionality. Utilizes the DGM&S cations that require increased processing functionality. Utilizes the DGM&S QSource ISDN software.QSource ISDN software.
3-56
DSP Control Group, Inc.4445 West 77th StreetMinneapolis, MN 55435(612) 831-9556Fax: (612) 831-4697
Company Background DSP Control Group, Inc. was founded by a group of scientists specializing in areas of DSP Control Group, Inc. was founded by a group of scientists specializing in areas of optimum control and digital signal processing. The company has been involved in the optimum control and digital signal processing. The company has been involved in the development of DSP control products serving the defense, aviation control, and development of DSP control products serving the defense, aviation control, and machine tool markets. The founders have designed and teach the DSP Control Work-machine tool markets. The founders have designed and teach the DSP Control Work-shops for the DSP division of Texas Instruments, nationally. The company’s product shops for the DSP division of Texas Instruments, nationally. The company’s product line line includes DSP-based controller cards for control applications and development systems.includes DSP-based controller cards for control applications and development systems.
Development Hardware/Multiprocessor Board
Product Name: Mx4 for PC/AT, VMEbus, or Multibus�Platforms Supported: PC/AT, VMEbus, Multibus, StandaloneDevices Supported: TMS320C14, TMS320C25, TMS320C30, TMS320C50
Features and Benefits
•• Hyper Cube DSP architectureHyper Cube DSP architecture•• Uses optimum control and Kalman filteringUses optimum control and Kalman filtering•• Coordinates multiples of four motorsCoordinates multiples of four motors•• Uses cubic spline interpolationUses cubic spline interpolation•• Software tools include DSPL compilerSoftware tools include DSPL compiler
Product Description
Mx4 is the world’s fastest multi-task coordinated motion controller. This Mx4 is the world’s fastest multi-task coordinated motion controller. This controller’s algorithms are well suited for coordinated applications like controller’s algorithms are well suited for coordinated applications like CNC, robotics, packaging, material handling, semiconductor manufactur-CNC, robotics, packaging, material handling, semiconductor manufactur-ing, and general automation. The Mx4 is also available on VME and Multi-ing, and general automation. The Mx4 is also available on VME and Multi-bus platforms. The control structure used by Mx4 is state feedback. The bus platforms. The control structure used by Mx4 is state feedback. The algorithms used by this product are Kalman filter, robust control, optimum algorithms used by this product are Kalman filter, robust control, optimum path generator, cubic spline interpolator, master/slave gearing, CAM, etc. path generator, cubic spline interpolator, master/slave gearing, CAM, etc. Each control channel outputs through a 16-bit DAC that can interface to Each control channel outputs through a 16-bit DAC that can interface to any conventional AC or DC servo amplifier. Alternatively, the Mx4 outputs any conventional AC or DC servo amplifier. Alternatively, the Mx4 outputs are interfaced to VECTOR4 through its DSP I/O bus (see VECTOR4). Mx4 are interfaced to VECTOR4 through its DSP I/O bus (see VECTOR4). Mx4 outputs are torque or speed controlled signals for four drive amplifiers.outputs are torque or speed controlled signals for four drive amplifiers.
3-57
DSP Control Group, Inc.
Development Hardware/Multiprocessor Board
Product Name: Mx42Platforms Supported: StandaloneDevices Supported: TMS320C14, TMS320C25, TMS320C30
Features and Benefits
•• It operates as a standalone unitIt operates as a standalone unit•• Performs PLC and coordinated motionPerforms PLC and coordinated motion•• Uses optimum control and Kalman filteringUses optimum control and Kalman filtering•• Performs cubic spline interpolationPerforms cubic spline interpolation•• All I/Os are optically isolatedAll I/Os are optically isolated
Product Description
The Mx42 is a dual-axis standalone DSP-based position controller with pro-The Mx42 is a dual-axis standalone DSP-based position controller with pro-gram space for 2500 lines of DSPL. This controller combines the power of a gram space for 2500 lines of DSPL. This controller combines the power of a computer, PLC, and motion controller in a small package (8.3" computer, PLC, and motion controller in a small package (8.3" × 4.86" 4.86" × 1.0"). Three on-board digital signal processors provide 30 MIPs of computa-1.0"). Three on-board digital signal processors provide 30 MIPs of computa-tion power for two controlled axes.tion power for two controlled axes.
3-58
DSP Control Group, Inc.
Development Hardware/Multiprocessor Board
Product Name: Mx42_ac StandalonePlatforms Supported: StandaloneDevices Supported: TMS320C14, TMS320C25, TMS320C30
Features and Benefits
•• Performs vector control of AC-induction motorPerforms vector control of AC-induction motor•• Uses state feedback and optimum controlUses state feedback and optimum control•• Includes PLC and general computing featuresIncludes PLC and general computing features•• Performs cubic spline interpolationPerforms cubic spline interpolation
Product Description
The Mx42_ac is a standalone dual-axis commutation controller (commtrol-The Mx42_ac is a standalone dual-axis commutation controller (commtrol-ler™). It features position, velocity, torque, commutation, and PWM con-ler™). It features position, velocity, torque, commutation, and PWM con-trols! Using the powerful DSPL language, this controller can run 2500 lines trols! Using the powerful DSPL language, this controller can run 2500 lines of coordinated-motion program. The Mx42_ac closes the current loops and of coordinated-motion program. The Mx42_ac closes the current loops and performs vector control for brushless DC- and AC-induction motors. It also performs vector control for brushless DC- and AC-induction motors. It also allows the user to program the PWM-switching frequency. Three on-board allows the user to program the PWM-switching frequency. Three on-board digital signal processors provide 30 MIPs of computation power for two digital signal processors provide 30 MIPs of computation power for two motors.motors.
3-59
DSP Control Group, Inc.
Development Hardware/Multiprocessor Board
Product Name: VECTOR4 Parallel DSP-Based Vector-Controlled Drive System ControllerPlatforms Supported: PC/AT, Multibus, VMEbusDevices Supported: TMS320C14, TMS320C25, TMS320C30
Features and Benefits
•• Performs vector control of AC induction motorPerforms vector control of AC induction motor•• Commutates sinusoidally for brushless DC motorCommutates sinusoidally for brushless DC motor•• Programs current loopsPrograms current loops•• Programs PWM frequencyPrograms PWM frequency
Product Description
This is a multi-DSP-based drive commutator which includes 20 MIPs of This is a multi-DSP-based drive commutator which includes 20 MIPs of computation power. It performs the entire signal processing required by computation power. It performs the entire signal processing required by four industrial AC and/or DC motors. Industrial machines controlled by four industrial AC and/or DC motors. Industrial machines controlled by VECTOR4 include brush-type DC, brushless DC, AC induction, and VECTOR4 include brush-type DC, brushless DC, AC induction, and switched reluctance. The current loop sampling rate is 20 kHz and its 14-bit switched reluctance. The current loop sampling rate is 20 kHz and its 14-bit precise PWM is programmable. The advantage of using VECTOR4 (instead precise PWM is programmable. The advantage of using VECTOR4 (instead of a traditional analog drive) is that complex algorithms (impractical to of a traditional analog drive) is that complex algorithms (impractical to implement on micro controllers) are performed at analog loop bandwidth. implement on micro controllers) are performed at analog loop bandwidth. That is, VECTOR4 provides software flexibility at analog loop bandwidth That is, VECTOR4 provides software flexibility at analog loop bandwidth and precision.and precision.
3-60
DSP Control Group, Inc.
Development Hardware/Multiprocessor Board
Product Name: XDSP_dc Dual-Axis DSP-Based Motion ControllerPlatforms Supported: Plug-InDevices Supported: TMS320C14, TMS320C25, TMS320C30
Features and Benefits
•• Uses optimum control and Kalman filteringUses optimum control and Kalman filtering•• Coordinates two motorsCoordinates two motors•• Includes PLC functionsIncludes PLC functions•• Performs master/slave functionsPerforms master/slave functions
Product Description
This product simplifies the design of a high-end standalone control system. This product simplifies the design of a high-end standalone control system. This means, XDSP_dc operates independent of the host and retains its pro-This means, XDSP_dc operates independent of the host and retains its pro-grams. The XDSP_dc with its 12-MIPS computation power can coordinate grams. The XDSP_dc with its 12-MIPS computation power can coordinate two motors in linear coordination. The functions incorporated in XDSP_dc two motors in linear coordination. The functions incorporated in XDSP_dc cover most master/slave applications. The control algorithms used by cover most master/slave applications. The control algorithms used by XDSP_dc include state feedback, full-order state observer, optimum-path XDSP_dc include state feedback, full-order state observer, optimum-path trajectory generator, etc. Also included in this embedded controller is PLC trajectory generator, etc. Also included in this embedded controller is PLC capability at a high scan rate. Applications for XDSP_dc include machine capability at a high scan rate. Applications for XDSP_dc include machine tool, tension control, printing, web handling, and general automation.tool, tension control, printing, web handling, and general automation.
3-61
DSP Control Group, Inc.
Development Hardware/Multiprocessor Board
Product Name: XDSP_ac DSP-Based Vector-Controlled AC-Induction Motor ControllerPlatforms Supported: Plug-InDevices Supported: TMS320C14, TMS320C25, TMS320C30
Features and Benefits
•• Performs field-orientated controlPerforms field-orientated control•• Uses optimum control and Kalman filteringUses optimum control and Kalman filtering•• Includes PLC functionsIncludes PLC functions
Product Description
XDSP_ac is the world’s most powerful and versatile vector controller. The XDSP_ac is the world’s most powerful and versatile vector controller. The XDSP_ac provides the entire signal processing required by industrial drive XDSP_ac provides the entire signal processing required by industrial drive controls. These functions include vector control, current, velocity and posi-controls. These functions include vector control, current, velocity and posi-tion regulations, and optimum trajectory generation. The control algo-tion regulations, and optimum trajectory generation. The control algo-rithms include state feedback, full-order optimum observer, etc. The rithms include state feedback, full-order optimum observer, etc. The XDSP_ac is a standalone unit that retains the user programs. Similar to XDSP_ac is a standalone unit that retains the user programs. Similar to XDSP_dc, this unit includes PLC functions.XDSP_dc, this unit includes PLC functions.
3-62
DSP Control Group, Inc.
Application Software
Product Name: Visual Basic Mx4 ProgrammingPlatforms Supported: PC/AT, Multibus, VMEbusDevices Supported: TMS320C14, TMS320C25, TMS320C30
Features and Benefits
•• Includes VBX for Windows-based programmingIncludes VBX for Windows-based programming•• Provides a quick and advanced man/machine interfaceProvides a quick and advanced man/machine interface
Product Description
The VBMX4 (dynamic link library) DLL allows Mx4 to be programmed The VBMX4 (dynamic link library) DLL allows Mx4 to be programmed directly from Visual Basic. The DLL contains functions and subroutines directly from Visual Basic. The DLL contains functions and subroutines which permit the Visual Basic programmer to configure Mx4, send it com-which permit the Visual Basic programmer to configure Mx4, send it com-mands, and read the value of state variables such as position and velocity mands, and read the value of state variables such as position and velocity for signal analysis.for signal analysis.
3-63
DSP Control Group, Inc.
Application Software
Product Name: Mx4 and Windows C and C++Platforms Supported: PC/AT, Multibus, VMEbusDevices Supported: TMS320C14, TMS320C25, TMS320C30
Features and Benefits
•• Provides DLL for Windows-based programmingProvides DLL for Windows-based programming•• Supports Windows 3.1x, Windows NT, and Windows 95Supports Windows 3.1x, Windows NT, and Windows 95•• Coordinating tutorial provides application for real-time oscilloscopeCoordinating tutorial provides application for real-time oscilloscope
Product Description
The Mx4 Windows programming is made possible by its Dynamic Link The Mx4 Windows programming is made possible by its Dynamic Link Library (DLL). This library permits C and C++ Windows applications to Library (DLL). This library permits C and C++ Windows applications to directly interface with the Mx4 controller. Using the library, applications directly interface with the Mx4 controller. Using the library, applications can issue real-time commands to the Mx4 and obtain the values of the con-can issue real-time commands to the Mx4 and obtain the values of the con-trolled variables made available by the controller. The library also provides trolled variables made available by the controller. The library also provides facilities for synchronously sampling data, contouring, and responding to facilities for synchronously sampling data, contouring, and responding to interrupts generated by the controller.interrupts generated by the controller.
3-64
DSP Control Group, Inc.
High-Level Language Compilers
Product Name: DSPL Compiler/LinkerPlatforms Supported: PC/AT, Multibus, VMEbus, StandaloneDevices Supported: TMS320C14, TMS320C25, TMS320C30
Features and Benefits
•• Compiles high-level description of the control codeCompiles high-level description of the control code•• Links to various motion-control tasksLinks to various motion-control tasks•• Supports dynamic control checking featureSupports dynamic control checking feature
Product Description
The DSPL motion compiler performs the compilation of several multi-task The DSPL motion compiler performs the compilation of several multi-task motion-control programs written for coordinated system applications. The motion-control programs written for coordinated system applications. The compiler makes a list file showing the syntax and control programming compiler makes a list file showing the syntax and control programming errors. The generated files can be linked and downloaded to the Mx4 board. errors. The generated files can be linked and downloaded to the Mx4 board. The down-loaded program can then be executed by the host CPU or inde-The down-loaded program can then be executed by the host CPU or inde-pendently.pendently.
3-65
DSP Research, Inc.1095 E. Duane Ave, Ste 203Sunnyvale, CA 94086(408) 773-1042Fax: (408) 736-3451e-mail: [email protected]: http://www.dspr.com
Company Background Founded in 1989, DSP Research, Inc. has become an industry leader in DSP systems for Founded in 1989, DSP Research, Inc. has become an industry leader in DSP systems for a wide variety of applications. Specializing in the TMS320 processor family, DSP a wide variety of applications. Specializing in the TMS320 processor family, DSP Research now provides a complete line of development boards, emulators, and OEM Research now provides a complete line of development boards, emulators, and OEM solutions for ’C3x, ’C4x, and ’C5x designers. Located in Silicon Valley, DSP Research solutions for ’C3x, ’C4x, and ’C5x designers. Located in Silicon Valley, DSP Research serves the worldwide DSP community through its distributors in Japan, Korea, France, serves the worldwide DSP community through its distributors in Japan, Korea, France, Belgium, the UK, and Scandinavia.Belgium, the UK, and Scandinavia.
Development Hardware/Plug-In ISA Board
Product Name: TIGER 31/PCPlatforms Supported: PCDevices Supported: TMS320C31
Features and Benefits
•• 60 MHz, 60 MFLOPS, up to 1 Mbyte of zero-wait-state SRAM60 MHz, 60 MFLOPS, up to 1 Mbyte of zero-wait-state SRAM•• Stereo 16-bit, 50-kHz CD-quality analog I/O, plus telephone interfaceStereo 16-bit, 50-kHz CD-quality analog I/O, plus telephone interface•• Standalone operation also supported, with EPROMStandalone operation also supported, with EPROM•• Converts to XDS510-compatible ICE with TIGER SmartPODConverts to XDS510-compatible ICE with TIGER SmartPOD•• Low-cost versions for OEM applicationsLow-cost versions for OEM applications
Product Description
The TIGER 31/PC is a hardware/software development and application The TIGER 31/PC is a hardware/software development and application board for PC/AT systems or standalone operation. Based on the board for PC/AT systems or standalone operation. Based on the TMS320C31 processor, the TIGER 31/PC runs at up to 60 MHz, for a full 60-TMS320C31 processor, the TIGER 31/PC runs at up to 60 MHz, for a full 60-MFLOPS floating-point performance. It is ideally suited for multimedia MFLOPS floating-point performance. It is ideally suited for multimedia application development, such as modem, fax, telephony, speech, and CD-application development, such as modem, fax, telephony, speech, and CD-quality audio applications. A low-cost version is available for OEM applica-quality audio applications. A low-cost version is available for OEM applica-tions.tions.
Input and output features include two channels of 16-bit, 50-kHz CD-Input and output features include two channels of 16-bit, 50-kHz CD-quality analog I/O, with standard stereo mini-phone jacks for microphone quality analog I/O, with standard stereo mini-phone jacks for microphone and line-level input, and line output. For fax and modem applications, the and line-level input, and line output. For fax and modem applications, the TIGER 31/PC has a built-in standard analog telephone interface. The on-TIGER 31/PC has a built-in standard analog telephone interface. The on-board RS-232 port can be used to configure the board to emulate a stan-board RS-232 port can be used to configure the board to emulate a stan-dard modem or fax machine.dard modem or fax machine.
Comprehensive software support includes the TIGER QuickSTART Comprehensive software support includes the TIGER QuickSTART Software Development Environment, TIGER PowerPack Math/DSP/Vector Software Development Environment, TIGER PowerPack Math/DSP/Vector Library for the TMS320C3x, and comprehensive compiler and C source-Library for the TMS320C3x, and comprehensive compiler and C source-level debugger options, including Code Composer.level debugger options, including Code Composer.
3-66
DSP Research, Inc.
Development Hardware/Plug-In ISA Board
Product Name: TIGER 32 EVMPlatforms Supported: PC
Devices Supported: TMS320C32
Features and Benefits
•• Up to 50 MFLOPS of floating-point performanceUp to 50 MFLOPS of floating-point performance•• 256k of SRAM in two banks, each configurable as 8-, 16-, or 32-bit wide256k of SRAM in two banks, each configurable as 8-, 16-, or 32-bit wide•• Stereo 16-bit, 50-kHz CD-quality analog I/O, plus telephone interfaceStereo 16-bit, 50-kHz CD-quality analog I/O, plus telephone interface•• Converts to XDS510-compatible ICE with TIGER SmartPODConverts to XDS510-compatible ICE with TIGER SmartPOD•• Extensive development software and algorithm supportExtensive development software and algorithm support
Product Description
The TIGER 32 EVM is a low-cost multimedia board for PCs and compatibles.The TIGER 32 EVM is a low-cost multimedia board for PCs and compatibles. It is ideally suited for multimedia application development, such as modem, It is ideally suited for multimedia application development, such as modem, fax, telephony, speech, and CD-quality audio applications.fax, telephony, speech, and CD-quality audio applications.
Input and output features include two channels of 16-bit, 50-kHz CD-Input and output features include two channels of 16-bit, 50-kHz CD-quality analog I/O, with standard stereo mini-phone jacks for microphone quality analog I/O, with standard stereo mini-phone jacks for microphone and line-level input, and line output. For fax and modem applications, the and line-level input, and line output. For fax and modem applications, the TIGER 32 EVM has a built-in standard analog telephone interface, FCC TIGER 32 EVM has a built-in standard analog telephone interface, FCC part 68 approved.part 68 approved.
The TIGER 32 EVM Evaluation Package includes demonstration ver-The TIGER 32 EVM Evaluation Package includes demonstration ver-sions of several popular software products:sions of several popular software products:
•• Tartan C/C++ Lite CompilerTartan C/C++ Lite Compiler•• Tartan Debugger Lite Tartan Debugger Lite •• Hypersignal Block Diagram Lite from HyperceptionHypersignal Block Diagram Lite from Hyperception•• Momentum Data System’s DSPworks Lite and QEDesign LiteMomentum Data System’s DSPworks Lite and QEDesign Lite•• DemoLite Algorithm Package from DSP Software EngineeringDemoLite Algorithm Package from DSP Software Engineering
For rapid software development, the TIGER 32 EVM Software Devel-For rapid software development, the TIGER 32 EVM Software Devel-opment Package includes the TIGER QuickSTART Software Development opment Package includes the TIGER QuickSTART Software Development Environment, TIGER PowerPack Math/DSP/Vector Library for the Environment, TIGER PowerPack Math/DSP/Vector Library for the TMS320C3x, and comprehensive compiler and C source-level debugger TMS320C3x, and comprehensive compiler and C source-level debugger options, including Code Composer.options, including Code Composer.
3-67
DSP Research, Inc.
Development Hardware/Plug-In ISA Board
Product Name: TIGER 31/IPPlatforms Supported: PC
Devices Supported: TMS320C31
Features and Benefits
•• 48-MHz/48-MFLOPS TMS320C31 DSP48-MHz/48-MFLOPS TMS320C31 DSP•• Four IndustryPack (IP) sites, hundreds of I/O optionsFour IndustryPack (IP) sites, hundreds of I/O options•• 512-kbytes SRAM, 4-Mbytes EDRAM, 256-kbytes EPROM512-kbytes SRAM, 4-Mbytes EDRAM, 256-kbytes EPROM•• PC or standalone operation, PC/104 supportPC or standalone operation, PC/104 support•• Extensive development software and librariesExtensive development software and libraries
Product Description
The TIGER 31/IP is a high-performance DSP board that accepts up to four The TIGER 31/IP is a high-performance DSP board that accepts up to four IndustryPack (IP) modules. There are several hundred IP modules avail-IndustryPack (IP) modules. There are several hundred IP modules avail-able, for an almost unlimited number of I/O options. The board can be used able, for an almost unlimited number of I/O options. The board can be used in a PC, or in standalone configurations with programs in EPROM. In addi-in a PC, or in standalone configurations with programs in EPROM. In addi-tion, the TIGER 31/IP has a PC/104 bus that supports any standard PC/104 tion, the TIGER 31/IP has a PC/104 bus that supports any standard PC/104 module, including 486 CPU modules. This makes it possible to build a high-module, including 486 CPU modules. This makes it possible to build a high-performance compact PC-DSP product in a regular PC with standard tools.performance compact PC-DSP product in a regular PC with standard tools.
Development software support includes the TIGER QuickSTART Soft-Development software support includes the TIGER QuickSTART Soft-ware Development Environment, the TIGER PowerPack Math/DSP/Vector ware Development Environment, the TIGER PowerPack Math/DSP/Vector Library, and comprehensive compiler, operating system, and debugging Library, and comprehensive compiler, operating system, and debugging options. The TIGER 31/IP board, like all DSP Research ’C3x-based boards, options. The TIGER 31/IP board, like all DSP Research ’C3x-based boards, easily upgrades to an XDS510-compatible ICE with the addition of the easily upgrades to an XDS510-compatible ICE with the addition of the TIGER SmartPOD.TIGER SmartPOD.
3-68
DSP Research, Inc.
Development Hardware/Plug-In ISA Board
Product Name: TIGER 30Platforms Supported: PC
Devices Supported: TMS320C30
Features and Benefits
•• Up to 4-Mbytes zero-wait-state SRAM; up to 64-Mbyte DRAMUp to 4-Mbytes zero-wait-state SRAM; up to 64-Mbyte DRAM•• Two channels of analog I/O with built-in filters; on-board SCSITwo channels of analog I/O with built-in filters; on-board SCSI•• Two 100-Mbps 32-bit bus interfaces for prototyping or external Two 100-Mbps 32-bit bus interfaces for prototyping or external
equipmentequipment•• Flexible ISA bus interface with DMA and interrupt supportFlexible ISA bus interface with DMA and interrupt support•• Converts to XDS510-compatible ICE with TIGER SmartPODConverts to XDS510-compatible ICE with TIGER SmartPOD
Product Description
The TIGER 30 is a high-performance digital signal-processing board which The TIGER 30 is a high-performance digital signal-processing board which runs at up to 50 MHz, for 50-MFLOPS floating-point performance. With the runs at up to 50 MHz, for 50-MFLOPS floating-point performance. With the TIGER co-processor daughterboard, performance is increased to 90 TIGER co-processor daughterboard, performance is increased to 90 MFLOPS.MFLOPS.
Hardware expansion is easy and flexible, with two daughterboard con-Hardware expansion is easy and flexible, with two daughterboard con-nectors, one for memory or video subsystems, the other for I/O expansion nectors, one for memory or video subsystems, the other for I/O expansion or co-processor cards. Both expansion connectors give direct access to the or co-processor cards. Both expansion connectors give direct access to the 32-bit memory buses of the TMS320C30, allowing for high-performance 32-bit memory buses of the TMS320C30, allowing for high-performance daughterboard designs. The TIGER 30 board, like all DSP Research ’C3x-daughterboard designs. The TIGER 30 board, like all DSP Research ’C3x-based boards, easily upgrades to an XDS510-compatible ICE with the addi-based boards, easily upgrades to an XDS510-compatible ICE with the addi-tion of the TIGER SmartPOD.tion of the TIGER SmartPOD.
Included on the TIGER 30 are two A/D-D/A channels, ideal for control Included on the TIGER 30 are two A/D-D/A channels, ideal for control and speech-processing applications. With the optional two-line telephone and speech-processing applications. With the optional two-line telephone interface, the TIGER 30 is perfect for fax and modem development. Also interface, the TIGER 30 is perfect for fax and modem development. Also included on the board is a SCSI controller for high-bandwidth local storage.included on the board is a SCSI controller for high-bandwidth local storage.
Comprehensive development software support includes the TIGER Comprehensive development software support includes the TIGER QuickSTART Software Development Environment, the TIGER PowerPack QuickSTART Software Development Environment, the TIGER PowerPack Math/DSP/Vector Library, and optimizing C/C++ compiler, operating sys-Math/DSP/Vector Library, and optimizing C/C++ compiler, operating sys-tem, and debugging options. DSP applications include DSPworks and tem, and debugging options. DSP applications include DSPworks and QEDesign, Hypersignal, and ready-to-run vocoder/fax/modem algorithms.QEDesign, Hypersignal, and ready-to-run vocoder/fax/modem algorithms.
3-69
DSP Research, Inc.
Development Hardware/Emulator
Product Name: TIGER TEM30Platforms Supported: PCDevices Supported: TMS320C3x devices
Features and Benefits
•• Emulation at full device speed, up to 90 MHzEmulation at full device speed, up to 90 MHz•• 25-MIPS on-board co-processor speeds emulation25-MIPS on-board co-processor speeds emulation•• Extra long 10-foot emulator cable; very-thin TIGER SmartPODExtra long 10-foot emulator cable; very-thin TIGER SmartPOD•• Choice of Code Composer, TI DB30, or Tartan debuggersChoice of Code Composer, TI DB30, or Tartan debuggers•• Texas Instruments XDS510 compatible; three times as fastTexas Instruments XDS510 compatible; three times as fast•• NEW - Support for Windows NTNEW - Support for Windows NT
Product Description
The TIGER TEM30 Emulator for the TMS320C3x is a full-speed in-circuit The TIGER TEM30 Emulator for the TMS320C3x is a full-speed in-circuit emulator for ’C30, ’C31, and ’C32 devices running at up to 90 MHz. With its emulator for ’C30, ’C31, and ’C32 devices running at up to 90 MHz. With its 25-MIPS on-board co-processor, it is the only emulator designed to support 25-MIPS on-board co-processor, it is the only emulator designed to support future TMS320C3x devices running at higher than 60-MHz clock speed. future TMS320C3x devices running at higher than 60-MHz clock speed. The emulation co-processor speeds emulation, increasing the speed of pro-The emulation co-processor speeds emulation, increasing the speed of pro-gram download and other tasks as much as four times compared to the TI gram download and other tasks as much as four times compared to the TI XDS510 and other compatible emulators. Interfacing via the target proces-XDS510 and other compatible emulators. Interfacing via the target proces-sor’s built-in scan path, the emulator gives the user full control over the sor’s built-in scan path, the emulator gives the user full control over the device, and every memory location and register in the target system.device, and every memory location and register in the target system.
Debugger choices for the TIGER TEM30 include:Debugger choices for the TIGER TEM30 include:•• Texas Instruments DB30 (TIGER DB30)Texas Instruments DB30 (TIGER DB30)•• Code Composer from GO DSPCode Composer from GO DSP•• Tartan TDBTartan TDB
Complete emulator packages including the Complete emulator packages including the Texas Instruments C Compiler and Tools, Texas Instruments C Compiler and Tools, Tartan’s C/C++ Compiler and Tools, and the Tartan’s C/C++ Compiler and Tools, and the TIGER PowerPack Math/DSP/Vector Library are TIGER PowerPack Math/DSP/Vector Library are also available.also available.
If you already own a Texas Instruments If you already own a Texas Instruments EVM30 board, a low-cost softEVM30 board, a low-cost software and TIGER ware and TIGER SmartPOD package is available to upgrade it to an SmartPOD package is available to upgrade it to an emulator.emulator.
Code Composer from GO DSPCode Composer from GO DSP
3-70
DSP Research, Inc.
Development Hardware/Plug-In SBus Board
Product Name: TIGER 31/SBusPlatforms Supported: Sun
Devices Supported: TMS320C31
Features and Benefits
•• TMS320C31 running at 40 MHz/40 MFLOPSTMS320C31 running at 40 MHz/40 MFLOPS•• Up to 512 kbytes of zero-wait-state static RAMUp to 512 kbytes of zero-wait-state static RAM•• On-board A/D and D/A converterOn-board A/D and D/A converter•• All DSP memory can be mapped into SPARC application spaceAll DSP memory can be mapped into SPARC application space•• TIGER SmartPOD converts the TIGER 31/SBus to an emulator TIGER SmartPOD converts the TIGER 31/SBus to an emulator
Product Description
TIGER 31/SBus is a co-processor board for SPARC™ systems, based on the TIGER 31/SBus is a co-processor board for SPARC™ systems, based on the TMS320C31 processor from Texas Instruments. It provides 40 MFLOPS of TMS320C31 processor from Texas Instruments. It provides 40 MFLOPS of floating-point performance for computationally-intensive applications such floating-point performance for computationally-intensive applications such as speech and image compression. It comes with a flexible development as speech and image compression. It comes with a flexible development environment, yet is inexpensive enough to be used as an application board. environment, yet is inexpensive enough to be used as an application board. Available software includes:Available software includes:
•• Complete software support including standard C and graphics Complete software support including standard C and graphics librarieslibraries
•• Optimizing C compiler, linker, assembler, and loaderOptimizing C compiler, linker, assembler, and loader•• C source-level debuggerC source-level debugger•• TIGER PowerPack Math/DSP/Vector libraryTIGER PowerPack Math/DSP/Vector library
3-71
DSP Research, Inc.
Development Hardware/Emulator
Product Name: TIGER TEM30SPlatforms Supported: SunDevices Supported: TMS320C3x devices
Features and Benefits
•• 40-MHz co-processor speeds emulation; up to four times faster40-MHz co-processor speeds emulation; up to four times faster•• Emulation at full device speed, up to 60 MHzEmulation at full device speed, up to 60 MHz•• Extra-long 10-foot cable to target; very-thin TIGER SmartPODExtra-long 10-foot cable to target; very-thin TIGER SmartPOD•• Single SBus slot; easy to install, easy to reconfigureSingle SBus slot; easy to install, easy to reconfigure•• Texas Instruments XDS510WS compatibleTexas Instruments XDS510WS compatible
Product Description
The TIGER TEM30S Emulator is an advanced in-circuit emulator for Sun The TIGER TEM30S Emulator is an advanced in-circuit emulator for Sun SPARCstations™ or compatible hosts. It provides all the features necessary SPARCstations™ or compatible hosts. It provides all the features necessary to perform full-speed in-circuit emulation for ’C30, ’C31, and ’C32 devices to perform full-speed in-circuit emulation for ’C30, ’C31, and ’C32 devices running at up to 60 MHz. It interfaces via the TIGER SmartPOD to the tar-running at up to 60 MHz. It interfaces via the TIGER SmartPOD to the tar-get system via the built-in scan-path interface, giving the user full control get system via the built-in scan-path interface, giving the user full control over the ’C3x, and every memory location and register in the target system. over the ’C3x, and every memory location and register in the target system. The emulation co-processor speeds emulation, increasing the speed of pro-The emulation co-processor speeds emulation, increasing the speed of pro-gram download and other tasks as much as four times compared to the TI gram download and other tasks as much as four times compared to the TI XDS510WS and other compatible emulators. The user interface is identical XDS510WS and other compatible emulators. The user interface is identical to that of the DB30 Debugger, providing a seamless transition from code to that of the DB30 Debugger, providing a seamless transition from code development on TIGER hardware to final integration and debugging on the development on TIGER hardware to final integration and debugging on the target system.target system.
Software options for the TIGER TEM30S include:Software options for the TIGER TEM30S include:•• Texas Instruments C compiler, assembler, linker, and toolsTexas Instruments C compiler, assembler, linker, and tools•• Tartan’s C/C++ compiler, assembler, linker, and debugger Tartan’s C/C++ compiler, assembler, linker, and debugger •• TIGER PowerPack Math/DSP/Vector library TIGER PowerPack Math/DSP/Vector library
3-72
DSP Research, Inc.
Development Hardware/Plug-In ISA Board
Product Name: TIGER 40Platforms Supported: PC
Devices Supported: TMS320C40
Features and Benefits
•• TMS320C40 running at 40 or 50 MHzTMS320C40 running at 40 or 50 MHz•• Up to 8 Mbytes of zero-wait-state static RAM on-boardUp to 8 Mbytes of zero-wait-state static RAM on-board•• DT-Connect interface for analog I/O or image processingDT-Connect interface for analog I/O or image processing•• Six 20-Mbps parallel ports connect to other TIGER 40/440 boardsSix 20-Mbps parallel ports connect to other TIGER 40/440 boards•• Extensive operating system, compiler, and application supportExtensive operating system, compiler, and application support
Product Description
The TIGER 40, a PC plug-in board with one ’C40 processor running at 40 or The TIGER 40, a PC plug-in board with one ’C40 processor running at 40 or 50 MHz, provides up to 50-MFLOPS performance.50 MHz, provides up to 50-MFLOPS performance.
Featuring a very flexible 16-bit host interface, it supports both bi-direc-Featuring a very flexible 16-bit host interface, it supports both bi-direc-tional DMA and interrupts to the PC host. In addition, all of the memory on tional DMA and interrupts to the PC host. In addition, all of the memory on the local bus is shared with the PC host for easy access. With all six ’C40 the local bus is shared with the PC host for easy access. With all six ’C40 communication ports available on standard headers, full multiprocessing is communication ports available on standard headers, full multiprocessing is derived by connection to other TIGER 40 or quad-processor TIGER 440 derived by connection to other TIGER 40 or quad-processor TIGER 440 boards (see below).boards (see below).
The TIGER 40 is ideally suited for hardware and software prototyping. The TIGER 40 is ideally suited for hardware and software prototyping. Hardware expansion is easy and flexible, with two daughterboard connec-Hardware expansion is easy and flexible, with two daughterboard connec-tors, one for memory or video subsystems, the other for I/O expansion or tors, one for memory or video subsystems, the other for I/O expansion or co-processor cards. Both expansion connectors give direct access to the co-processor cards. Both expansion connectors give direct access to the 32-bit memory buses of the TMS320C40, allowing for high-performance 32-bit memory buses of the TMS320C40, allowing for high-performance daughterboard designs.daughterboard designs.
Available development software includes:Available development software includes:
•• SPOX MP, Parallel C, or VirtuosoSPOX MP, Parallel C, or Virtuoso®® operating systems operating systems•• TI or Tartan optimizing C/C++ compiler, linker, assembler, and TI or Tartan optimizing C/C++ compiler, linker, assembler, and
loaderloader•• TIGER PowerPack Math/DSP/Vector libraryTIGER PowerPack Math/DSP/Vector library
3-73
DSP Research, Inc.
Development Hardware/Plug-In ISA Board, Other
Product Name: TIGER 440Platforms Supported: PC, standalone MP24 units
Devices Supported: TMS320C40
Features and Benefits
•• Four TMS320C40 processors running at 40 or 50 MHzFour TMS320C40 processors running at 40 or 50 MHz•• Up to 16 Mbytes of zero-wait-state static RAM on-boardUp to 16 Mbytes of zero-wait-state static RAM on-board•• Sixteen 20-Mbps parallel ports connect to other ’C40 boardsSixteen 20-Mbps parallel ports connect to other ’C40 boards•• Host I/O and boot operation through TIGER 40 or TIGER 40/SBus Host I/O and boot operation through TIGER 40 or TIGER 40/SBus
boardboard•• Standalone MP processor system with up to 24 processors per unitStandalone MP processor system with up to 24 processors per unit
Product Description
The TIGER 440 is a four-processor ’C40 board providing up to 200 MFLOPS The TIGER 440 is a four-processor ’C40 board providing up to 200 MFLOPS of performance. The TIGER 440 has eight dedicated communication ports of performance. The TIGER 440 has eight dedicated communication ports for on-board processor links, while the remaining 16 ports are available for for on-board processor links, while the remaining 16 ports are available for connection to other TIGER 440, TIGER 40, TIGER 40/SBus, or any other connection to other TIGER 440, TIGER 40, TIGER 40/SBus, or any other ’C40-based board. The TIGER 440 can be configured with between 0 and 16 ’C40-based board. The TIGER 440 can be configured with between 0 and 16 Mbytes of zero-wait-state static RAM.Mbytes of zero-wait-state static RAM.
A standalone 24-processor MP24 unit is also available, featuring six A standalone 24-processor MP24 unit is also available, featuring six interconnected TIGER 440 boards in a self-contained compact chassis, interconnected TIGER 440 boards in a self-contained compact chassis, including power supply. The MP24 unit provides a high-performance multi-including power supply. The MP24 unit provides a high-performance multi-processor solution at a very low cost.processor solution at a very low cost.
Available software includes:Available software includes:•• SPOX, Parallel C, or Virtuoso operating systemsSPOX, Parallel C, or Virtuoso operating systems•• Optimizing C/C++ compiler, linker, assembler, and loaderOptimizing C/C++ compiler, linker, assembler, and loader•• TIGER PowerPack Math/DSP/Vector libraryTIGER PowerPack Math/DSP/Vector library
3-74
DSP Research, Inc.
Development Hardware/Emulator
Product Name: TIGER TEM40Platforms Supported: PCDevices Supported: TMS320C4x devices
Features and Benefits
•• True multi-processor emulator for Microsoft Windows/Windows 95True multi-processor emulator for Microsoft Windows/Windows 95•• TIGER Debug Manager controls hardware parallel executionTIGER Debug Manager controls hardware parallel execution•• 20 MIPS on-board co-processor speeds emulation20 MIPS on-board co-processor speeds emulation•• Emulation at full device speed, up to 100 MHz or moreEmulation at full device speed, up to 100 MHz or more•• TI XDS510 compatible; choice of DB40 or Code ComposerTI XDS510 compatible; choice of DB40 or Code Composer
Product Description
The TIGER TEM40 Emulator for the TMS320C4x is an advanced in-circuit The TIGER TEM40 Emulator for the TMS320C4x is an advanced in-circuit emulator, for IBM PC or compatible hosts. It provides a choice of debug-emulator, for IBM PC or compatible hosts. It provides a choice of debug-gers: Texas Instruments DB40 running under Microsoft Windows (no OS/2 gers: Texas Instruments DB40 running under Microsoft Windows (no OS/2 required) or the Code Composer debugger from GO DSP. It provides all the required) or the Code Composer debugger from GO DSP. It provides all the features necessary to perform full-speed in-circuit emulation for any num-features necessary to perform full-speed in-circuit emulation for any num-ber of ’C4x devices running at up to 100 MHz. The on-board 20-MIPS co-ber of ’C4x devices running at up to 100 MHz. The on-board 20-MIPS co-processor speeds emulation by off-loading the host PC, and the thin TIGER processor speeds emulation by off-loading the host PC, and the thin TIGER SmartPOD has a 10-foot cable to connect to the target system. TEM40 SmartPOD has a 10-foot cable to connect to the target system. TEM40 interfaces to the devices via the built-in JTAG scan-path interface, giving interfaces to the devices via the built-in JTAG scan-path interface, giving the user full control over the device, and every memory location and regis-the user full control over the device, and every memory location and regis-ter in the target system. A JTAG extender is available for multiboard con-ter in the target system. A JTAG extender is available for multiboard con-figurations. figurations.
Other software options for the TIGER TEM40 include:Other software options for the TIGER TEM40 include:•• Texas Instruments C compiler, assembler, linker, and toolsTexas Instruments C compiler, assembler, linker, and tools•• Tartan’s C/C++ compiler, assembler, linker, and debuggerTartan’s C/C++ compiler, assembler, linker, and debugger•• TIGER PowerPack Math/DSP/Vector library TIGER PowerPack Math/DSP/Vector library
3-75
DSP Research, Inc.
Development Hardware/Plug-In SBus Board
Product Name: TIGER 40/SBusPlatforms Supported: Sun
Devices Supported: TMS320C40
Features and Benefits
•• TMS320C40 running at 40 or 50 MHzTMS320C40 running at 40 or 50 MHz•• 1 Mbyte of zero-wait-state static RAM on-board 1 Mbyte of zero-wait-state static RAM on-board •• All DSP memory can be mapped into SPARC application spaceAll DSP memory can be mapped into SPARC application space•• Six 20-Mbps parallel ports connect to other ’C4x boardsSix 20-Mbps parallel ports connect to other ’C4x boards•• DB40 C/ASM C source-level debuggerDB40 C/ASM C source-level debugger
Product Description
The TIGER 40/SBus is a single ’C40 plug-in board for SBus-based machines, The TIGER 40/SBus is a single ’C40 plug-in board for SBus-based machines, such as the Sun SPARCstation. Its six ’C40 communication ports are all such as the Sun SPARCstation. Its six ’C40 communication ports are all available on headers for easy connection to other ’C40 systems, such as the available on headers for easy connection to other ’C40 systems, such as the Texas Instruments PPDS, TIGER 440 (see above), Mizar, or Ariel boards. Texas Instruments PPDS, TIGER 440 (see above), Mizar, or Ariel boards. With a fast and flexible SBus interface, it supports both DMA and interrupt-With a fast and flexible SBus interface, it supports both DMA and interrupt-driven host communications, and runs under SunOS 4.1.x or Solaris 2.x. driven host communications, and runs under SunOS 4.1.x or Solaris 2.x. Available software includes:Available software includes:•• Complete Software Support Package, including standard C and graph-Complete Software Support Package, including standard C and graph-
ics librariesics libraries•• Parallel C Multiprocessor Operating System from 3LParallel C Multiprocessor Operating System from 3L•• TIGER PowerPack Math/DSP/Vector libraryTIGER PowerPack Math/DSP/Vector library•• Optional optimizing C/C++ compiler, linker, assembler, and loader Optional optimizing C/C++ compiler, linker, assembler, and loader •• Support for SPOX MP from Spectron MicrosystemsSupport for SPOX MP from Spectron Microsystems
3-76
DSP Research, Inc.
Development Hardware/Emulator
Product Name: TIGER TEM40SPlatforms Supported: SunDevices Supported: TMS320C4x devices
Features and Benefits
•• Native X Windows implementation with true Motif look and feel Native X Windows implementation with true Motif look and feel •• Runs any number of ’C4x target devicesRuns any number of ’C4x target devices•• Emulation at full device speed, up to 100 MHz or moreEmulation at full device speed, up to 100 MHz or more•• Single SPARC process; efficient use of screen real estateSingle SPARC process; efficient use of screen real estate•• 20-MIPS on-board co-processor; thin pod with 10-foot cable to target20-MIPS on-board co-processor; thin pod with 10-foot cable to target
Product Description
The TIGER TEM40S Emulator for TMS320C4x devices is an advanced The TIGER TEM40S Emulator for TMS320C4x devices is an advanced in-circuit emulator, based around a Sun Workstation. It provides all the fea-in-circuit emulator, based around a Sun Workstation. It provides all the fea-tures necessary to perform full-speed in-circuit emulation for large num-tures necessary to perform full-speed in-circuit emulation for large num-bers of ’C4x devices running at up to 100 MHz or more. It interfaces to the bers of ’C4x devices running at up to 100 MHz or more. It interfaces to the devices via the built-in JTAG scan-path interface, giving the user full con-devices via the built-in JTAG scan-path interface, giving the user full con-trol over the device, and every memory location and register in the target trol over the device, and every memory location and register in the target system.system.
The user interface is true X Windows/Motif, with a single SPARC pro-The user interface is true X Windows/Motif, with a single SPARC pro-cess controlling any number of ’C4x devices in an efficient manner. Any cess controlling any number of ’C4x devices in an efficient manner. Any number of windows can be opened. Processors can also be controlled with-number of windows can be opened. Processors can also be controlled with-out having any windows open for that specific processor. This means that a out having any windows open for that specific processor. This means that a large number of processors can be debugged without running out of screen large number of processors can be debugged without running out of screen real estate, SPARC processes, or memory.real estate, SPARC processes, or memory.
Software options for the TEM40S include:Software options for the TEM40S include:•• Texas Instruments C compiler, assembler, linker, and toolsTexas Instruments C compiler, assembler, linker, and tools•• Tartan’s C/C++ compiler, assembler, linker, and debugger Tartan’s C/C++ compiler, assembler, linker, and debugger •• TIGER PowerPack Math/DSP/Vector libraryTIGER PowerPack Math/DSP/Vector library
3-77
DSP Research, Inc.
Development Hardware/Plug-In ISA Board
Product Name: TIGER 5XFPlatforms Supported: PC
Devices Supported: TMS320C50, TMS320C51, TMS320C52, TMS320C53
Features and Benefits
•• TMS320C50/’C51/’C52/’C53 running at up to 80 MHzTMS320C50/’C51/’C52/’C53 running at up to 80 MHz•• Up to 256 kbytes of zero-wait-state SRAM; up to 64 kbytes of EPROMUp to 256 kbytes of zero-wait-state SRAM; up to 64 kbytes of EPROM•• One channel of A/D-D/A; standard analog telephone lineOne channel of A/D-D/A; standard analog telephone line•• On-board UART for standalone modem and fax applicationsOn-board UART for standalone modem and fax applications•• Comprehensive development software Comprehensive development software
Product Description
The TIGER 5XF is a PC plug-in board featuring a choice of TMS320C50/The TIGER 5XF is a PC plug-in board featuring a choice of TMS320C50/’C51/’C52/’C53 processor. It is available in speeds of up to 80 MHz for a full ’C51/’C52/’C53 processor. It is available in speeds of up to 80 MHz for a full 40 MIPS of performance. A flexible host interface includes a debug port, 40 MIPS of performance. A flexible host interface includes a debug port, making the TIGER 5XF an ideal PC development board. The TIGER 5XF making the TIGER 5XF an ideal PC development board. The TIGER 5XF features both EPROM and a UART, making it also ideal for standalone fax/features both EPROM and a UART, making it also ideal for standalone fax/modem applications and development. Available software includes:modem applications and development. Available software includes:
•• TIGER QuickSTART Development EnvironmentTIGER QuickSTART Development Environment•• C compiler, linker, assembler, and loaderC compiler, linker, assembler, and loader•• Choice of windowed C source-level debuggersChoice of windowed C source-level debuggers•• Wide variety of speech-compression algorithms, including LD-Wide variety of speech-compression algorithms, including LD-
CELPCELP•• Modem algorithms, including V.32Modem algorithms, including V.32•• Complete Solution software/hardware bundles Complete Solution software/hardware bundles
3-78
DSP Research, Inc.
Development Hardware/Plug-In ISA Board
Product Name: TIGER 5XEPlatforms Supported: PC
Devices Supported: TMS320C5x
Features and Benefits
•• TMS320C5x running at up 40 MHzTMS320C5x running at up 40 MHz•• 512-kbytes bank-switched SRAM in four banks512-kbytes bank-switched SRAM in four banks•• Up to 64 kbytes of EPROMUp to 64 kbytes of EPROM•• One channel of A/D-D/AOne channel of A/D-D/A•• On-board JTAG interface for debuggingOn-board JTAG interface for debugging
Product Description
The TIGER 5XE is a PC plug-in board featuring a TMS320C5x processor. The TIGER 5XE is a PC plug-in board featuring a TMS320C5x processor. Featuring bank-switched RAM, it is intended for hardware developers Featuring bank-switched RAM, it is intended for hardware developers building ’C5x DSP systems requiring large amounts of program/data space. building ’C5x DSP systems requiring large amounts of program/data space. A flexible host interface includes a debug port, making the TIGER 5XE an A flexible host interface includes a debug port, making the TIGER 5XE an ideal PC development board. Available software includes:ideal PC development board. Available software includes:
•• Windowed C source-level debugger with support for bank-switch-Windowed C source-level debugger with support for bank-switch-ing hardwareing hardware
•• SPOX 2.0 operating system with support for bank switching SPOX 2.0 operating system with support for bank switching •• Complete Software Support Package, including standard C and Complete Software Support Package, including standard C and
graphics librariesgraphics libraries•• Optimizing C compiler, linker, assembler, and loaderOptimizing C compiler, linker, assembler, and loader
3-79
DSP Research, Inc.
Development Hardware/Emulator
Product Name: TIGER TEM50Platforms Supported: PCDevices Supported: TMS320C5x devices
Features and Benefits
•• 20-MIPS on-board co-processor speeds emulation20-MIPS on-board co-processor speeds emulation•• 5-V and 3.3-V support5-V and 3.3-V support•• Emulation at full device speed, up to 100 MHz or moreEmulation at full device speed, up to 100 MHz or more•• 10-foot target cable with very-thin TIGER SmartPOD10-foot target cable with very-thin TIGER SmartPOD•• XDS510 compatibleXDS510 compatible
Product Description
The TIGER TEM50 Emulator is an advanced in-circuit emulator for IBM PC The TIGER TEM50 Emulator is an advanced in-circuit emulator for IBM PC or compatible hosts. It provides all the features necessary to perform full or compatible hosts. It provides all the features necessary to perform full speed in-circuit emulation for ’C50, ’C51, ’C52, ’C53, ’C56, or ’C57 devices speed in-circuit emulation for ’C50, ’C51, ’C52, ’C53, ’C56, or ’C57 devices running at up to 100 MHz or more. It interfaces to the devices via the built-running at up to 100 MHz or more. It interfaces to the devices via the built-in scan-path interface, giving the user full control over the device, and in scan-path interface, giving the user full control over the device, and every memory location and register in the target system.every memory location and register in the target system.
Software options for the TEM50 include:Software options for the TEM50 include:•• Texas Instruments DB50 (TIGER DB50)Texas Instruments DB50 (TIGER DB50)•• Code Composer from GO DSPCode Composer from GO DSP•• Texas Instruments C compiler, assembler, linker, and toolsTexas Instruments C compiler, assembler, linker, and tools
3-80
DSP Research, Inc.
Development Hardware/Emulator
Product Name: TIGER TEM50EPlatforms Supported: PCDevices Supported: TMS320C5x devices
Features and Benefits
•• Support for bank-switched expanded ’C5x memorySupport for bank-switched expanded ’C5x memory•• 20-MIPS on-board co-processor speeds emulation20-MIPS on-board co-processor speeds emulation•• 5-V and 3.3-V support5-V and 3.3-V support•• Emulation at full device speed, up to 100 MHz or moreEmulation at full device speed, up to 100 MHz or more•• 10-foot target cable with very thin TIGER SmartPOD10-foot target cable with very thin TIGER SmartPOD
Product Description
The TIGER TEM50 Emulator is an advanced in-circuit emulator for IBM PC The TIGER TEM50 Emulator is an advanced in-circuit emulator for IBM PC or compatible hosts. It provides extensions to the Texas Instruments DB50 or compatible hosts. It provides extensions to the Texas Instruments DB50 debugger to support bank-switched memory. Using the normal TI C Com-debugger to support bank-switched memory. Using the normal TI C Com-piler and tools, the TEM50E allows you to specify bank-switching register piler and tools, the TEM50E allows you to specify bank-switching register locations and layout. As you debug your code, the correct bank is always locations and layout. As you debug your code, the correct bank is always displayed. The T5XE board (see above) is available with simple bank-displayed. The T5XE board (see above) is available with simple bank-switching hardware for proof of concept.switching hardware for proof of concept.
The TIGER TEM50E provides all the features necessary to perform The TIGER TEM50E provides all the features necessary to perform full-speed in-circuit emulation for ’C50, ’C51, ’C52, ’C53, ’C56, or ’C57 full-speed in-circuit emulation for ’C50, ’C51, ’C52, ’C53, ’C56, or ’C57 devices running at up to 100 MHz or more. It interfaces to the device via devices running at up to 100 MHz or more. It interfaces to the device via the built-in scan-path interface, giving the user full control over the device, the built-in scan-path interface, giving the user full control over the device, and every memory location and register in the target system.and every memory location and register in the target system.
3-81
DSP Research, Inc.
Development Hardware/Emulator
Product Name: TIGER TEM50SPlatforms Supported: SunDevices Supported: TMS320C5x devices
Features and Benefits
•• 20-MIPS on-board co-processor speeds emulation20-MIPS on-board co-processor speeds emulation•• Emulation at full device speed, up to 100 MHz or moreEmulation at full device speed, up to 100 MHz or more•• 10-foot target cable with very thin TIGER SmartPOD 10-foot target cable with very thin TIGER SmartPOD •• Easy to install in a single-wide SBus slotEasy to install in a single-wide SBus slot•• XDS510WS compatibleXDS510WS compatible
Product Description
The TIGER TEM50S Emulator for the TMS320C5x is an advanced in-circuit The TIGER TEM50S Emulator for the TMS320C5x is an advanced in-circuit emulator for Sun SPARCstations or compatible hosts. It provides all the emulator for Sun SPARCstations or compatible hosts. It provides all the features necessary to perform full-speed in-circuit emulation for ’C50, ’C51, features necessary to perform full-speed in-circuit emulation for ’C50, ’C51, ’C52, ’C53, ’C56, or ’C57 devices running at up to 100 MHz or more. It inter-’C52, ’C53, ’C56, or ’C57 devices running at up to 100 MHz or more. It inter-faces to the device via the built-in scan-path interface, giving the user full faces to the device via the built-in scan-path interface, giving the user full control over the device and every memory location and register in the tar-control over the device and every memory location and register in the tar-get system. The user interface is identical to the TIGER DB50 Debugger, get system. The user interface is identical to the TIGER DB50 Debugger, providing a seamless transition from code development on TIGER hard-providing a seamless transition from code development on TIGER hard-ware to final integration and debugging on the target system.ware to final integration and debugging on the target system.
Software options for the TEM50S include Texas Instruments C com-Software options for the TEM50S include Texas Instruments C com-piler, assembler, linker, and simulator. piler, assembler, linker, and simulator.
3-82
DSP Research, Inc.
Development Hardware/Plug-In ISA Board
Product Name: TIGER 542Platforms Supported: PC
Devices Supported: TMS320C542
Features and Benefits
•• TMS320C542 running at 80 MHzTMS320C542 running at 80 MHz•• Up to 256 kbytes of zero-wait-state SRAM; up to 256 kbytes of EPROMUp to 256 kbytes of zero-wait-state SRAM; up to 256 kbytes of EPROM•• Stereo 16-bit, 50-kHz CD-quality analog I/O, plus telephone interfaceStereo 16-bit, 50-kHz CD-quality analog I/O, plus telephone interface•• Supports ’C542 8-bit parallel host port interface (HPI)Supports ’C542 8-bit parallel host port interface (HPI)•• On-board UART for standalone modem and fax applicationsOn-board UART for standalone modem and fax applications•• Comprehensive development software Comprehensive development software
Product Description
The TIGER 542 is a PC plug-in board featuring a TMS320C542 processor. It The TIGER 542 is a PC plug-in board featuring a TMS320C542 processor. It is available in speeds of up to 80 MHz for a full 40 MIPS of performance. is available in speeds of up to 80 MHz for a full 40 MIPS of performance. The board supports the ’C542 8-bit parallel host port interface (HPI), The board supports the ’C542 8-bit parallel host port interface (HPI), allowing shared access of the ’C542 2k-word HPI RAM. A flexible PC-host allowing shared access of the ’C542 2k-word HPI RAM. A flexible PC-host interface includes a debug port, making the TIGER 542 an ideal PC devel-interface includes a debug port, making the TIGER 542 an ideal PC devel-opment board. The TIGER 542 features both EPROM and a UART, making opment board. The TIGER 542 features both EPROM and a UART, making it also ideal for standalone fax/modem applications and development.it also ideal for standalone fax/modem applications and development.
Input and output features include two channels of 16-bit, 50-kHz CD-Input and output features include two channels of 16-bit, 50-kHz CD-quality analog I/O, with standard stereo mini-phone jacks for microphone quality analog I/O, with standard stereo mini-phone jacks for microphone and line-level input, and line output. For fax and modem applications, the and line-level input, and line output. For fax and modem applications, the TIGER 542 has a built-in standard analog telephone interface. The on-TIGER 542 has a built-in standard analog telephone interface. The on-board UART can be used for standalone modem and fax applications. Avail-board UART can be used for standalone modem and fax applications. Avail-able software includes:able software includes:
•• TIGER QuickSTART development environmentTIGER QuickSTART development environment•• C compiler, linker, assembler, and loaderC compiler, linker, assembler, and loader•• Choice of windowed C source-level debuggersChoice of windowed C source-level debuggers•• Wide variety of speech-compression algorithms, including LD-Wide variety of speech-compression algorithms, including LD-
CELPCELP•• Complete Solution software/hardware bundlesComplete Solution software/hardware bundles
3-83
DSP Research, Inc.
Development Hardware/Plug-In ISA Board
Product Name: TIGER �C31 Co-ProcessorPlatforms Supported: PC
Devices Supported: TMS320C31
Features and Benefits
•• On-board ’C31 running at 40 MHzOn-board ’C31 running at 40 MHz•• Up to 32 kwords of zero-wait-state static RAMUp to 32 kwords of zero-wait-state static RAM•• 4 kwords of dual-port memory for communicating with the TIGER 4 kwords of dual-port memory for communicating with the TIGER
boardboard
Product Description
The TIGER ’C31 Co-Processor is a daughterboard for the TIGER 30. It fea-The TIGER ’C31 Co-Processor is a daughterboard for the TIGER 30. It fea-tures an on-board TMS320C31 processor, effectively doubling the compu-tures an on-board TMS320C31 processor, effectively doubling the compu-tational power of the TIGER system. Communication with the main ’C30 on tational power of the TIGER system. Communication with the main ’C30 on the TIGER 30 is via dual-port SRAM.the TIGER 30 is via dual-port SRAM.
3-84
DSP Research, Inc.
Development Hardware/Plug-In ISA Board
Product Name: TIGER DAI Digital Audio Interface BoardPlatforms Supported: PC
Devices Supported: TMS320C30, TMS320C40
Features and Benefits
•• ANSI 4.40 industry-standard (AES/EBU) serial digital-audio interfaceANSI 4.40 industry-standard (AES/EBU) serial digital-audio interface•• 4 kwords of dual-port memory for communicating with the TIGER 4 kwords of dual-port memory for communicating with the TIGER
boardboard•• 48-kHz sample clock for professional digital studios48-kHz sample clock for professional digital studios•• 44.1-kHz sample clock for CD and DAT systems44.1-kHz sample clock for CD and DAT systems•• 32-kHz sample clock for digital broadcast systems32-kHz sample clock for digital broadcast systems
Product Description
The TIGER DAI is a daughterboard for the TIGER 30 or TIGER 40 which The TIGER DAI is a daughterboard for the TIGER 30 or TIGER 40 which allows the TIGER board to interface to the AES/EBU standard digital-audio allows the TIGER board to interface to the AES/EBU standard digital-audio interface. It features an on-board TMS320C31 processor for handling the interface. It features an on-board TMS320C31 processor for handling the interface, and performing such tasks as down- and up-sampling. This effec-interface, and performing such tasks as down- and up-sampling. This effec-tively doubles the computational power of the TIGER system, since the tively doubles the computational power of the TIGER system, since the ’C31 adds 40 MFLOPS to the system. Features include connectors for pro-’C31 adds 40 MFLOPS to the system. Features include connectors for pro-fessional audio, consumer, and optical interfaces.fessional audio, consumer, and optical interfaces.
3-85
DSP Research, Inc.
Development Hardware/Plug-In ISA Board
Product Name: TIGER TIB Two-Line Telephone-Interface BoardPlatforms Supported: PC
Devices Supported: TMS320C30
Features and Benefits
•• Supports modem speeds to V.34Supports modem speeds to V.34•• FCC Part 68 approvedFCC Part 68 approved•• Switched or leased line operation; 2-wire or 4-wireSwitched or leased line operation; 2-wire or 4-wire•• Off-hook detection and ring-detect status bitsOff-hook detection and ring-detect status bits•• Excessive transmit-signal detectExcessive transmit-signal detect
Product Description
The TIGER TIB is a daughterboard for the TIGER 30, providing an inter-The TIGER TIB is a daughterboard for the TIGER 30, providing an inter-face from the TIGER 30 to two standard telephone lines. The TIGER TIB is face from the TIGER 30 to two standard telephone lines. The TIGER TIB is suitable for developing DSP applications such as FAX modems, full-duplex suitable for developing DSP applications such as FAX modems, full-duplex modems up to V.34, DTMF-detection software, etc. Multiline telephone modems up to V.34, DTMF-detection software, etc. Multiline telephone software can be prototyped and debugged using the TIGER 30 and the software can be prototyped and debugged using the TIGER 30 and the TIGER TIB.TIGER TIB.
3-86
DSP Research, Inc.
Development Hardware/Other Plug-In Board
Product Name: Piranha 3111 Vocoder ModulePlatforms Supported: Other
Devices Supported: TMS320C31
Features and Benefits
•• TMS320C31 running at 40 MHzTMS320C31 running at 40 MHz•• On-module A/D and D/A converter with built-in filtersOn-module A/D and D/A converter with built-in filters•• 128 kbytes of zero-wait-state static RAM 128 kbytes of zero-wait-state static RAM •• 128-, 256-, or 512-kbytes EPROM for algorithm storage128-, 256-, or 512-kbytes EPROM for algorithm storage•• Small 2" Small 2" × 3" footprint 3" footprint
Product Description
The Piranha 3111 is an integrated plug-in module, based on the The Piranha 3111 is an integrated plug-in module, based on the TMS320C31 processor. A self-contained hardware and software subsystem, TMS320C31 processor. A self-contained hardware and software subsystem, it is ideal for DSP applications such as speech compression and fax/it is ideal for DSP applications such as speech compression and fax/modems. It has one channel of analog I/O, and communicates with the modems. It has one channel of analog I/O, and communicates with the motherboard through a serial interface. A large selection of voice-process-motherboard through a serial interface. A large selection of voice-process-ing algorithms is available off-the-shelf for OEM applications. Designed for ing algorithms is available off-the-shelf for OEM applications. Designed for mid-volume applications, potential users can evaluate the Piranha via the mid-volume applications, potential users can evaluate the Piranha via the P31EVM evaluation platform where, using input from a taped source or P31EVM evaluation platform where, using input from a taped source or telephone handset, each of 16 speech-coding algorithms can be selected for telephone handset, each of 16 speech-coding algorithms can be selected for listening. Ready-to-run algorithms from DSP Software Engineering include:listening. Ready-to-run algorithms from DSP Software Engineering include:
•• CCITT G.728 LD-CELP at 14.4 and 16 kbpsCCITT G.728 LD-CELP at 14.4 and 16 kbps•• USFS 1016 CELP at 4800 and 7200 bpsUSFS 1016 CELP at 4800 and 7200 bps•• USFS 1015 LPC10e at 2400 bpsUSFS 1015 LPC10e at 2400 bps•• CCITT G.711 A-law/CCITT G.711 A-law/µ-law at 48, 56, and 64 kbps-law at 48, 56, and 64 kbps•• CCITT G.722 SB-ADPCM at 48, 56, and 64 kbpsCCITT G.722 SB-ADPCM at 48, 56, and 64 kbps•• CCITT G.726 ADPCM at 16, 24, 32, and 40 kbpsCCITT G.726 ADPCM at 16, 24, 32, and 40 kbps
3-87
DSP Solutions475 College Blvd. #6215Oceanside, CA USA 92057(619) 941-3189Fax: (619) 941-8912
Company Background DSP Solutions provides basic affordable design tools targeted at developers that are DSP Solutions provides basic affordable design tools targeted at developers that are conscious of budget. At DSP Solutions, we believe that application software should conscious of budget. At DSP Solutions, we believe that application software should completely address the customer’s needs yet be simple, reliable, and affordable. This completely address the customer’s needs yet be simple, reliable, and affordable. This philosophy has been proven to be successful. DSP Solutions is going into its third year philosophy has been proven to be successful. DSP Solutions is going into its third year of operation and will continue to develop value-priced products that appeal not only to of operation and will continue to develop value-priced products that appeal not only to the first-time buyer of development tools but also to professionals that require tried and the first-time buyer of development tools but also to professionals that require tried and true solutions to everyday design problems.true solutions to everyday design problems.
Application Software/Filter Design
Product Name: Noise Buster - Digital Filter Design and Simulation Software (V 2.0)Platforms Supported: DOSDevices Supported: TMS320C1x, TMS320C2x, TMS320C2xx, TMS320C3x, TMS320C4x,
TMS320C5x, TMS320C54x, TMS320C8x
Features and Benefits
•• Generates coefficients for IIR filtersGenerates coefficients for IIR filters(Butterworth, Chebyshev Type I, Chebyshev Type II, Elliptic).(Butterworth, Chebyshev Type I, Chebyshev Type II, Elliptic).
•• Generates coefficients for FIR filters and Hilbert TransformsGenerates coefficients for FIR filters and Hilbert Transforms(Hann, Hamming, Rectangular, Blackman, Kaiser, Remez Exchange).(Hann, Hamming, Rectangular, Blackman, Kaiser, Remez Exchange).
•• Displays theoretical frequency and phase responses.Displays theoretical frequency and phase responses.•• Simulates frequency, phase, impulse, and unit-step filter responses.Simulates frequency, phase, impulse, and unit-step filter responses.•• Supports coefficient quantization.Supports coefficient quantization.•• Documentation explains digital-filter design with examples in C and Documentation explains digital-filter design with examples in C and
TMS320 assembler.TMS320 assembler.•• Affordable at $49.95.Affordable at $49.95.
Product Description
Noise Buster enables the DSP designer to quickly design digital filters. Noise Buster enables the DSP designer to quickly design digital filters. This software package runs under DOS and utilizes any VGA-equipped sys-This software package runs under DOS and utilizes any VGA-equipped sys-tem. After specifying the design and calculating the filter coefficients, the tem. After specifying the design and calculating the filter coefficients, the user can display the filter response to screen. Impulse and unit-step user can display the filter response to screen. Impulse and unit-step responses are also supported. If your system is fixed point, you can specify responses are also supported. If your system is fixed point, you can specify the number of bits that are made available for use in defining coefficients. the number of bits that are made available for use in defining coefficients. Noise Buster generates the appropriate coefficients and uses these in dis-Noise Buster generates the appropriate coefficients and uses these in dis-playing the filter response curves. Documentation that comes with Noise playing the filter response curves. Documentation that comes with Noise Buster explains digital filter design in an intuitive fashion providing exam-Buster explains digital filter design in an intuitive fashion providing exam-ple code written in assembler for the TMS320 family (as well as in C). ple code written in assembler for the TMS320 family (as well as in C). Noise Buster takes the designer from beginning to end explaining practical Noise Buster takes the designer from beginning to end explaining practical digital filter design then provides the user with menu driven-software that digital filter design then provides the user with menu driven-software that generates filter coefficients, displays the filter response, and much more.generates filter coefficients, displays the filter response, and much more.
3-88
DSP Tools, Inc.1131 Betts Trail WayPotomac, MD USA 20854(301) 424-5808Fax: (301) 424-0386e-mail: [email protected]
Company BackgroundDSP Tools, Inc. specializes in the development of DSP-based hardware and software. DSP Tools, Inc. specializes in the development of DSP-based hardware and software. The DSP boards are priced low enough to make them attractive for embedding into The DSP boards are priced low enough to make them attractive for embedding into products, software development, and for student projects.products, software development, and for student projects.
Development Hardware/Standalone Board
Product Name: DT31-STD and DT31-HI boardsPlatforms Supported: PC, DOS for development, Standalone in productionDevices Supported: TMS320C31
Features and Benefits
•• Floating-point TMS320C31 DSPFloating-point TMS320C31 DSP•• Zero-wait-state SRAMZero-wait-state SRAM•• 14-bit linear A/D and D/A14-bit linear A/D and D/A•• Read/write flash memory programmable on boardRead/write flash memory programmable on board•• High-speed PC parallel port interfaceHigh-speed PC parallel port interface
Product Description
The DT31 is a 5 by 4 inch board with a Floating-point TMS320C31 DSP, The DT31 is a 5 by 4 inch board with a Floating-point TMS320C31 DSP, SRAM, flash memory, A/D and D/A converters, and a PC bidirectional paral-SRAM, flash memory, A/D and D/A converters, and a PC bidirectional paral-lel port interface.lel port interface.
The board’s low cost makes it ideal for embedded DSP applications, The board’s low cost makes it ideal for embedded DSP applications, software development, and student projects.software development, and student projects.
PC-based software is included to debug DSP programs and load flash PC-based software is included to debug DSP programs and load flash memory from a COFF file. The software can be used to display variables memory from a COFF file. The software can be used to display variables from a running DSP program as time, spectrum, XY, or vectorscope plots.from a running DSP program as time, spectrum, XY, or vectorscope plots.
The DT31-STD board has a 33-MHz TMS320C31, 128K bytes of SRAM, The DT31-STD board has a 33-MHz TMS320C31, 128K bytes of SRAM, 128K bytes of flash memory, and is priced at $299. The DT31-HI board has 128K bytes of flash memory, and is priced at $299. The DT31-HI board has a 50-MHz TMS320C31, 512K bytes of SRAM, 512K bytes of flash memory, a 50-MHz TMS320C31, 512K bytes of SRAM, 512K bytes of flash memory, and is priced at $499.and is priced at $499.
3-89
DSP Tools, Inc.
Development Hardware/Plug-In PCI Board
Product Name: PCI-31 boardPlatforms Supported: PC with PCI bus
Devices Supported: TMS320C31
Features and Benefits
•• Extremely fast PCI bus interfaceExtremely fast PCI bus interface•• CD quality 16-bit stereo A/D and D/ACD quality 16-bit stereo A/D and D/A•• Floating-point TMS320C31 DSPFloating-point TMS320C31 DSP•• Zero-wait-state SRAMZero-wait-state SRAM•• TTL I/OTTL I/O
Product Description
The PCI-31 is a PCI bus PC plug-in board with a floating-point TMS320C31 The PCI-31 is a PCI bus PC plug-in board with a floating-point TMS320C31 DSP, SRAM, CD-quality 16-bit stereo A/D and D/A converters.DSP, SRAM, CD-quality 16-bit stereo A/D and D/A converters.
The PCI bus interface provides a very fast means to transfer data The PCI bus interface provides a very fast means to transfer data between the PC memory and the DSP. The bus mastering PCI interface between the PC memory and the DSP. The bus mastering PCI interface provides FIFOs, mailboxes, and interrupts in each direction. The high data provides FIFOs, mailboxes, and interrupts in each direction. The high data transfer rate between the DSP and the PC memory allows one or more of transfer rate between the DSP and the PC memory allows one or more of these boards to be used as a computing resource for the PC’s CPU.these boards to be used as a computing resource for the PC’s CPU.
The stereo, CD-quality 16-bit A/D and D/A can sample up to 48 kilo The stereo, CD-quality 16-bit A/D and D/A can sample up to 48 kilo samples per second. It is equipped with stereo line-in and out connectors samples per second. It is equipped with stereo line-in and out connectors which allow the board to capture, process, and play back two channels of which allow the board to capture, process, and play back two channels of audio.audio.
3-90
The MathWorks’ The MathWorks’Simulink è R-T Workshop
dSPACE’s
é TDE ê
dSPACEHW/SW system ç R-T Interface
dSPACETechnologiepark 25 25505 W. Twelve Mile Road, Suite 2800D-33100 Paderborn Southfield, MI 48034Germany USA+ 49 (0) 5251-1638-0 (810) 354-1694Fax: + 49 (0) 5251 6652-9 Fax: (810) 358-9692e-mail: [email protected] e-mail: 75371,[email protected]
Company Background dSPACE, founded in Germany in 1988, develops and manufactures DSP-based hard-dSPACE, founded in Germany in 1988, develops and manufactures DSP-based hard-ware and support software for rapid control prototyping and real-time Hardware-in-the-ware and support software for rapid control prototyping and real-time Hardware-in-the-Loop simulation. Our systems are used in automotive, aerospace, mechatronics, vibra-Loop simulation. Our systems are used in automotive, aerospace, mechatronics, vibra-tion control, motion control/robotics, and university R&D applications where ease-of-tion control, motion control/robotics, and university R&D applications where ease-of-use, rapid development, and “zero error” results are project requirements.use, rapid development, and “zero error” results are project requirements.
dSPACE offices are worldwide, including a subsidiary in the US and distributors in dSPACE offices are worldwide, including a subsidiary in the US and distributors in Japan, Korea, Taiwan, India, Czech Republic, Poland, UK, and France.Japan, Korea, Taiwan, India, Czech Republic, Poland, UK, and France.
Development HardwareDevelopment Hardware
Product Name: dSPACE Development SystemPlatforms Supported: PC, Sun, HPDevices Supported: TMS320C30, TMS320C31, TMS320C40
Features and Benefits
•• Total Development Environment with The MathWorks’ software Total Development Environment with The MathWorks’ software
(MATLAB(MATLAB®®, SIMULINK, SIMULINK®®, and Real-time Workshop™) as a front end., and Real-time Workshop™) as a front end.•• Automatic code generation for single and multiprocessor systems from Automatic code generation for single and multiprocessor systems from
SIMULINK.SIMULINK.
•• Other front ends (AutoCodeOther front ends (AutoCode®®, F2C, hand-written, etc.) also supported., F2C, hand-written, etc.) also supported.•• Full line of support software.Full line of support software.•• High-performance I/O cards.High-performance I/O cards.
Product Description
The dSPACE Development System consists of DSP-based hardware and The dSPACE Development System consists of DSP-based hardware and support software for rapid controller prototyping and Hardware-in-the-support software for rapid controller prototyping and Hardware-in-the-Loop simulation. Systems are available from an entry-level single-unit con-Loop simulation. Systems are available from an entry-level single-unit con-troller with on-board I/O-to-modular configurations with multiprocessor troller with on-board I/O-to-modular configurations with multiprocessor capabilities.capabilities.
In conjunction with The MathWorks, dSPACE offers a Total Develop-In conjunction with The MathWorks, dSPACE offers a Total Develop-ment Environment (TDE), which combines powerful analysis and modeling ment Environment (TDE), which combines powerful analysis and modeling software with our high-performance, flexible real-time hardware for a software with our high-performance, flexible real-time hardware for a seamless integration from modeling to code generation to test. Support seamless integration from modeling to code generation to test. Support software for data capture, GUI, long-term data logging, and to control the software for data capture, GUI, long-term data logging, and to control the DSP from MDSP from MATLABATLAB is available. is available.
Other front-ends for modeling and analysis can also be used with the Other front-ends for modeling and analysis can also be used with the dSPACE Development System. Interface libraries are available to link these dSPACE Development System. Interface libraries are available to link these systems to dSPACE hardware. Support software for data capture and GUI systems to dSPACE hardware. Support software for data capture and GUI is offered.is offered.
3-91
dSPACE
Development Hardware
Product Name: DS1102Platforms Supported: PC, Sun, HPDevices Supported: TMS320C31
Features and Benefits
•• Single-unit controller.Single-unit controller.•• TI ’C31 running at 40 MHz.TI ’C31 running at 40 MHz.•• Four on-board A/D converters, four on-board D/A converters.Four on-board A/D converters, four on-board D/A converters.•• Two incremental encoder interfaces.Two incremental encoder interfaces.•• ’P14 working as an intelligent I/O subsystem.’P14 working as an intelligent I/O subsystem.
Product Description
As the entry-level board for the dSPACE development system, the DS1102 As the entry-level board for the dSPACE development system, the DS1102 combines the ’C31’s computing performance of up to 40 MFLOPS with a combines the ’C31’s computing performance of up to 40 MFLOPS with a versatile set of on-board I/O: four analog input channels (16 bit, 10 versatile set of on-board I/O: four analog input channels (16 bit, 10 µs, and s, and 12 bit, 3 12 bit, 3 µs), four analog output channels (12 bit), two incremental encoder s), four analog output channels (12 bit), two incremental encoder channels, and a complete subsystem for digital I/O, based on a TMS320P14 channels, and a complete subsystem for digital I/O, based on a TMS320P14 DSP. Using provided software, this subsystem can be accessed like conven-DSP. Using provided software, this subsystem can be accessed like conven-tional I/O channels, and/or programmed as a slave DSP.tional I/O channels, and/or programmed as a slave DSP.
The high performance design of the DS1102 supports the maximum The high performance design of the DS1102 supports the maximum usage of the ’C31’s 50-ns cycle time. 128 k usage of the ’C31’s 50-ns cycle time. 128 k × 32-bit zero-wait-state memory 32-bit zero-wait-state memory are on-board. This on-board memory can be accessed by the host for pro-are on-board. This on-board memory can be accessed by the host for pro-gram download as well as data transfer while the DSP is running.gram download as well as data transfer while the DSP is running.
All boards are standard ISA cards. For Sun and HP hosts, a network All boards are standard ISA cards. For Sun and HP hosts, a network interface to an expansion box is required.interface to an expansion box is required.
3-92
dSPACE
Development Hardware
Product Name: DS1002Platforms Supported: PC, Sun, HPDevices Supported: TMS320C30
Features and Benefits
•• Floating-point processor.Floating-point processor.•• ’C30 running at 33 MHz.’C30 running at 33 MHz.•• Operates with user-selectable dSPACE I/O cards.Operates with user-selectable dSPACE I/O cards.•• Up to 512 KB zero-wait-state SRAM.Up to 512 KB zero-wait-state SRAM.•• PHS-bus interface with 16-Mbps transfer rate to I/O boards.PHS-bus interface with 16-Mbps transfer rate to I/O boards.
Product Description
The DS1002 is the processor board for a basic modular dSPACE develop-The DS1002 is the processor board for a basic modular dSPACE develop-ment system. It is based on TI’s ’C30 DSP, and has a computing perfor-ment system. It is based on TI’s ’C30 DSP, and has a computing perfor-mance of 33 MFLOPS. The ’C30’s 60-ns cycle time is fully accessible. mance of 33 MFLOPS. The ’C30’s 60-ns cycle time is fully accessible. Separate primary and expansion memory support the ’C30’s parallel data Separate primary and expansion memory support the ’C30’s parallel data fetch capability. Peripheral cards are attached to the board’s 32-bit-wide fetch capability. Peripheral cards are attached to the board’s 32-bit-wide PHS-bus interface, supporting the full word length of the ’C30 at a PHS-bus interface, supporting the full word length of the ’C30 at a 16-Mbps transfer speed.16-Mbps transfer speed.
All boards are standard ISA cards. For Sun and HP hosts, a network All boards are standard ISA cards. For Sun and HP hosts, a network interface to an expansion box is required.interface to an expansion box is required.
3-93
dSPACE
Development Hardware
Product Name: DS1003Platforms Supported: PC, Sun, HPDevices Supported: TMS320C40
Features and Benefits
•• Floating-point processor.Floating-point processor.•• ’C40 running at 50 MHz.’C40 running at 50 MHz.•• Operates with user-selectable dSPACE I/O cards.Operates with user-selectable dSPACE I/O cards.•• Up to 768 KBytes zero-wait-state SRAM, expandable to 3 Mwords.Up to 768 KBytes zero-wait-state SRAM, expandable to 3 Mwords.•• PHS-bus interface with 16-Mbps transfer rate to I/O boards.PHS-bus interface with 16-Mbps transfer rate to I/O boards.
Product Description
dSPACE’s higher-end processor board for modular development systems is dSPACE’s higher-end processor board for modular development systems is built around the ’C40 floating-point DSP, with a 40-ns cycle time and 50 built around the ’C40 floating-point DSP, with a 40-ns cycle time and 50 MFLOPS of peak computing performance. The DS1003 provides a powerful MFLOPS of peak computing performance. The DS1003 provides a powerful memory-mapped host interface, as well as a separate 32-bit wide PHS-bus memory-mapped host interface, as well as a separate 32-bit wide PHS-bus interface to our I/O boards. It can be used as a base for both single or multi-interface to our I/O boards. It can be used as a base for both single or multi-processor systems. On-board memory is expandable to 3 Mwords (32 bit). processor systems. On-board memory is expandable to 3 Mwords (32 bit). Separate busses for primary and global memory allow simultaneous access Separate busses for primary and global memory allow simultaneous access to local and global memory components for concurrent data and instruction to local and global memory components for concurrent data and instruction fetch. The complete DSP memory is simultaneously accessible by the host fetch. The complete DSP memory is simultaneously accessible by the host and DSP. 8 kwords are built in as a true dual-port memory for concurrent and DSP. 8 kwords are built in as a true dual-port memory for concurrent DSP/host access. Six on-chip, byte-wide communication links on the ’C40 DSP/host access. Six on-chip, byte-wide communication links on the ’C40 allow multiprocessor systems to be configured with virtually no computa-allow multiprocessor systems to be configured with virtually no computa-tional limits.tional limits.
All boards are standard ISA cards. For Sun and HP hosts, a network All boards are standard ISA cards. For Sun and HP hosts, a network interface to an expansion box is required.interface to an expansion box is required.
3-94
dSPACE
Development Hardware
Product Name: DS1201Platforms Supported: PC, Sun, HPDevices Supported: TMS320C40
Features and Benefits
•• Accessory board for multiprocessor systems.Accessory board for multiprocessor systems.•• 200 MFLOPS of computing power on a single PC/AT-compatible board.200 MFLOPS of computing power on a single PC/AT-compatible board.•• Based on four ’C40s.Based on four ’C40s.•• 12 20-Mbps communication ports.12 20-Mbps communication ports.
Product Description
The DS1201 is a powerful companion board for multiprocessor systems. The DS1201 is a powerful companion board for multiprocessor systems. Based on four TI ’C40 DSPs, its 200 MFLOPS of performance make it espe-Based on four TI ’C40 DSPs, its 200 MFLOPS of performance make it espe-cially useful for very computationally-intensive and time-consuming appli-cially useful for very computationally-intensive and time-consuming appli-cations like Hardware-in-the-Loop simulation.cations like Hardware-in-the-Loop simulation.
Each DSP is equipped with 256 Kwords of zero-wait-state memory. Each DSP is equipped with 256 Kwords of zero-wait-state memory. Two independent busses support concurrent data fetch from local and glo-Two independent busses support concurrent data fetch from local and glo-bal memory. On-board ’C40s are interconnected via byte-wide communica-bal memory. On-board ’C40s are interconnected via byte-wide communica-tion ports. 12 remaining ports are user accessible. The communication tion ports. 12 remaining ports are user accessible. The communication ports, with a 20-Mbps transfer rate, are used for data transfer and program ports, with a 20-Mbps transfer rate, are used for data transfer and program loading. The DS1201 is designed as an accelerator board for the DS1003 loading. The DS1201 is designed as an accelerator board for the DS1003 processor board, which provides the host and the I/O bus interface.processor board, which provides the host and the I/O bus interface.
3-95
dSPACE
Development Hardware
Product Name: A/D - D/A Peripheral BoardsPlatforms Supported: PC, Sun, HPDevices Supported: TMS320C30- and TMS320C40-based processor boards
Features and Benefits
•• User-selectable performance level.User-selectable performance level.•• A/D board to 32 multiplexed channels, 2 ADCs (16 bit, 5 A/D board to 32 multiplexed channels, 2 ADCs (16 bit, 5 µs) with s) with
simultaneous sample and hold.simultaneous sample and hold.•• D/A board to 6 DAC channels (16 bit, 1.5 D/A board to 6 DAC channels (16 bit, 1.5 µs), with 6 TMS320C31 DSPs s), with 6 TMS320C31 DSPs
on-board.on-board.•• Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps
transfer rate to processor boards.transfer rate to processor boards.
Product Description
dSPACE peripheral boards for modular development systems provide high-dSPACE peripheral boards for modular development systems provide high-speed, high-resolution A/D-D/A converters designed to provide you with a speed, high-resolution A/D-D/A converters designed to provide you with a range of choices to meet your application requirements. All I/O boards can range of choices to meet your application requirements. All I/O boards can be connected to all dSPACE processor boards with our PHS-bus interface. be connected to all dSPACE processor boards with our PHS-bus interface. See Table for I/O product specifications.See Table for I/O product specifications.
All boards are standard ISA cards. For Sun and HP hosts, a network All boards are standard ISA cards. For Sun and HP hosts, a network interface to an expansion box is required.interface to an expansion box is required.
I/O Boards A/D Channels D/A Channels Digital I/O Incr. Encoder Interface
DS2001 5 ADCs (5 µs in 16-bit mode
or 3.8 µs in 12-bit mode)
DS2002 32 multiplexed, 2 ADCs (16-bit, 5 µs)
DS2003 32 multiplexed, 2 ADCs (16-bit 5 µs) simultaneous sam-ple & hold for all channels
DS2101 5 DACs (12 bit, 3 µs)
DS2102 5 DACs (12 bit, 2.5 µs)
DS2201 20 multiplexed, 4 ADCs (12 bit, always 32.5 µs for 20 channels)
6 DACs(12 bit, 4 µs)
TMS320E14 on-chip I/O
DS2301 6 DACs (16 bit, 1.5 µs)6 TMS320C31 DSPs
DS3001 5 parallel 24 bit, 5 MHz)
DS4001 32-bit I/O lines 5 timers
DS4201-S Four channels for serial communication, equipped with RS-232, RS-422, or RS-485 transceivers
DS4301 CAN/VAN Interface
DS5001 Digital Waveform Capture board
3-96
dSPACE
Development Hardware
Product Name: Digital I/O BoardsPlatforms Supported: PC, Sun, HPDevices Supported: TMS320C30- and TMS320C40-based processor boards
Features and Benefits
•• User-selectable performance level.User-selectable performance level.•• Boards with either on-chip I/O based on TMS320E14 DSP or 32-bit I/O Boards with either on-chip I/O based on TMS320E14 DSP or 32-bit I/O
lines with five timers.lines with five timers.•• Utilize dSPACE proprietary PHS-bus interface with up to 20-Mbps Utilize dSPACE proprietary PHS-bus interface with up to 20-Mbps
transfer rate to processor boards.transfer rate to processor boards.
Product Description
dSPACE digital I/O boards support control applications with sensors that dSPACE digital I/O boards support control applications with sensors that provide a parallel TTL digital output signal with switch polling, frequency provide a parallel TTL digital output signal with switch polling, frequency input, and PWM output. Digital I/O is available on the DS4001 and DS2201. input, and PWM output. Digital I/O is available on the DS4001 and DS2201. See table on page 3-95 for specifications.See table on page 3-95 for specifications.
3-97
dSPACE
Development Hardware
Product Name: DS2301 Direct Digital Synthesis BoardPlatforms Supported: PC, Sun, HPDevices Supported: TMS320C30- and TMS320C40-based processor boards
Features and Benefits
•• Six 16-bit analog output channels.Six 16-bit analog output channels.•• Six ’C31s for intelligent digital and analog I/O.Six ’C31s for intelligent digital and analog I/O.•• Supports DDS (Direct Digital Synthesis).Supports DDS (Direct Digital Synthesis).•• Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps
transfer rate to processor boards.transfer rate to processor boards.
Product Description
The DS2301 was developed to support Hardware-in-the-Loop (HIL) simu-The DS2301 was developed to support Hardware-in-the-Loop (HIL) simu-lation. It has six 16-bit analog output channels served by six ’C31 floating-lation. It has six 16-bit analog output channels served by six ’C31 floating-point DSPs for intelligent digital and analog I/O. The signal-generation algo-point DSPs for intelligent digital and analog I/O. The signal-generation algo-rithm is computed in real time (Direct Digital Synthesis, or DDS) and can rithm is computed in real time (Direct Digital Synthesis, or DDS) and can be modified on-line. It can be used as a peripheral board on the PHS bus, or be modified on-line. It can be used as a peripheral board on the PHS bus, or as a separate board providing an interface to the host PC/AT. Refer to as a separate board providing an interface to the host PC/AT. Refer to Table on page 3-95 for additional board specifications.Table on page 3-95 for additional board specifications.
3-98
dSPACE
Development Hardware
Product Name: DS3001 Incremental Encoder BoardPlatforms Supported: PC, Sun, HPDevices Supported: TMS320C30- and TMS320C40-based processor boards
Features and Benefits
•• Supports position-control applications.Supports position-control applications.•• Five fully parallel input channels at 24 bit, 5 MHz.Five fully parallel input channels at 24 bit, 5 MHz.•• Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps
transfer rate to processor boards.transfer rate to processor boards.
Product Description
The DS3001 is a peripheral board for the dSPACE system designed to sup-The DS3001 is a peripheral board for the dSPACE system designed to sup-port position-control applications with incremental encoder position sen-port position-control applications with incremental encoder position sen-sors providing square-wave phase information. The DS3001 has five fully sors providing square-wave phase information. The DS3001 has five fully parallel input channels with everything to connect an incremental encoder parallel input channels with everything to connect an incremental encoder and process its output signals. Special noise rejection logic yields high and process its output signals. Special noise rejection logic yields high noise immunity for encoder signals. A fourfold pulse multiplication direc-noise immunity for encoder signals. A fourfold pulse multiplication direc-tion sensing logic provides high resolution; a 24-bit counter for each chan-tion sensing logic provides high resolution; a 24-bit counter for each chan-nel allows wide-range position signals. Index pulse counter reset and nel allows wide-range position signals. Index pulse counter reset and interrupt capabilities are available for system initialization.interrupt capabilities are available for system initialization.
3-99
dSPACE
Development Hardware
Product Name: DS4110 Memory Expansion BoardPlatforms Supported: PC, Sun, HPDevices Supported: TMS320C30- and TMS320C40-based processor boards
Features and Benefits
•• Supports high-speed data acquisition.Supports high-speed data acquisition.•• Up to 32 M Up to 32 M × 32-bit memory expansion. 32-bit memory expansion.•• Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps
transfer rate to processor boards.transfer rate to processor boards.
Product Description
The Memory Expansion Board provides memory expansion for high-speed The Memory Expansion Board provides memory expansion for high-speed data acquisition through a connection to the processor board via our PHS-data acquisition through a connection to the processor board via our PHS-bus. Input data from input boards or data from the DSP’s on-board memory bus. Input data from input boards or data from the DSP’s on-board memory can be stored and read with the highest transfer of speed supported from can be stored and read with the highest transfer of speed supported from the processor being utilized. Memory size is selectable between 4 M and the processor being utilized. Memory size is selectable between 4 M and 32 M of 32-bit words in steps of 4 Mwords, and can be easily upgraded.32 M of 32-bit words in steps of 4 Mwords, and can be easily upgraded.
3-100
dSPACE
Development Hardware
Product Name: DS4201 Prototyping BoardPlatforms Supported: PC, Sun, HPDevices Supported: TMS320C30- and TMS320C40-based processor boards
Features and Benefits
•• Supports the integration of custom electronics into the dSPACE sys-Supports the integration of custom electronics into the dSPACE sys-tem.tem.
•• Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps transfer rate to processor boards.transfer rate to processor boards.
Product Description
For effective product development, it is often necessary to incorporate pro-For effective product development, it is often necessary to incorporate pro-prietary or custom electronics into the system. The DS4201 prototyping prietary or custom electronics into the system. The DS4201 prototyping board supports the integration of customized circuits into the dSPACE sys-board supports the integration of customized circuits into the dSPACE sys-tem. Interface electronics include bidirectional buffered 32-bit PHS-bus tem. Interface electronics include bidirectional buffered 32-bit PHS-bus data lines, an interrupt controller, and I/O-error detection and generation data lines, an interrupt controller, and I/O-error detection and generation logic. Up to 13 custom ports are selected by the DSP, either via pre-defined logic. Up to 13 custom ports are selected by the DSP, either via pre-defined chip-select lines or by using PHS-bus addresses directly. When several chip-select lines or by using PHS-bus addresses directly. When several DS4201s are used in concert, a switch-selectable board sub-identification DS4201s are used in concert, a switch-selectable board sub-identification number can be specified.number can be specified.
3-101
dSPACE
Development Hardware
Product Name: DS4201-S Serial Communication BoardPlatforms Supported: PC, Sun, HPDevices Supported: TMS320C30- and TMS320C40-based processor boards
Features and Benefits
•• Four-channel serial communication, equipped with RS-232, RS-422, or Four-channel serial communication, equipped with RS-232, RS-422, or RS-485 transceivers.RS-485 transceivers.
•• Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps transfer rate to processor boards.transfer rate to processor boards.
Product Description
The DS4201-S expands the capabilities of the DS4201 by providing four The DS4201-S expands the capabilities of the DS4201 by providing four channels for serial communication, which can be equipped with RS-232, channels for serial communication, which can be equipped with RS-232, RS-422, or RS-485 transceivers, specified by the customer when ordering RS-422, or RS-485 transceivers, specified by the customer when ordering the board. DS4201-S UARTs support data transfer rates of up to 116 the board. DS4201-S UARTs support data transfer rates of up to 116 KBaud. Input FIFOs allow buffering up to 16 bits per channel. The Serial KBaud. Input FIFOs allow buffering up to 16 bits per channel. The Serial Communication Board comes with a C language library, including I/O-func-Communication Board comes with a C language library, including I/O-func-tions for DSP to serial interface communication.tions for DSP to serial interface communication.
3-102
dSPACE
Development Hardware
Product Name: DS4301 CAN/VAN InterfacePlatforms Supported: PC, Sun, HPDevices Supported: TMS320C30- and TMS320C40-based processor boards
Features and Benefits
•• Provides direct access to CAN and VAN busses.Provides direct access to CAN and VAN busses.•• Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps
transfer rate to processor boards.transfer rate to processor boards.
Product Description
dSPACE’s CAN/VAN interface provides modular systems with direct access dSPACE’s CAN/VAN interface provides modular systems with direct access to the CAN or VAN bus. This is particularly useful for HIL in the automotive to the CAN or VAN bus. This is particularly useful for HIL in the automotive industry, where these communication protocols are common. No additional industry, where these communication protocols are common. No additional external electronics are required.external electronics are required.
Basic, full, and extended CAN protocols are supported. The full VAN Basic, full, and extended CAN protocols are supported. The full VAN protocol is available. Data transfer rates can be programmed for each sec-protocol is available. Data transfer rates can be programmed for each sec-tion independently, up to a maximum transfer rate of 0.25 MBd for the VAN tion independently, up to a maximum transfer rate of 0.25 MBd for the VAN bus and 1 MBd for the CAN bus.bus and 1 MBd for the CAN bus.
3-103
dSPACE
Development Hardware
Product Name: DS5001 Digital Waveform Capture BoardPlatforms Supported: PC, Sun, HPDevices Supported: TMS320C30- and TMS320C40-based processor boards
Features and Benefits
•• 16 high-speed digital input channels for waveform capture.16 high-speed digital input channels for waveform capture.•• Supports waveform capture for frequency and phase analysis, modula-Supports waveform capture for frequency and phase analysis, modula-
tion parameters, jitter, or missing pulses.tion parameters, jitter, or missing pulses.•• Software for analysis is supplied with the board.Software for analysis is supplied with the board.•• Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps Utilizes dSPACE proprietary PHS-bus interface with up to 20-Mbps
transfer rate to processor boards.transfer rate to processor boards.
Product Description
The DS5001 provides 16 input channels for high-speed digital data record-The DS5001 provides 16 input channels for high-speed digital data record-ing. Specified trigger events are detected with a 25-ns timer resolution. Up ing. Specified trigger events are detected with a 25-ns timer resolution. Up to 512 events per channel can be stored by the on-board event buffer for to 512 events per channel can be stored by the on-board event buffer for complex analysis by the DSP after the capture process. Trigger edge and complex analysis by the DSP after the capture process. Trigger edge and trigger level are specified for each input channel separately. For a trigger trigger level are specified for each input channel separately. For a trigger event, edge polarity and a 31-bit resolution time stamp are stored by the event, edge polarity and a 31-bit resolution time stamp are stored by the on-board event buffer. If more than 16 input signals are needed, any num-on-board event buffer. If more than 16 input signals are needed, any num-ber of DS5001s can be used in synchronization.ber of DS5001s can be used in synchronization.
3-104
dSPACE
Development Hardware/Expansion Boxes
Product Name: Expansion Boxes (PX6, PX20, AutoBox, MiniBox)Platforms Supported: PC, Sun, HPDevices Supported: TMS320C30-, TMS320C31-, and TMS320C40-based processor boards and accessory I/O
Features and Benefits
•• Rugged tabletop or in-vehicle chassis for modular dSPACE systems.Rugged tabletop or in-vehicle chassis for modular dSPACE systems.•• Portable.Portable.•• Enhanced power supply, cooling, and all interface electronics included.Enhanced power supply, cooling, and all interface electronics included.
Product Description
The PX6 and PX20 support up to 5 and 19 additional boards for dSPACE The PX6 and PX20 support up to 5 and 19 additional boards for dSPACE modular systems, respectively. Both have a rugged tabletop chassis, com-modular systems, respectively. Both have a rugged tabletop chassis, com-plete with backplane, enhanced power supply, fan cooling, and the inter-plete with backplane, enhanced power supply, fan cooling, and the inter-face electronics to expand the PC/AT host bus or a network interface kit.face electronics to expand the PC/AT host bus or a network interface kit.
The AutoBox is used for in-vehicle control systems tests. Up to five The AutoBox is used for in-vehicle control systems tests. Up to five boards for a dSPACE modular system can be added. The AutoBox has a boards for a dSPACE modular system can be added. The AutoBox has a ruggedized design, forced-air cooling, and a power supply with superior ruggedized design, forced-air cooling, and a power supply with superior load-dump protection, specifically developed for in-vehicle use.load-dump protection, specifically developed for in-vehicle use.
The MiniBox is dSPACE’s economical solution for the direct connec-The MiniBox is dSPACE’s economical solution for the direct connec-tion of our introductory single-unit controller (DS1102) to a workstation or tion of our introductory single-unit controller (DS1102) to a workstation or
PC through an EthernetPC through an Ethernet®® card. A DS1102 is included in the MiniBox pack- card. A DS1102 is included in the MiniBox pack-age.age.
3-105
dSPACE
Development Hardware/Connector Panel
Product Name: Connector PanelsPlatforms Supported: PC, Sun, HPDevices Supported: I/O for TMS320C30-, TMS320C31-, and TMS320C40-based processor boards
Features and Benefits
•• Supports all dSPACE hardware.Supports all dSPACE hardware.•• Provides easy access to dSPACE I/O.Provides easy access to dSPACE I/O.•• Rack and desk versions.Rack and desk versions.
Product Description
dSPACE connector panels provide easy access to all input and output sig-dSPACE connector panels provide easy access to all input and output sig-nals on dSPACE I/O boards. Analog signals are accessed via BNC connec-nals on dSPACE I/O boards. Analog signals are accessed via BNC connec-tors. All digital and TTL signal are accessed via SUB-D connectors. The tors. All digital and TTL signal are accessed via SUB-D connectors. The SUB-D connectors are low density and grouped with respect to I/O chan-SUB-D connectors are low density and grouped with respect to I/O chan-nels and functional units on board.nels and functional units on board.
All connector panels can be grouped into a 19-inch wide desktop box, All connector panels can be grouped into a 19-inch wide desktop box, or 19-inch rack mounts.or 19-inch rack mounts.
3-106
dSPACE
Development Software
Product Name: Real-Time Interface (RTI)Platforms Supported: PC, Sun, HPDevices Supported: TMS320C30-, TMS320C31-, and TMS320C40-based processor boards, TDE System
Features and Benefits
•• Supports the dSPACE/MathWorks TDE system for automatic code Supports the dSPACE/MathWorks TDE system for automatic code generation and download to the DSP.generation and download to the DSP.
•• Complete I/O specification from SIMULINK block diagrams.Complete I/O specification from SIMULINK block diagrams.•• RTI postprocessor modifies C-coded model generated by Real-Time RTI postprocessor modifies C-coded model generated by Real-Time
Workshop (RTW).Workshop (RTW).•• Option to support multiprocessor dSPACE systems.Option to support multiprocessor dSPACE systems.
Product Description
dSPACE’s Real-Time Interface extends the SIMULINK C-code generator, dSPACE’s Real-Time Interface extends the SIMULINK C-code generator, Real-Time Workshop, for automatic implementation of SIMULINK models Real-Time Workshop, for automatic implementation of SIMULINK models on dSPACE systems. All I/O specifications for real-time implementation can on dSPACE systems. All I/O specifications for real-time implementation can be defined within the SIMULINK environment. RTI modifies the code gen-be defined within the SIMULINK environment. RTI modifies the code gen-erated by RTW according to these I/O specs and processes it to load to the erated by RTW according to these I/O specs and processes it to load to the DSP system automatically. Supported by RTI, block diagram parameters in DSP system automatically. Supported by RTI, block diagram parameters in the real-time program can be changed through SIMULINK’s external simu-the real-time program can be changed through SIMULINK’s external simu-lation facility without regenerating code.lation facility without regenerating code.
3-107
dSPACE
Development Software
Product Name: MLIB (MATLAB-DSP Interface Library)Platforms Supported: PC, Sun, HPDevices Supported: TMS320C30-, TMS320C31-, and TMS320C40-based processor boards, TDE System
Features and Benefits
•• Supports the dSPACE/MathWorks TDE system.Supports the dSPACE/MathWorks TDE system.•• Allows the user to read/write from/to DSP memory from MATLAB.Allows the user to read/write from/to DSP memory from MATLAB.•• DSP control functions.DSP control functions.•• Interrupt control functions.Interrupt control functions.
Product Description
dSPACE’s MdSPACE’s MATLABATLAB-DSP Interface Library allows TDE system users to -DSP Interface Library allows TDE system users to directly access the DSP memory from the Mdirectly access the DSP memory from the MATLABATLAB environment. MLIB is environment. MLIB is ideally suited to automate experiment control by writing test sequences to ideally suited to automate experiment control by writing test sequences to the DSP at certain time intervals, reading the results, and stopping and the DSP at certain time intervals, reading the results, and stopping and starting the test according to these results. MLIB functions are also used starting the test according to these results. MLIB functions are also used for algorithm-based, on-line parameter tuning. MATLAB can automatically for algorithm-based, on-line parameter tuning. MATLAB can automatically calculate the new values from the current system behavior and send new calculate the new values from the current system behavior and send new parameters to the DSP.parameters to the DSP.
3-108
dSPACE
Development Software
Product Name: MTRACE (MATLAB-Callable TRACE)Platforms Supported: PC, Sun, HPDevices Supported: TMS320C30-, TMS320C31-, and TMS320C40-based processor boards, TDE System
Features and Benefits
•• Supports the dSPACE/MathWorks TDE system.Supports the dSPACE/MathWorks TDE system.•• Supports long-term data logging.Supports long-term data logging.•• Trace capture configurations (variables, trigger, and timeframe).Trace capture configurations (variables, trigger, and timeframe).•• Trace capture control commands (start, current state, data fetch).Trace capture control commands (start, current state, data fetch).
Product Description
dSPACE’s MTRACE offers real-time data recording capabilities for users of dSPACE’s MTRACE offers real-time data recording capabilities for users of the dSPACE/MathWorks TDE, callable directly from Mthe dSPACE/MathWorks TDE, callable directly from MATLABATLAB. Users can . Users can program the whole trace process from Mprogram the whole trace process from MATLABATLAB M-files using a set of MEX- M-files using a set of MEX-file commands. MTRACE is specifically designed for logging large amounts file commands. MTRACE is specifically designed for logging large amounts of data over long periods, and is required for real-time data collection for of data over long periods, and is required for real-time data collection for on-line parameter tuning from Mon-line parameter tuning from MATLABATLAB..
3-109
dSPACE
Development Software
Product Name: TRACEPlatforms Supported: PC, Sun, HPDevices Supported: TMS320C30-, TMS320C31-, and TMS320C40-based processor boards
Features and Benefits
•• Supports all dSPACE development systems.Supports all dSPACE development systems.•• Real-time, “on-the-fly” data capture from the DSP.Real-time, “on-the-fly” data capture from the DSP.•• Trace capture configurations and commands.Trace capture configurations and commands.
Product Description
The TRACE program module is a non-intrusive data capture tool for unin-The TRACE program module is a non-intrusive data capture tool for unin-terrupted closed-loop operation under true operating conditions. Any sig-terrupted closed-loop operation under true operating conditions. Any sig-nal or parameter that is represented as a variable in the DSP’s program can nal or parameter that is represented as a variable in the DSP’s program can be traced and graphically displayed.be traced and graphically displayed.
TRACE offers free-running and triggered modes, pre- and post-trig-TRACE offers free-running and triggered modes, pre- and post-trig-gers, auto-trace, downsampling, and table data export. The most important gers, auto-trace, downsampling, and table data export. The most important masks and control buttons are directly accessible on the TRACE control masks and control buttons are directly accessible on the TRACE control panel. The plot facilities include zoom and auto-scaling, as well as cursor panel. The plot facilities include zoom and auto-scaling, as well as cursor readout and grids.readout and grids.
3-110
dSPACE
Development Software
Product Name: COCKPITPlatforms Supported: PC, Sun, HPDevices Supported: TMS320C30-, TMS320C31-, and TMS320C40-based processor boards
Features and Benefits
•• Supports all dSPACE development systems.Supports all dSPACE development systems.•• Graphical User Interface for real-time, “on-the-fly” parameter tuning.Graphical User Interface for real-time, “on-the-fly” parameter tuning.•• Direct access to DSP.Direct access to DSP.
Product Description
COCKPIT is a ready-to-use solution for monitoring and interacting with a COCKPIT is a ready-to-use solution for monitoring and interacting with a DSP application running on a dSPACE processor or controller board. With DSP application running on a dSPACE processor or controller board. With the built-in COCKPIT editor, an instrument panel can be built for a DSP the built-in COCKPIT editor, an instrument panel can be built for a DSP application without any additional programming. Parameters can be application without any additional programming. Parameters can be observed and modified using an intuitive user interface with graphical ele-observed and modified using an intuitive user interface with graphical ele-ments like bars, sliders, and gauges.ments like bars, sliders, and gauges.
COCKPIT has two operating modes: edit and animation. Within the edit COCKPIT has two operating modes: edit and animation. Within the edit mode, a widget can be selected from the control menu, sized, and placed at mode, a widget can be selected from the control menu, sized, and placed at any spot on the panel. A DSP program variable is connected to an instru-any spot on the panel. A DSP program variable is connected to an instru-ment by selecting from a list of variable names used in the current DSP ment by selecting from a list of variable names used in the current DSP application program.application program.
3-111
dSPACE
Development Software
Product Name: CLIB (C Language Host-DSP Interface Library)Platforms Supported: PC, Sun, HPDevices Supported: TMS320C30-, TMS320C31-, and TMS320C40-based processor boards
Features and Benefits
•• Supports dSPACE development systems.Supports dSPACE development systems.•• Provides basic C language functions for Host-DSP interaction.Provides basic C language functions for Host-DSP interaction.
Product Description
CLIB provides a set of basic C language functions for interchange between CLIB provides a set of basic C language functions for interchange between the host and the DSP for data transfer and processor control. Functions the host and the DSP for data transfer and processor control. Functions can be integrated in user programs to build customer-specific user inter-can be integrated in user programs to build customer-specific user inter-faces. Feature functions include: DSP board control, processor control, faces. Feature functions include: DSP board control, processor control, interrupt control, and DSP memory and I/O access.interrupt control, and DSP memory and I/O access.
3-112
dSPACE
Application Software/Debugging Tools
Product Name: DebuggerPlatforms Supported: PCDevices Supported: TMS320C31- and TMS320C40-based processor boards
Features and Benefits
•• Supports dSPACE DS1102 and DS1003 processor boards, multiproces-Supports dSPACE DS1102 and DS1003 processor boards, multiproces-sor systems.sor systems.
•• Supports both C code and assembly language debugging.Supports both C code and assembly language debugging.
Product Description
The dSPACE debugger maintains all standard features in PC debuggers, The dSPACE debugger maintains all standard features in PC debuggers, including a memory, CPU register, watch, command, and C source code including a memory, CPU register, watch, command, and C source code windows, among others. The user can set breakpoints in code, execute windows, among others. The user can set breakpoints in code, execute code until defined events, and change a variable’s value from within the code until defined events, and change a variable’s value from within the debugger environment.debugger environment.
If the debugger is invoked in profile mode, the profiler window displays If the debugger is invoked in profile mode, the profiler window displays execution time statistics of the selected code portions. All commands can execution time statistics of the selected code portions. All commands can be pulled down from menus for ease-of-use.be pulled down from menus for ease-of-use.
3-113
dSPACE
Application Software/Debugging Tools
Product Name: TextIOPlatforms Supported: PCDevices Supported: TMS320C30-, TMS320C31-, and TMS320C40-based processor boards
Features and Benefits
•• Supports all dSPACE processor boards.Supports all dSPACE processor boards.•• Designed to debug a DSP program under real-time conditions.Designed to debug a DSP program under real-time conditions.
Product Description
TextIO is dSPACE’s DSP library for Text I/O, used for “software probing” to TextIO is dSPACE’s DSP library for Text I/O, used for “software probing” to analyze any problems that may occur; for example, during the initialization analyze any problems that may occur; for example, during the initialization phase of a DSP program. The library provides standard C functions, includ-phase of a DSP program. The library provides standard C functions, includ-ing “printf” or “scanf” for formatted input and output of data, plus more ing “printf” or “scanf” for formatted input and output of data, plus more basic functions for simple character and string I/O.basic functions for simple character and string I/O.
These library functions are complemented by a program for the host These library functions are complemented by a program for the host PC, used to display the output generated by the DSP program and to read PC, used to display the output generated by the DSP program and to read the keyboard and forward the typed characters to the DSP. This program the keyboard and forward the typed characters to the DSP. This program can run at the DOS prompt or under Windows, and is real-time capable.can run at the DOS prompt or under Windows, and is real-time capable.
3-114
dSPACE
Development HardwareDevelopment Software/Simulator
Product Name: Real Motion 3-D AnimatorPlatforms Supported: PCDevices Supported: TMS320C40-based processor boards
Features and Benefits
•• Real-time animation for dSPACE development systems for Hardware-Real-time animation for dSPACE development systems for Hardware-in-the-Loop (HIL) simulation.in-the-Loop (HIL) simulation.
•• Displays animated wireframe or solid models.Displays animated wireframe or solid models.
Product Description
dSPACE’s 3-D animation component takes data from the user’s running dSPACE’s 3-D animation component takes data from the user’s running HIL simulation and displays animated wireframe or solid models at real-HIL simulation and displays animated wireframe or solid models at real-time frame rates. Graphics objects can be easily defined by the user in stan-time frame rates. Graphics objects can be easily defined by the user in stan-dard CAD data format prior to animation. During animation, the user can dard CAD data format prior to animation. During animation, the user can switch between viewpoints and change other parameters like colors and switch between viewpoints and change other parameters like colors and individual graphics objects.individual graphics objects.
3-115
DY 4 Systems Inc.21 Fitzgerald Dr.Nepean, Ontario, CanadaK2H 9J4(613) 596 9911Fax: (613) 596 0574e-mail: [email protected]
Company Background DY 4 Systems is the world’s leading independent provider of VMEbus boards to military DY 4 Systems is the world’s leading independent provider of VMEbus boards to military and aerospace systems integrators. The focus of the company is addressing applications and aerospace systems integrators. The focus of the company is addressing applications involving extended temperature, shock, and vibration.involving extended temperature, shock, and vibration.
DY 4’s signal-processing products are based on the TMS320C40 processor. A new DY 4’s signal-processing products are based on the TMS320C40 processor. A new generation graphics/image-processing board is based on the TMS320C80.generation graphics/image-processing board is based on the TMS320C80.
Development Hardware/Plug-In Module/VMEbus
Product Name: DMV-443 Quad TMS320C40 DSPPlatforms Supported: VMEbusDevices Supported: TMS320C40
Features and Benefits
•• Four 50-MHz TMS320C40 Digital Signal ProcessorsFour 50-MHz TMS320C40 Digital Signal Processors•• Each DSP has 512-kB to 2-MB private SRAM, 2-MB shared SRAMEach DSP has 512-kB to 2-MB private SRAM, 2-MB shared SRAM•• Programmable high-speed digital-input portProgrammable high-speed digital-input port•• Support for up to four ’C40 communication ports on P2 connectorSupport for up to four ’C40 communication ports on P2 connector•• VME64 interfaceVME64 interface
Product Description
The DMV-443 is a VME board providing four TMS320C40 Digital Signal The DMV-443 is a VME board providing four TMS320C40 Digital Signal Processors for military and harsh-environment applications. Each Processors for military and harsh-environment applications. Each TMS320C40 has a private memory of up to 2 MB of SRAM. In addition, TMS320C40 has a private memory of up to 2 MB of SRAM. In addition, there is a shared memory of up to 2-MB SRAM. The DMV-443 provides a there is a shared memory of up to 2-MB SRAM. The DMV-443 provides a flexible interface to the outside world via a pair of programmable logic flexible interface to the outside world via a pair of programmable logic devices. This programmable interface provides a high-speed input port that devices. This programmable interface provides a high-speed input port that interfaces to the comm ports of all four ’C40 processors. The design sup-interfaces to the comm ports of all four ’C40 processors. The design sup-ports the full bandwidth of the ’C40 comm port, providing a total sustained ports the full bandwidth of the ’C40 comm port, providing a total sustained throughput of 60 MBps. In addition to the programmable interface, two throughput of 60 MBps. In addition to the programmable interface, two ’C40 comm ports are accessible at the P2 connector for external communi-’C40 comm ports are accessible at the P2 connector for external communi-cations. These ports are buffered for reliable communications over longer cations. These ports are buffered for reliable communications over longer distances. distances.
The DMV-443 is available with the SPOXThe DMV-443 is available with the SPOX®® operating system from Spec- operating system from Spec-tron, and the Unison™ operating system from Multiprocessor Toolsmiths.tron, and the Unison™ operating system from Multiprocessor Toolsmiths.
The DMV-443 is available in six software-compatible versions ranging The DMV-443 is available in six software-compatible versions ranging from air-cooled commercial to full MIL-Spec conduction-cooled.from air-cooled commercial to full MIL-Spec conduction-cooled.
3-116
DY 4 Systems Inc.
Development Hardware/Plug-In Module/VMEbus
Product Name: DMV-442 Quad TMS320C40 DSPPlatforms Supported: VMEbusDevices Supported: TMS320C40
Features and Benefits
•• Four 40-MHz TMS320C40 Digital Signal ProcessorsFour 40-MHz TMS320C40 Digital Signal Processors•• Each DSP has 1-MB to 4-MB private SRAM, 512-k shared SRAMEach DSP has 1-MB to 4-MB private SRAM, 512-k shared SRAM•• Support for up to four ’C40 communication ports on P2 connectorSupport for up to four ’C40 communication ports on P2 connector•• VME64 interfaceVME64 interface•• Built-In-Self-Test (BIST)Built-In-Self-Test (BIST)
Product Description
The DMV-442 is a VME board providing four TMS320C40 Digital Signal The DMV-442 is a VME board providing four TMS320C40 Digital Signal Processors for military and harsh-environment applications. Each Processors for military and harsh-environment applications. Each TMS320C40 has a private memory of up to 4 MBytes of fast static RAM. In TMS320C40 has a private memory of up to 4 MBytes of fast static RAM. In addition, there is a shared memory of up to 2 MBytes of SRAM. The addition, there is a shared memory of up to 2 MBytes of SRAM. The TMS320C40 communication ports are inter-connected on the DMV-442 in TMS320C40 communication ports are inter-connected on the DMV-442 in such a way as to be suitable for a variety of different DSP topologies and such a way as to be suitable for a variety of different DSP topologies and algorithms. Four ports are accessible at the P2 connector for external com-algorithms. Four ports are accessible at the P2 connector for external com-munications. These ports are buffered for reliable communications over munications. These ports are buffered for reliable communications over longer distances.longer distances.
The DMV-442 is available with the SPOX operating system from Spec-The DMV-442 is available with the SPOX operating system from Spec-tron, and the Unison operating system from Multiprocessor Toolsmiths.tron, and the Unison operating system from Multiprocessor Toolsmiths.
The DMV-442 is available in six software-compatible versions ranging The DMV-442 is available in six software-compatible versions ranging from air-cooled commercial to full MIL-Spec conduction-cooled.from air-cooled commercial to full MIL-Spec conduction-cooled.
3-117
DY 4 Systems Inc.
Development Hardware/Plug-In Module/VMEbus
Product Name: DMV-674 Frame GrabberPlatforms Supported: VMEbusDevices Supported: TMS320C40
Features and Benefits
•• Captures and displays video and graphicsCaptures and displays video and graphics•• Analog and digital video inputsAnalog and digital video inputs•• Supports RS-170, RS-330, RS-343A (up to 875 lines) video inputs Supports RS-170, RS-330, RS-343A (up to 875 lines) video inputs •• Optional 40-MHz TMS320C40 DSP Module with two external comm-Optional 40-MHz TMS320C40 DSP Module with two external comm-
port interfacesport interfaces•• VME64 interfaceVME64 interface
Product Description
The DMV-674 Frame Grabber is a VME-based video-capture module for The DMV-674 Frame Grabber is a VME-based video-capture module for military and harsh-environment applications. It supports continuous frame military and harsh-environment applications. It supports continuous frame capture, display of captured video and graphics and scan-rate conversion. capture, display of captured video and graphics and scan-rate conversion. An optional mezzanine module based on the TMS320C40 DSP offers local An optional mezzanine module based on the TMS320C40 DSP offers local image processing.image processing.
The image acquisition front-end includes three monochrome inputs The image acquisition front-end includes three monochrome inputs and a 16-bit digital input. The memory buffer provides 1 MByte of VRAM, and a 16-bit digital input. The memory buffer provides 1 MByte of VRAM, supporting interlaced and non-interlaced frame formats.supporting interlaced and non-interlaced frame formats.
A key capability of the DMV-674 is converting differing input to output A key capability of the DMV-674 is converting differing input to output line and/or scan-rates, permitting captured video to be displayed within a line and/or scan-rates, permitting captured video to be displayed within a window on a high-resolution non-interlaced display.window on a high-resolution non-interlaced display.
The DMV-674 Frame Grabber can be integrated with the other DY 4 The DMV-674 Frame Grabber can be integrated with the other DY 4 image-manipulation products, the DMV-442 Quad ’C40 DSP and the DMV-image-manipulation products, the DMV-442 Quad ’C40 DSP and the DMV-770 Graphics Controller. The DMV-674 provides high-speed data paths to 770 Graphics Controller. The DMV-674 provides high-speed data paths to the Quad ’C40 DSP for real-time image-analysis applications.the Quad ’C40 DSP for real-time image-analysis applications.
The DMV-674 is available in six software-compatible versions ranging The DMV-674 is available in six software-compatible versions ranging from air-cooled commercial to full MIL-Spec conduction-cooled.from air-cooled commercial to full MIL-Spec conduction-cooled.
3-118
DY 4 Systems Inc.
Development Hardware/Plug-In Module/VMEbus
Product Name: DMV-678 High-Speed Analog Capture BoardPlatforms Supported: VMEbusDevices Supported: TMS320C40
Features and Benefits
•• High-speed analog capture, up to 30-MHz, 8-bit resolutionHigh-speed analog capture, up to 30-MHz, 8-bit resolution•• Digital capture port (TTL), 8-bit or 16-bit, up to 25-MHz capture rateDigital capture port (TTL), 8-bit or 16-bit, up to 25-MHz capture rate•• Programmable capture modesProgrammable capture modes•• Optional 40-MHz TMS320C40 DSP module with two external comm-Optional 40-MHz TMS320C40 DSP module with two external comm-
port interfacesport interfaces•• VME64 interfaceVME64 interface
Product Description
The DMV-678 High-Speed Analog Capture Board is a VME-based analog-The DMV-678 High-Speed Analog Capture Board is a VME-based analog-acquisition module for military and harsh-environment applications. It sup-acquisition module for military and harsh-environment applications. It sup-ports a variety of signal-capture scenarios by providing many programma-ports a variety of signal-capture scenarios by providing many programma-ble modes of operation. The DMV-678 may be used as a slave peripheral, or ble modes of operation. The DMV-678 may be used as a slave peripheral, or it may include an optional mezzanine module based on the TMS320C40 it may include an optional mezzanine module based on the TMS320C40 DSP.DSP.
The 8-bit A/D conversion function operates at up to 30 MHz. The on- The 8-bit A/D conversion function operates at up to 30 MHz. The on-board memory can store up to 2 MB. The DMV-678 can adapt to many situ-board memory can store up to 2 MB. The DMV-678 can adapt to many situ-ations with trigger and capture options. It can sample a single event, or ations with trigger and capture options. It can sample a single event, or continuously. The sampling can be triggered by hardware or software, with continuously. The sampling can be triggered by hardware or software, with programmable delays after the trigger. A frequency synthesizer generates programmable delays after the trigger. A frequency synthesizer generates the sampling clock.the sampling clock.
The DMV-678 is available with an add-on module that provides a The DMV-678 is available with an add-on module that provides a TMS320C40 DSP with 2 MB of SRAM. It also provides two buffered comm TMS320C40 DSP with 2 MB of SRAM. It also provides two buffered comm ports permitting communication with other DSP modules such as the DMV-ports permitting communication with other DSP modules such as the DMV-443 Quad ’C40.443 Quad ’C40.
3-119
DY 4 Systems Inc.
Development Hardware/Plug-In Module/VMEbus
Product Name: DMV-783 Graphics and Image ProcessorPlatforms Supported: VMEbusDevices Supported: TMS320C80
Features and Benefits
•• 40-/50-MHz TMS320C80 processor40-/50-MHz TMS320C80 processor•• Dual-independent video-graphics outputsDual-independent video-graphics outputs•• Monochrome and color video captureMonochrome and color video capture•• Up to 8-MB VRAM, 8-MB DRAM, 8-MB FlashUp to 8-MB VRAM, 8-MB DRAM, 8-MB Flash•• VME64 interfaceVME64 interface
Product Description
The DMV-783 Multimedia Graphics Controller is a VMEbus board designed The DMV-783 Multimedia Graphics Controller is a VMEbus board designed for image processing and intensive-graphics-processing applications. The for image processing and intensive-graphics-processing applications. The DMV-783 combines the spectacular performance of the Texas Instruments DMV-783 combines the spectacular performance of the Texas Instruments TMS320C80 Multimedia Video Processor, with graphics and image-process-TMS320C80 Multimedia Video Processor, with graphics and image-process-ing features to provide functionality that previously required three or more ing features to provide functionality that previously required three or more boards. For applications requiring high-performance-graphics generation, boards. For applications requiring high-performance-graphics generation, the DMV-783 offers dual-independent RGB outputs, capable of up to 1600 the DMV-783 offers dual-independent RGB outputs, capable of up to 1600 × 1200 display resolution. The board is offered with either an X.11 server or 1200 display resolution. The board is offered with either an X.11 server or DY4’s Real-Time Graphics Software (RTGS) package.DY4’s Real-Time Graphics Software (RTGS) package.
The DMV-783 is available with a video-frame-grabber module. The The DMV-783 is available with a video-frame-grabber module. The frame grabber is capable of capturing monochrome, RGB, NTSC, and PAL frame grabber is capable of capturing monochrome, RGB, NTSC, and PAL video formats. The DMV-783 can overlay graphics on the captured video video formats. The DMV-783 can overlay graphics on the captured video signal.signal.
The processing power of the ’C80 chip, combined with video capture The processing power of the ’C80 chip, combined with video capture makes the DMV-783 a suitable platform for developing custom image-pro-makes the DMV-783 a suitable platform for developing custom image-pro-cessing applications. The DMV-783 is available with graphics libraries from cessing applications. The DMV-783 is available with graphics libraries from DY 4 to assist with this type of application.DY 4 to assist with this type of application.
3-120
Daimler-Benz Aerospace AGLFK GmbHPostbox 80 11 4981663 MunichGermany+(089) 607 27689Fax: +(089) 607 28184
Company Background LFK-Lenkflugkörpersysteme GmbH (LFK GmbH) is a subsidiary of Daimler-Benz Aero-LFK-Lenkflugkörpersysteme GmbH (LFK GmbH) is a subsidiary of Daimler-Benz Aero-space AG and has its head office at Ottobrunn near Munich.space AG and has its head office at Ottobrunn near Munich.
LFK GmbH is acting as a system company. LFK GmbH develops and manufactures LFK GmbH is acting as a system company. LFK GmbH develops and manufactures anti-tank and air-defense-guided missile systems, as well as missile systems for combat-anti-tank and air-defense-guided missile systems, as well as missile systems for combat-ting sea targets, and stand-off missiles for airborne deployment. LFK GmbH develops ting sea targets, and stand-off missiles for airborne deployment. LFK GmbH develops and builds subsystems and components, with the focus on guidance and control sys-and builds subsystems and components, with the focus on guidance and control sys-tems.tems.
Development Hardware/Plug-In PCI Bus Module
Product Name: PhotoJet10-PCIPlatforms Supported: DOS, Windows NT, Windows 3.1x, Windows 95
Devices Supported: TMS320C40, TMS320C44
Features and Benefits
•• High-performance DSP module with up to 1-MB SRAMHigh-performance DSP module with up to 1-MB SRAM•• ’C40 or ’C44 processor running at 40/50 MHz’C40 or ’C44 processor running at 40/50 MHz•• Compatible with PCI-Bus (VL-Bus on demand)Compatible with PCI-Bus (VL-Bus on demand)•• Four 20-Mbyte/sec comm ports available, on-board data transfer rate Four 20-Mbyte/sec comm ports available, on-board data transfer rate
272 Mbyte/sec272 Mbyte/sec•• XDS510 programming interface for customer-specific softwareXDS510 programming interface for customer-specific software
Product Description
The product designated PhotoJet10-PCI is a personal computer (PC) The product designated PhotoJet10-PCI is a personal computer (PC) expansion board, for acceleration of mathematical-calculation operations expansion board, for acceleration of mathematical-calculation operations equipped with the new PCI-Bus. It will be installed easily by the customer equipped with the new PCI-Bus. It will be installed easily by the customer in a free motherboard slot.in a free motherboard slot.
One application of the board is PC-based digital image editing. For this One application of the board is PC-based digital image editing. For this purpose we offer a lot of software filter functions with new image editing purpose we offer a lot of software filter functions with new image editing possibilities and improved quality together with the board. Special filter possibilities and improved quality together with the board. Special filter functions can be developed on demand. The filter functions will be calcu-functions can be developed on demand. The filter functions will be calcu-lated plainly faster by the PhotoJet board, than by f. i. a Pentium processor.lated plainly faster by the PhotoJet board, than by f. i. a Pentium processor.
For customer-specific application software, all standard input/output For customer-specific application software, all standard input/output routines of the ANSI-C standard is available.routines of the ANSI-C standard is available.
Further applications are f. i. simulation or CAD. Further applications are f. i. simulation or CAD. For special applications with highest performance, the board features For special applications with highest performance, the board features
by its high-speed communication ports, the possibility that up to four by its high-speed communication ports, the possibility that up to four boards can be linked together to a cluster configuration.boards can be linked together to a cluster configuration.
3-121
Dalanco Spry89 Westland AveRochester, NY 14618 USA(716) 473-3610Fax: (716) 271-8380e-mail: [email protected]
Company Background Since 1986, Dalanco Spry has provided cost-effective DSP boards for use in a wide vari-Since 1986, Dalanco Spry has provided cost-effective DSP boards for use in a wide vari-ety of applications. These include DSP algorithm development, data acquisition, instru-ety of applications. These include DSP algorithm development, data acquisition, instru-mentation and control, and numeric processing.mentation and control, and numeric processing.
Development Hardware/Plug-In Board/ISA Bus
Product Name: Model 250Platforms Supported: PCDevices Supported: TMS320C25
Features and Benefits
•• 50-MHz TMS320C25-50 and 384-kBytes SRAM50-MHz TMS320C25-50 and 384-kBytes SRAM•• Eight analog inputs, two analog outputsEight analog inputs, two analog outputs•• Analog sampling rate to 200 kHz, digital I/OAnalog sampling rate to 200 kHz, digital I/O•• Assembler, debugger, applications software includedAssembler, debugger, applications software included•• Low costLow cost
Product Description
The Model 250 is designed for real-time data acquisition and control appli-The Model 250 is designed for real-time data acquisition and control appli-cations requiring the computational capabilities of a DSP. cations requiring the computational capabilities of a DSP.
Software included with the Model 250 includes an assembler, debug-Software included with the Model 250 includes an assembler, debug-ger, FFT and digital filter examples, and signal and spectrum display. A ger, FFT and digital filter examples, and signal and spectrum display. A data acquisition package is also included which provides record and play-data acquisition package is also included which provides record and play-back as well as stimulus/response and pretriggering capabilities.back as well as stimulus/response and pretriggering capabilities.
3-122
Dalanco Spry
Development Hardware/Plug-In Board/ISA Bus
Product Name: Model 5000Platforms Supported: PC
Devices Supported: TMS320C50, TMS320C51
Features and Benefits
•• 40-MIPS TMS320C5x-80 and 384K-Bytes SRAM40-MIPS TMS320C5x-80 and 384K-Bytes SRAM•• Eight analog inputs, two analog outputsEight analog inputs, two analog outputs•• Analog sampling rate to 500 KHz, digital I/OAnalog sampling rate to 500 KHz, digital I/O•• Assembler, debugger, applications software includedAssembler, debugger, applications software included•• Low costLow cost
Product Description
The Model 5000 is designed for real-time data acquisition and control appli-The Model 5000 is designed for real-time data acquisition and control appli-cations requiring the computational capabilities of a fast fixed-point DSP.cations requiring the computational capabilities of a fast fixed-point DSP.
Software included with the Model 5000 includes an assembler, debug-Software included with the Model 5000 includes an assembler, debug-ger, FFT and digital filter examples, and signal and spectrum display. A ger, FFT and digital filter examples, and signal and spectrum display. A data acquisition package is also included which provides record and play-data acquisition package is also included which provides record and play-back as well as stimulus/response and pretriggering capabilities.back as well as stimulus/response and pretriggering capabilities.
3-123
Dalanco Spry
Development Hardware/Plug-In Board/ISA Bus
Product Name: Model 310Platforms Supported: PC
Devices Supported: TMS320C31
Features and Benefits
•• 40-/50-MHz TMS320C31 and 512K-Bytes SRAM40-/50-MHz TMS320C31 and 512K-Bytes SRAM•• Four differential analog inputs, two analog outputsFour differential analog inputs, two analog outputs•• Analog sampling rate to 300 KHzAnalog sampling rate to 300 KHz•• Assembler, debugger, applications software includedAssembler, debugger, applications software included•• Low costLow cost
Product Description
The Model 310 is designed for real-time data acquisition and control appli-The Model 310 is designed for real-time data acquisition and control appli-cations requiring the computational capabilities of a floating-point DSP.cations requiring the computational capabilities of a floating-point DSP.
Software included with the Model 310 includes an assembler, debug-Software included with the Model 310 includes an assembler, debug-ger, DOS and Windows drivers, FFT and digital filter examples, and signal ger, DOS and Windows drivers, FFT and digital filter examples, and signal and spectrum display. A data-acquisition package is also included which and spectrum display. A data-acquisition package is also included which provides record and playback as well as stimulus/response and pretrigger-provides record and playback as well as stimulus/response and pretrigger-ing capabilities.ing capabilities.
3-124
Dalanco Spry
Development Hardware/Plug-In Board/PC/104
Product Name: Model 320-104Platforms Supported: PC/104 bus-compatible microcomputer or standalone
Devices Supported: TMS320C32
Features and Benefits
•• Floating-point TMS320C32 and 512K Bytes SRAMFloating-point TMS320C32 and 512K Bytes SRAM•• Flash memory for boot operationFlash memory for boot operation•• Analog and digital I/OAnalog and digital I/O•• Assembler, debugger, applications software includedAssembler, debugger, applications software included•• PC/104 bus board which may be used in standalone modePC/104 bus board which may be used in standalone mode
Product Description
The Model 320-104 is designed for embedded applications requiring The Model 320-104 is designed for embedded applications requiring the computational capabilities of a floating-point DSP.the computational capabilities of a floating-point DSP.
It may be operated as a PC/104 bus expansion board or as a standalone It may be operated as a PC/104 bus expansion board or as a standalone unit.unit.
3-125
DEEMAX Technology, Inc.5F., No. 34, Ing Ming Street,
Hsinchu, Taiwan, R.O.C.
+886-35-232548
Fax: +886-35-227925
e-mail: [email protected]
Company Background DEEMAX is a leading technical company in Taiwan. Providing high-performance devel-DEEMAX is a leading technical company in Taiwan. Providing high-performance devel-opment tools for hardware and software is the main goal. Our technical support engi-opment tools for hardware and software is the main goal. Our technical support engi-neers speed up customer’s projects. The main product lines are in-circuit emulators for neers speed up customer’s projects. The main product lines are in-circuit emulators for DSP and CISC, as well as DSP control system/boards to reduce a designer’s hardware DSP and CISC, as well as DSP control system/boards to reduce a designer’s hardware effort. DEEMAX’s main market is in education school, research institute/company.effort. DEEMAX’s main market is in education school, research institute/company.
Development Hardware/Emulator
Product Name: In-Circuit Emulator: P-ICE 320C14/C15/C16/C2x/C5xControl System: CSDK-14, 25, 31, 50
Platforms Supported: DOS
Devices Supported: TMS320C14, TMS320C15, TMS320C16, TMS320C2x, TMS320C5x
Features and Benefits
•• Full emulation function for ’C1x, ’C2xFull emulation function for ’C1x, ’C2x•• JTAG emulation port for ’C5xJTAG emulation port for ’C5x•• Control system for ’C14, ’C25, ’C50, ’C31. They are built with ADC, Control system for ’C14, ’C25, ’C50, ’C31. They are built with ADC,
DAC, DIO, communication port as well as debugging environmentDAC, DIO, communication port as well as debugging environment
Product Description
Product specifications:Product specifications:•• P-ICE 320C14/C15/C16/C25P-ICE 320C14/C15/C16/C25
•• 64k execution breakpoints64k execution breakpoints•• 5 bus breakpoints, 8 levels for sequence trigger5 bus breakpoints, 8 levels for sequence trigger•• 4k 4k × 45 bits trace buffer 45 bits trace buffer•• Emulation memory: 128 kw (’C25), 4 kw (’C1x)Emulation memory: 128 kw (’C25), 4 kw (’C1x)•• High-level language debuggerHigh-level language debugger
•• P-ICE 320C50P-ICE 320C50Using JTAG as emulation port. User interface is TMS320C50 C Source Using JTAG as emulation port. User interface is TMS320C50 C Source debugger from TI. Printer port is the communication port with PC/AT.debugger from TI. Printer port is the communication port with PC/AT.•• CSDK-14, CSDK-25, CSDK-31, CSDK-50CSDK-14, CSDK-25, CSDK-31, CSDK-50
•• Memory on-board: 4 kw (-14), 128 kw (-25, -50), 512k Memory on-board: 4 kw (-14), 128 kw (-25, -50), 512k × 32 (-31) 32 (-31)•• 8-/12-/16-bit, 5/800/10,000 ns, 8- (diff) or 16- (single) channel ADC8-/12-/16-bit, 5/800/10,000 ns, 8- (diff) or 16- (single) channel ADC•• 8-/12-/16-bit, 5/600/600 ns, 4-channel DAC8-/12-/16-bit, 5/600/600 ns, 4-channel DAC•• 24-bit DIO, LCM keypad interface24-bit DIO, LCM keypad interface•• 1-/2-/4-channel encoder interface1-/2-/4-channel encoder interface•• 2-/3-/6-channel PWM output2-/3-/6-channel PWM output•• Communication interface: RS-232, PC/AT-ISA, or JTAGCommunication interface: RS-232, PC/AT-ISA, or JTAG•• Source-level, high-level language debuggerSource-level, high-level language debugger
3-126
Dialogic Corporation1515 Route 10Parsippany, NJ 07054(800) 755-4444(201) 993-3030Fax: (201) 993-3093Fax-on-Demand: (201) 993-1063BBS: (201) 993-0864e-mail: [email protected]: http://www.dialogic.com
Company Background Dialogic Corporation (NASDAQ:DLGC) is the world’s leader in the design, production, Dialogic Corporation (NASDAQ:DLGC) is the world’s leader in the design, production, and marketing of standards-based hardware and software components for computer and marketing of standards-based hardware and software components for computer telephony systems. Computer telephony (CT) integrates computer information systems telephony systems. Computer telephony (CT) integrates computer information systems with traditional and wireless telephone networks, allowing access to computer-stored with traditional and wireless telephone networks, allowing access to computer-stored information through telephones, fax machines, computer terminals, Analog Display Ser-information through telephones, fax machines, computer terminals, Analog Display Ser-vices Interfaces (ADSI) phones, and any other devices attached to a telephone network.vices Interfaces (ADSI) phones, and any other devices attached to a telephone network.
Development Hardware/Plug-In Board/Development Algorithm
Product Name: Antares PlatformPlatforms Supported: PC, VMEDevices Supported: TMS320C31
Features and Benefits
•• Allows applications that use multiple technologies on a single hardware Allows applications that use multiple technologies on a single hardware platform (e.g., TTS, ASR)platform (e.g., TTS, ASR)
•• Four independent TI TMS320C31 32-bit DSPsFour independent TI TMS320C31 32-bit DSPs•• Configurable global DRAM and local SRAMConfigurable global DRAM and local SRAM•• SPOX real-time operating system and development toolsSPOX real-time operating system and development tools•• MS-DOS, UNIX, OS/2, and Windows NT device driversMS-DOS, UNIX, OS/2, and Windows NT device drivers
Product Description
Antares is an open DSP (Digital Signal Processor) platform developed for Antares is an open DSP (Digital Signal Processor) platform developed for high-density multi-channel computer telephony applications. The Antares high-density multi-channel computer telephony applications. The Antares platform is supported by a complete open development environment platform is supported by a complete open development environment designed to quickly integrate call-processing technologies into commercial designed to quickly integrate call-processing technologies into commercial computer-telephony systems. The Antares board is a powerful computer-computer-telephony systems. The Antares board is a powerful computer-expansion board based on the Signal Computing System Architecture™ expansion board based on the Signal Computing System Architecture™ (SCSA). SCSA provides an open architecture that enables developers to (SCSA). SCSA provides an open architecture that enables developers to use products from multiple vendors to build unified client server call-pro-use products from multiple vendors to build unified client server call-pro-cessing systems. Developers can take advantage of standard SCSA Applica-cessing systems. Developers can take advantage of standard SCSA Applica-tion Programming Interfaces (APIs), and SCbus™ connectivity provides tion Programming Interfaces (APIs), and SCbus™ connectivity provides access to a wide variety of off-the-shelf call-processing products, including access to a wide variety of off-the-shelf call-processing products, including internationally-approved analog and digital interfaces.internationally-approved analog and digital interfaces.
3-127
Dicon Lab, Inc.1810 NW 23rd Blvd., Suite 164Gainesville, Florida USA 32605(904) 372-6160Fax: (904) 376-7215e-mail: [email protected]: http://www.sw-expo.com/~diconlab
Company Background Dicon Lab is a small company committed to bringing low-cost, affordable DSP hardware Dicon Lab is a small company committed to bringing low-cost, affordable DSP hardware and tools to the general public. It is our company’s philosophy to minimize our expendi-and tools to the general public. It is our company’s philosophy to minimize our expendi-tures with respect to marketing/advertising and instead offer the industry’s lowest cost tures with respect to marketing/advertising and instead offer the industry’s lowest cost products for use by students, professors, commercial researchers, and others seeking products for use by students, professors, commercial researchers, and others seeking affordable DSP accelerator boards.affordable DSP accelerator boards.
Development Hardware/Plug-In ISA Board
Product Name: DSProto3X Series Development SystemsPlatforms Supported: DOS, MS WindowsDevices Supported: TMS320C31, TMS320C32
Features and Benefits
•• High-speed low-cost floating-point DSP accelerator boardsHigh-speed low-cost floating-point DSP accelerator boards•• Expandable zero-wait-state memoryExpandable zero-wait-state memory•• External bus for additional hardware interfacingExternal bus for additional hardware interfacing•• Free software development tools (assembler/linker/debugger)Free software development tools (assembler/linker/debugger)•• 16-bit, 2-channel, 7–48-kHz A/D and D/A data acquisition16-bit, 2-channel, 7–48-kHz A/D and D/A data acquisition
Product Description
DSProto31 is a complete development system based upon the TMS320C31. DSProto31 is a complete development system based upon the TMS320C31. It includes an ISA bus card containing the ’C31 processor, 32k It includes an ISA bus card containing the ’C31 processor, 32k × 32 zero- 32 zero-wait-state SRAM (expandable to 192k wait-state SRAM (expandable to 192k × 32), a buffered external bus (’C31 32), a buffered external bus (’C31 address, data, and control lines) and a serial port. Software support address, data, and control lines) and a serial port. Software support includes an assembler/linker and multi-window debugger. In addition, includes an assembler/linker and multi-window debugger. In addition, there is a sample PC/DSP utility program to illustrate how to use the C there is a sample PC/DSP utility program to illustrate how to use the C library of DSP commands such as loading code to the board, running mem-library of DSP commands such as loading code to the board, running mem-ory tests, resetting the processor, and executing subroutine commands. ory tests, resetting the processor, and executing subroutine commands. DSProto31 also has a data acquisition option that allows 16-bit, 2-channel DSProto31 also has a data acquisition option that allows 16-bit, 2-channel 7–48-kHz sampling/playback via its serial port.7–48-kHz sampling/playback via its serial port.
DSProto32 is a development system based around the TMS320C32 and DSProto32 is a development system based around the TMS320C32 and is very similar to DSProto31. The only major change is that the acquisition is very similar to DSProto31. The only major change is that the acquisition hardware is included on board the accelerator card. Software development hardware is included on board the accelerator card. Software development tools (and C function library) are the same as that found in the DSProto31 tools (and C function library) are the same as that found in the DSProto31 Development System.Development System.
3-128
Digisonix, Inc.8401 Murphy DriveMiddleton, WI 53562-2543(608) 836-3999Fax: (608) 836-5583
Company Background Digisonix, Inc., is a world leader in the development and application of active sound and Digisonix, Inc., is a world leader in the development and application of active sound and
vibration control (ASVC) technology. Digisonixvibration control (ASVC) technology. Digisonix®® ASVC Systems utilize advanced, digital ASVC Systems utilize advanced, digital signal processing technology to detect unwanted sound or vibration disturbances, then signal processing technology to detect unwanted sound or vibration disturbances, then generate an exact opposite force to effectively cancel the disturbances. These systems generate an exact opposite force to effectively cancel the disturbances. These systems work effectively on both repetitive and random disturbances. Digisonix specializes in work effectively on both repetitive and random disturbances. Digisonix specializes in providing ASVC products and development tools, as well as in fostering joint-develop-providing ASVC products and development tools, as well as in fostering joint-develop-ment relationships. ment relationships.
Application Software
Product Name: DIGIWARE� Application Development System for Active Sound & Vibration ControlPlatforms Supported: Microsoft Windows 3.1
Devices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Fully-coupled, on-line, adaptive, feedforward and feedback control Fully-coupled, on-line, adaptive, feedforward and feedback control algorithmsalgorithms
•• Real-time DSP interactionReal-time DSP interaction•• Reduction in ASVC application development timeReduction in ASVC application development time•• Access to Digisonix application engineering supportAccess to Digisonix application engineering support•• Fully documented, customizable source codeFully documented, customizable source code
Product Description
The The DDIIGGIIWWAARREE™ Application Development System includes patented, high-™ Application Development System includes patented, high-powered software and advanced signal processing hardware. It is a powered software and advanced signal processing hardware. It is a research and development tool that can actively control, shape, and cancel research and development tool that can actively control, shape, and cancel sound and vibration. The sound and vibration. The DDIIGGIIWWAARREE system provides rapid solutions that system provides rapid solutions that allow you to integrate state-of-the-art sound and vibration control technol-allow you to integrate state-of-the-art sound and vibration control technol-ogy in your products.ogy in your products.
With the benefit of more than a decade of experience in active control, With the benefit of more than a decade of experience in active control, Digisonix has incorporated many software features in the Digisonix has incorporated many software features in the DDIIGGIIWWAARREE sys- sys-tem. It includes user-friendly application programs (running under tem. It includes user-friendly application programs (running under Microsoft Windows) that allow you to configure, optimize, and tailor the Microsoft Windows) that allow you to configure, optimize, and tailor the control software for your specific application.control software for your specific application.
Quickly access and modify algorithm parameters in real-time during Quickly access and modify algorithm parameters in real-time during the control process—or when compiling the code. With the the control process—or when compiling the code. With the DDIIGGIIWWAARREE sys- sys-tem, you can gather transfer function information via the controller and set tem, you can gather transfer function information via the controller and set working parameters (like convergence rates and filter lengths) to under-working parameters (like convergence rates and filter lengths) to under-stand the system dynamics and optimize the adaptive-control parameters.stand the system dynamics and optimize the adaptive-control parameters.
3-129
dli digital logic instruments GmbHVoltastraße 663128 DietzenbachGermany(int+ 49) 6074/4002-0Fax: (int+ 49) 6074/4002-24, 77www: [email protected]
Company Backgrounddli digital logic instruments gmbh, founded in January 1988 in Dietzenbach near Frank-dli digital logic instruments gmbh, founded in January 1988 in Dietzenbach near Frank-furt, arose from Dolch Logic Instruments, which was among the leading manufacturers furt, arose from Dolch Logic Instruments, which was among the leading manufacturers of Logic Analyzers since the early ’80s. Today dli is specialized on Logic Analyzer Sys-of Logic Analyzers since the early ’80s. Today dli is specialized on Logic Analyzer Sys-tems operating under Microsoft Windows.tems operating under Microsoft Windows.
Development Hardware/Logic Analyzer
Product Name: Personal Line Platforms Supported: PC (Windows 3.1x, Windows 95)
Devices Supported: TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C54x, TMS320C8x
Features and Benefits
•• “Intelligent” Disassemblers for reverse assembly of processor-code “Intelligent” Disassemblers for reverse assembly of processor-code data not directly recorded from processor pins for that cases, where data not directly recorded from processor pins for that cases, where adaption to processor pins is impossibleadaption to processor pins is impossible
•• Easy-to-use graphical user interface running under Microsoft Windows Easy-to-use graphical user interface running under Microsoft Windows 3.1x and Windows 953.1x and Windows 95
•• High-level language manager for debugging on source code levelHigh-level language manager for debugging on source code level•• Software interface for user written control and data display programsSoftware interface for user written control and data display programs
Product Description
The Personal Line (PL Series) Logic Analyzer Family features up to 320 The Personal Line (PL Series) Logic Analyzer Family features up to 320 channels per mainframe, a memory depth up to 32k, external clock rate up channels per mainframe, a memory depth up to 32k, external clock rate up to 100 MHz, and internal clock rate up to 1 GHz. The system can be stand to 100 MHz, and internal clock rate up to 1 GHz. The system can be stand alone or slaved to a PC running as an application under the popular MS alone or slaved to a PC running as an application under the popular MS Windows.Windows.
Capabilities include full time-correlated dual processor tracing Capabilities include full time-correlated dual processor tracing (expandable to 16 processors), a software interface to control and operate (expandable to 16 processors), a software interface to control and operate the Personal Line from user written programs, and a 10-ns time stamp for the Personal Line from user written programs, and a 10-ns time stamp for time correlation of all busses (processors) being monitored.time correlation of all busses (processors) being monitored.FeaturesFeatures•• 32 to 320 channels with external clock rate of 100 MHz, sharing either 32 to 320 channels with external clock rate of 100 MHz, sharing either
a single clock source or two time correlated clock sources.a single clock source or two time correlated clock sources.•• 32K memory depth with full channel count, 64K at half the channels.32K memory depth with full channel count, 64K at half the channels.•• Internal clock rates up to 1 GHz for 72 or 144 channels with 500 MHz.Internal clock rates up to 1 GHz for 72 or 144 channels with 500 MHz.•• High-impedance active logic probes for minimum loading.High-impedance active logic probes for minimum loading.•• Powerful 15-level high-speed trigger with physical trigger outputs to Powerful 15-level high-speed trigger with physical trigger outputs to
trigger external devices.trigger external devices.
3-130
dli digital logic instruments GmbH
Development Hardware/Logic Analyzer
Product Name: pro Line Platforms Supported: PC (Windows NT, Windows 95)
Devices Supported: TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C54x, TMS320C8x
Features and Benefits
•• “Intelligent” disassemblers for reverse assembly of processor-code data “Intelligent” disassemblers for reverse assembly of processor-code data not directly recorded from processor pins for that cases, where adap-not directly recorded from processor pins for that cases, where adap-tion to processor pins is impossibletion to processor pins is impossible
•• Easy-to-use graphical user interface running under Microsoft Windows Easy-to-use graphical user interface running under Microsoft Windows NT and Windows 95NT and Windows 95
•• High-level language manager for debugging on source code levelHigh-level language manager for debugging on source code level•• Software interface for user written control and data display programsSoftware interface for user written control and data display programs•• Easy documentation through full compatibility to Windows programs Easy documentation through full compatibility to Windows programs
like WinWordlike WinWord
Product Description
The professional Line (pro Line Series) Logic Analyzer family features up The professional Line (pro Line Series) Logic Analyzer family features up to 512 channels per mainframe, a memory depth up to 128 K transitional to 512 channels per mainframe, a memory depth up to 128 K transitional (optionally 2 M linear/transitional), external clock rate up to 180 MHz, and (optionally 2 M linear/transitional), external clock rate up to 180 MHz, and internal clock rate up to 720 MHz. A full range of 180-MHz high-speed trig-internal clock rate up to 720 MHz. A full range of 180-MHz high-speed trig-gering capability allows the system to work as an ideal test system for high- gering capability allows the system to work as an ideal test system for high- speed/high-performance digital circuits. The system is slaved to a PC run-speed/high-performance digital circuits. The system is slaved to a PC run-ning as an application under the popular MS Windows user interface.ning as an application under the popular MS Windows user interface.
Capabilities include full time-correlated dual-processor tracing Capabilities include full time-correlated dual-processor tracing (expandable to eight processors), a software interface to control and oper-(expandable to eight processors), a software interface to control and oper-ate the professional Line from user written programs, and a 5-ns time ate the professional Line from user written programs, and a 5-ns time stamp for time correlation of all busses (processors) being monitored.stamp for time correlation of all busses (processors) being monitored.
FeaturesFeatures•• 64 to 512 channels with external clock rate of 180 MHz, configurable in 64 to 512 channels with external clock rate of 180 MHz, configurable in
64 channel steps sharing either a single clock source or two time corre-64 channel steps sharing either a single clock source or two time corre-lated clock sources.lated clock sources.
•• 128 K transitional memory depth. 128 K transitional memory depth. •• 2 M linear/transitional memory depth optional. 2 M linear/transitional memory depth optional. •• Internal clock rates up to 720 MHz for 512 channels.Internal clock rates up to 720 MHz for 512 channels.•• High-impedance active logic probes for easy adaption and minimum High-impedance active logic probes for easy adaption and minimum
loading.loading.•• Powerful 180-MHz high-speed trigger with physical trigger outputs to Powerful 180-MHz high-speed trigger with physical trigger outputs to
trigger external devices.trigger external devices.•• High-speed real-time compare on the fly.High-speed real-time compare on the fly.
3-131
Domain Technologies, Inc.1700 Alma Drive, Suite 245Plano, Texas 75075(214) 985-7593Fax: (214) 985-8579e-mail: [email protected]: http://www.domaintec.com/
Company Background Domain Technologies is a manufacturer of DSP development tools. Our products are Domain Technologies is a manufacturer of DSP development tools. Our products are designed for power, flexibility, and ease of use. Domain Technologie’s product line designed for power, flexibility, and ease of use. Domain Technologie’s product line includes DSP emulators, DSP development card, and source level debuggers. Domain includes DSP emulators, DSP development card, and source level debuggers. Domain Technologies has distributors worldwide.Technologies has distributors worldwide.
Development Hardware/Emulator
Product Name: LINK-C30Platforms Supported: PC
Devices Supported: TMS320C3x
Features and Benefits
•• Microsoft Windows debuggerMicrosoft Windows debugger•• True graphical user interfaceTrue graphical user interface•• C source-level debuggingC source-level debugging•• Up to 20 resource windowsUp to 20 resource windows•• Compact hardwareCompact hardware
Product Description
LINK-C30 is a development system for the Texas Instruments TMS320C3x LINK-C30 is a development system for the Texas Instruments TMS320C3x Digital Signal Processors. The debugger is a true Microsoft Windows appli-Digital Signal Processors. The debugger is a true Microsoft Windows appli-cation with a user interface compatible with the Microsoft Windows con-cation with a user interface compatible with the Microsoft Windows con-vention. Buttons provide a fast way to enter commands, memory can be vention. Buttons provide a fast way to enter commands, memory can be displayed graphically, watch variables can be set with a mouse click. The displayed graphically, watch variables can be set with a mouse click. The debugger is symbolic, it provides source-level debugging for C programs. debugger is symbolic, it provides source-level debugging for C programs. The hardware uses the debug and test port available on the DSP as the The hardware uses the debug and test port available on the DSP as the emulation path to the chip. The system includes: the emulation hardware, emulation path to the chip. The system includes: the emulation hardware, the debugger software, the user’s manual, and a full satisfaction guarantee.the debugger software, the user’s manual, and a full satisfaction guarantee.
3-132
Domain Technologies, Inc.
Development Hardware/Emulator
Product Name: PCMCIA-C5xPlatforms Supported: PC, Laptop
Devices Supported: TMS320C5x
Features and Benefits
•• Plugs into a PCMCIA type-2 slotPlugs into a PCMCIA type-2 slot•• Low power consumptionLow power consumption•• C, Assembly, and mixed debuggingC, Assembly, and mixed debugging•• Rugged and easy to useRugged and easy to use•• Microsoft Windows debuggerMicrosoft Windows debugger
Product Description
Domain Technologies’ PCMCIA-C5x is a PCMCIA-based development sys-Domain Technologies’ PCMCIA-C5x is a PCMCIA-based development sys-tem for the Texas Instruments TMS320C5x digital signal processors. The tem for the Texas Instruments TMS320C5x digital signal processors. The hardware plugs into a type-2 slot, thus allowing field development and hardware plugs into a type-2 slot, thus allowing field development and debugging. The debugger is a true Microsoft Windows application with a debugging. The debugger is a true Microsoft Windows application with a user interface compatible with the Microsoft Windows convention. The user interface compatible with the Microsoft Windows convention. The debugger is symbolic, it provides source level debugging for C as well as debugger is symbolic, it provides source level debugging for C as well as assembly language programs. The system includes: the emulation hard-assembly language programs. The system includes: the emulation hard-ware, the debugger software, the user’s manual, and a full satisfaction guar-ware, the debugger software, the user’s manual, and a full satisfaction guar-antee.antee.
3-133
Domain Technologies, Inc.
Development Hardware/PC Plug-In Board
Product Name: Toolset-C31Platforms Supported: PC
Devices Supported: TMS320C31
Features and Benefits
•• TMS320C31 card with assembler and debuggerTMS320C31 card with assembler and debugger•• Simple and open architectureSimple and open architecture•• Microsoft Windows debuggerMicrosoft Windows debugger•• C, Assembly, and mixed debuggingC, Assembly, and mixed debugging•• 32-bit floating-point assembler32-bit floating-point assembler
Product Description
Toolset-C31 is a software development platform for the Texas Instruments Toolset-C31 is a software development platform for the Texas Instruments TMS320C31 Digital Signal Processor. The system is comprised of a 32-bit TMS320C31 Digital Signal Processor. The system is comprised of a 32-bit assembler, a source-level symbolic debugger, and a DSP card. The DSP assembler, a source-level symbolic debugger, and a DSP card. The DSP card consists of a ’C31, high-speed static memory, a 14-bit analog I/O, a card consists of a ’C31, high-speed static memory, a 14-bit analog I/O, a debug interface, and a host interface. The debugger is a Microsoft Win-debug interface, and a host interface. The debugger is a Microsoft Win-dows application with a user interface compatible with the Microsoft Win-dows application with a user interface compatible with the Microsoft Win-dows convention. The toolset is designed to be used in a wide range of dows convention. The toolset is designed to be used in a wide range of applications including telecommunications, audio signal processing, data applications including telecommunications, audio signal processing, data acquisition, and speech processing.acquisition, and speech processing.
3-134
Domain Technologies, Inc.
Application Software/Debugging Tools
Product Name: Debug-C3xPlatforms Supported: PC
Devices Supported: TMS320C3x
Features and Benefits
•• True graphical user interfaceTrue graphical user interface•• Fully symbolic source-level debugger Fully symbolic source-level debugger •• Up to 20 independent windowsUp to 20 independent windows•• C, Assembly, and mixed debuggingC, Assembly, and mixed debugging•• For ’C3x emulators and development cardsFor ’C3x emulators and development cards
Product Description
Debug-C3x is a Microsoft Windows debugger for TMS320C3x development Debug-C3x is a Microsoft Windows debugger for TMS320C3x development cards and emulators. The software is designed to run on hardware tools cards and emulators. The software is designed to run on hardware tools that use the test bus controller as the emulation path to the DSP. The user that use the test bus controller as the emulation path to the DSP. The user interface is modern and highly visual: Buttons provide a fast way to enter interface is modern and highly visual: Buttons provide a fast way to enter commands, memory may be displayed graphically, watch variables can be commands, memory may be displayed graphically, watch variables can be set with a mouse click, C and assembly may be interlaced. With the use of set with a mouse click, C and assembly may be interlaced. With the use of high-resolution monitors, the user can view a massive amounts of informa-high-resolution monitors, the user can view a massive amounts of informa-tion, thus saving time. This software is compatible with the Texas Instru-tion, thus saving time. This software is compatible with the Texas Instru-ments assembler, linker, and C compiler, and most hardware platforms ments assembler, linker, and C compiler, and most hardware platforms available on the market. The products include the software, a user’s man-available on the market. The products include the software, a user’s man-ual, and a full satisfaction guarantee.ual, and a full satisfaction guarantee.
3-135
Electronic Tools GmbHEisenhuettenstrasse 12
D 40882 Ratingen
Germany
+49 2102 8801-0
Fax: +49 2102 8801-23
e-mail: [email protected]
Company Background Electronic Tools is the first European supplier forElectronic Tools is the first European supplier for•• the low-cost evaluation system EVM32 with the TMS320C32 from Texas Instrumentsthe low-cost evaluation system EVM32 with the TMS320C32 from Texas Instruments•• embedded DSP systems in credit-card format based on TMS320C3x, ’C4x,’C5x, pro-embedded DSP systems in credit-card format based on TMS320C3x, ’C4x,’C5x, pro-cessorscessors•• an integrated Windows Visual C™-like development environment for Texas Instru-an integrated Windows Visual C™-like development environment for Texas Instru-ments EVM30, EVM50, and XDS510 systems.ments EVM30, EVM50, and XDS510 systems.
We are renown for our competent technical support. Our team of application engi-We are renown for our competent technical support. Our team of application engi-neers are readily available to assist you with their know-how and experience. Major neers are readily available to assist you with their know-how and experience. Major international accounts of Electronic Tools are Siemens, Philips, Ericsson, Bosch, international accounts of Electronic Tools are Siemens, Philips, Ericsson, Bosch, Hewlett Packard, Daimler Benz, Porsche, BMW, as well as many government and aca-Hewlett Packard, Daimler Benz, Porsche, BMW, as well as many government and aca-demic institutions.demic institutions.
Development Hardware/PC/OEM Module
Product Name: miniKit DSP ModulesPlatforms Supported: PC, Standalone, Embedded in target hardware
Devices Supported: TMS320C31, TMS320C32, TMS320C44, TMS320C50
Features and Benefits
•• miniKit-320C31:miniKit-320C31:TMS320C31 with 33, 40, 50, and 60 MHz—Up to 512-kbytes SRAM and TMS320C31 with 33, 40, 50, and 60 MHz—Up to 512-kbytes SRAM and 512-kbytes boot Flash EPROM—Standalone capability with watchdog 512-kbytes boot Flash EPROM—Standalone capability with watchdog timer and voltage monitoring—RS-232C interface and user-program-timer and voltage monitoring—RS-232C interface and user-program-mable bit-I/O and I/O-select signals—Dimensions 85 mm mable bit-I/O and I/O-select signals—Dimensions 85 mm × 61 mm 61 mm
•• miniKit-320C32:miniKit-320C32:TMS320C32—Perfect fit for real-time DSP applications—Open interfacesTMS320C32—Perfect fit for real-time DSP applications—Open interfaces for connecting peripherals and microcontrollers—Fully compatible withfor connecting peripherals and microcontrollers—Fully compatible with ET’s complete range of miniKit products—Dimensions 85 mm ET’s complete range of miniKit products—Dimensions 85 mm × 58 mm 58 mm
miniKit-320C32miniKit-320C32
miniKit-320C31miniKit-320C31
3-136
•• miniKit-320C44:miniKit-320C44:TMS320C44 with 40, 50 and 60 MHz—Two banks of up to 512 k TMS320C44 with 40, 50 and 60 MHz—Two banks of up to 512 k × 32- 32-bit zero-wait state, 4-Mbit Flash EPROM—Power supervision, watch-bit zero-wait state, 4-Mbit Flash EPROM—Power supervision, watch-dog timer, six pre-decoded chip select signals, 16 digital I/O lines—One dog timer, six pre-decoded chip select signals, 16 digital I/O lines—One asynchronous interface (RS-232C up to 115 kbaud) and a JTAG port—asynchronous interface (RS-232C up to 115 kbaud) and a JTAG port—Dimensions 85 mm Dimensions 85 mm × 63 mm 63 mm
•• miniKit-320C50:miniKit-320C50:TMS320C50 with 40 and 57 MHz—Up to 256-kbytes SRAM and 512-TMS320C50 with 40 and 57 MHz—Up to 256-kbytes SRAM and 512-kbytes boot-flash EPROM—Standalone capability with watchdog timer kbytes boot-flash EPROM—Standalone capability with watchdog timer and voltage monitoring—RS-232C interface and user-programmable and voltage monitoring—RS-232C interface and user-programmable bit-I/O and I/O-select signals—Dimensions 85 mm bit-I/O and I/O-select signals—Dimensions 85 mm × 58 mm 58 mm
•• miniKit Peripheral ModulesminiKit Peripheral ModulesDue to the standardized interfaces of the miniKit family each periph-Due to the standardized interfaces of the miniKit family each periph-eral module can be used in combination with each DSP module. For eral module can be used in combination with each DSP module. For extremly-compact solutions, the modules can be stacked on each extremly-compact solutions, the modules can be stacked on each other. Also every peripheral module can be integrated into any target other. Also every peripheral module can be integrated into any target hardware like the DSP modules.hardware like the DSP modules.
The following Peripheral Modules are available:The following Peripheral Modules are available:Frame grabber module for image-processing applications—CODEC module Frame grabber module for image-processing applications—CODEC module for speech and telecom applications—16-bit audio module—12-bit/100-kHz for speech and telecom applications—16-bit audio module—12-bit/100-kHz data acquisition module for test and measurement applicationsdata acquisition module for test and measurement applications
Product Description
The miniKit modules of Electronic Tools are the first digital signal process-The miniKit modules of Electronic Tools are the first digital signal process-ing systems to combine the potential of high-performance DSP power with ing systems to combine the potential of high-performance DSP power with the dimensions of a credit card. The miniKits can almost invariably be inte-the dimensions of a credit card. The miniKits can almost invariably be inte-grated into its planned application as if it were a big IC. Using off-the-shelf grated into its planned application as if it were a big IC. Using off-the-shelf modules with defined interfaces, engineers can fully concentrate on their modules with defined interfaces, engineers can fully concentrate on their core design. This rational approach to product development obviously core design. This rational approach to product development obviously offers considerable benefits in terms of savoffers considerable benefits in terms of saving time and cost efficiency. ing time and cost efficiency. miniKits have all necessary resources (e.g.,miniKits have all necessary resources (e.g., Flash EPROM, RAM boot logic, Flash EPROM, RAM boot logic, watchdog timer, voltage monitoring, andwatchdog timer, voltage monitoring, and battery buffering) to allow true battery buffering) to allow true embedded operation. Moreover, miniKits are equipped with a number of embedded operation. Moreover, miniKits are equipped with a number of well defined standardized and specified interfaces that enable smooth inte-well defined standardized and specified interfaces that enable smooth inte-gration in virtually any type of application like a big chip. These interfaces, gration in virtually any type of application like a big chip. These interfaces, i.e., HostBus, miniBus, and ExpansionBus, are available on connecting i.e., HostBus, miniBus, and ExpansionBus, are available on connecting strips on every miniKit module and allow easy connection of any host, strips on every miniKit module and allow easy connection of any host, peripheral, or memory expansion.peripheral, or memory expansion.
miniKit-320C50miniKit-320C50
miniKit-AD1miniKit-AD1
miniKit-320C44miniKit-320C44
3-137
Electronic Tools GmbH
Development Hardware/PC/OEM Module
Product Name: EVM32 Evaluation BoardPlatforms Supported: PC
Devices Supported: TMS320C32
Features and Benefits
•• Features of the EVM32:Features of the EVM32:•• PC plug-in board with TMS320C32, 40 MHz, 128-kbyte SRAM, PC plug-in board with TMS320C32, 40 MHz, 128-kbyte SRAM,
zero-wait statezero-wait state•• Stereo codec, 16-bit, maximum 48-kHz, test bus controllerStereo codec, 16-bit, maximum 48-kHz, test bus controller•• C/C++ compiler and source-level debugger from Tartan (restricted C/C++ compiler and source-level debugger from Tartan (restricted
LITE versions)LITE versions)•• Demos of application programs (e.g., filter design)Demos of application programs (e.g., filter design)•• Comprehensive documentation including all manuals from TI on Comprehensive documentation including all manuals from TI on
the TMS320C32the TMS320C32
Product Description
EVM32 is the perfect vehicle for evaluating the TMS320C32. It allows EVM32 is the perfect vehicle for evaluating the TMS320C32. It allows immediate and easy access to the exciting world of digital-signal process-immediate and easy access to the exciting world of digital-signal process-ing. The DSP novice will see how simple digital-signal processing can be ing. The DSP novice will see how simple digital-signal processing can be using this PC board with the TMS320C32. Static RAM and an analog inter-using this PC board with the TMS320C32. Static RAM and an analog inter-face, a Tartan C/C++ compiler with debugger in their LITE versions, as well face, a Tartan C/C++ compiler with debugger in their LITE versions, as well as comprehensive documentation result in a ready-to-use solution. The as comprehensive documentation result in a ready-to-use solution. The EVM32 can be expanded to a fully-blown, professional development sys-EVM32 can be expanded to a fully-blown, professional development sys-tem. You can extend the package to meet the requirements of formidable tem. You can extend the package to meet the requirements of formidable DSP development tasks without sacrificing your initial investment. At a DSP development tasks without sacrificing your initial investment. At a price of DM 799 (excluding VAT) the EVM32 package is especially attrac-price of DM 799 (excluding VAT) the EVM32 package is especially attrac-tive for low-budget projects in academic and research environments.tive for low-budget projects in academic and research environments.
PC-I/O mapped interface
Test buscontroller/Debugginginterface
Emulatorout
32K x 32SRAM
0 wait-state
CODEC2 channels
48 kHz
Line in L/R
Line out L/R
MIC L/R
Phones L/R
DSPTMS320C32
40 MHz
3-138
Eonic Systems, Inc.Europe USALindestraat 9 B-3210 Linden 12210 Plum Orchard DriveBelgium Silver Spring, MD 20904-7801+32 16 62 15 85 (301) 572-5000Fax.: +32 16 62 15 84 Fax: (301) 572-5005e-mail: [email protected] e-mail: [email protected]: http://www.eonics.com
Company Background Eonic Systems grew out of Intelligent Systems International which was founded in 1989. Eonic Systems grew out of Intelligent Systems International which was founded in 1989. It quickly specialized in the development of high-performance programming tools for It quickly specialized in the development of high-performance programming tools for single- and multi-processor targets used in hard real-time applications: real-time OSs, single- and multi-processor targets used in hard real-time applications: real-time OSs, libraries, rapid prototyping, and fast application development tools. Concentrating on libraries, rapid prototyping, and fast application development tools. Concentrating on DSPs, Eonic Systems is a core member of the DSP Valley Consortium, located in Leu-DSPs, Eonic Systems is a core member of the DSP Valley Consortium, located in Leu-ven, Belgium.ven, Belgium.
Engineering Services
Product Name: Custom Engineering and ConsultancyPlatforms Supported: PC (DOS, OS/2, MS-Windows), Sun (Solaris-1, Solaris-2), and othersDevices Supported: TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Porting and customization of a Virtuoso toolPorting and customization of a Virtuoso tool•• Development of hardware-specific driversDevelopment of hardware-specific drivers•• Training is offered in the following areas:Training is offered in the following areas:
–– Consultancy on hardware design (system level)Consultancy on hardware design (system level)–– Optimization of application-specific algorithmsOptimization of application-specific algorithms
Product Description
While the Virtuoso programming tools provide general-purpose functional-While the Virtuoso programming tools provide general-purpose functional-ity, several services offered by Eonic Systems address more specific ity, several services offered by Eonic Systems address more specific demands. These services cover customization of products, training, and demands. These services cover customization of products, training, and consultancy on the design of custom hardware or software.consultancy on the design of custom hardware or software.
These services rely on our experience with around 15 processors, over These services rely on our experience with around 15 processors, over 50 boards and several types of computer and communication configura-50 boards and several types of computer and communication configura-tions. The added value of this consultancy is in exploiting hardware in the tions. The added value of this consultancy is in exploiting hardware in the best way for a given set of global system requirements.best way for a given set of global system requirements.
DSP Valley: The capabilities of Eonic Systems for providing valuable DSP Valley: The capabilities of Eonic Systems for providing valuable services are greatly extended through the intensive cooperation among the services are greatly extended through the intensive cooperation among the partners of DSP Valley, totaling 300 DSP experts within a 10-km radius.partners of DSP Valley, totaling 300 DSP experts within a 10-km radius.
3-139
Eonic Systems, Inc.
Real-Time Operating System
Product Name: Virtuoso Nano/SP: Single Processor implementation/VSP: Virtual Single Processor implementation (�C4x only)
Platforms Supported: PC (DOS, OS/2, MS-Windows), Sun (Solaris-1, Solaris-2), and othersDevices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Ultra-fast, ultra-small multi-tasking kernelUltra-fast, ultra-small multi-tasking kernel•• Programmed in C and/or assemblyProgrammed in C and/or assembly•• Processes communicate using “channels” with automatic routingProcesses communicate using “channels” with automatic routing•• Processes are round-robin scheduledProcesses are round-robin scheduled•• Stdio and PC graphics on the root processorStdio and PC graphics on the root processor
Product Description
Virtuoso Nano is a very small (from 200 instructions) and superfast inter-Virtuoso Nano is a very small (from 200 instructions) and superfast inter-ruptible multi-tasking kernel that combines the speed of Interrupt Services ruptible multi-tasking kernel that combines the speed of Interrupt Services Routines with the flexibility of dynamic tasks. Each nanokernel process has Routines with the flexibility of dynamic tasks. Each nanokernel process has its own stack and is round-robin scheduled. The use of a reduced register its own stack and is round-robin scheduled. The use of a reduced register context and a dedicated set of synchronization and communication ser-context and a dedicated set of synchronization and communication ser-vices result in sub-microsecond context switch and service times. The vices result in sub-microsecond context switch and service times. The application is controlled from within an interruptible main() function in C. application is controlled from within an interruptible main() function in C. Interrupt disabling times are reduced to the sub-microsecond range.Interrupt disabling times are reduced to the sub-microsecond range.
System wide message passing (synchronous and asynchronous) and a System wide message passing (synchronous and asynchronous) and a distributed semantics is included with the VSP implementation. This allows distributed semantics is included with the VSP implementation. This allows the developer to make abstraction of the peculiarities of communication the developer to make abstraction of the peculiarities of communication and synchronization between the multiple processors and instead to con-and synchronization between the multiple processors and instead to con-centrate on optimizing his algorithms. This VSP implementation greatly centrate on optimizing his algorithms. This VSP implementation greatly speeds up application development.speeds up application development.
Services support process creation and management, process (de-) Services support process creation and management, process (de-) scheduling, semaphore channels, linked-list channels, stack-based chan-scheduling, semaphore channels, linked-list channels, stack-based chan-nels, FIFO channels, system-wide FIFO-ports, timed events, interfaces nels, FIFO channels, system-wide FIFO-ports, timed events, interfaces with ISRs. The user can add application-specific services.with ISRs. The user can add application-specific services.
3-140
Eonic Systems, Inc.
Real-Time Operating System
Product Name: Virtuoso Micro/SP: Single-processor implementation/MP: Single-processor implementation with point-to-point communication support(�C4x only)
Platforms Supported: PC (DOS, OS/2, MS-Windows), Sun (Solaris-1, Solaris-2), and othersDevices Supported: TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Same API as Virtuoso Classico offers full scalabilitySame API as Virtuoso Classico offers full scalability•• Optimized for DSP performanceOptimized for DSP performance•• System generation: Automatic and transparent generation of start-up System generation: Automatic and transparent generation of start-up
code and system tables for each processor in the network. Includes code and system tables for each processor in the network. Includes network loader.network loader.
•• Support libraries: Character I/O, standard I/O, PC graphics.Support libraries: Character I/O, standard I/O, PC graphics.•• Debugger support: integrated task-level debugger, tracing monitor, Debugger support: integrated task-level debugger, tracing monitor,
and workload monitor.and workload monitor.
Product Description
Virtuoso Micro is a fast, preemptive multi-tasking kernel. Each microkernel Virtuoso Micro is a fast, preemptive multi-tasking kernel. Each microkernel task has its own stack and is scheduled in order of its priority. The micro-task has its own stack and is scheduled in order of its priority. The micro-kernel interfaces directly with the interrupts (while global interrupts kernel interfaces directly with the interrupts (while global interrupts remain enabled). The microkernel offers a rich C-level API which is highly remain enabled). The microkernel offers a rich C-level API which is highly portable across processors, boards, and configurations. Virtuoso Micro has portable across processors, boards, and configurations. Virtuoso Micro has the same API as the microkernel level API of Virtuoso Classico. Following the same API as the microkernel level API of Virtuoso Classico. Following services are offered: Task management, counting semaphores, FIFO services are offered: Task management, counting semaphores, FIFO queues, synchronized mailboxes, memory allocation, resource protection, queues, synchronized mailboxes, memory allocation, resource protection, workload monitoring, interfaces with ISRs. Most services are provided in workload monitoring, interfaces with ISRs. Most services are provided in non-blocking, blocking and timed-out versions. Group operations on tasks.non-blocking, blocking and timed-out versions. Group operations on tasks.
System generation: Automatic and transparent generation of start-up System generation: Automatic and transparent generation of start-up code and system tables for each processor in the network. Includes net-code and system tables for each processor in the network. Includes net-work loader.work loader.
Support libraries: Character I/O, standard I/O, PC graphics. Support libraries: Character I/O, standard I/O, PC graphics. Debugger support: integrated task-level debugger, tracing monitor, Debugger support: integrated task-level debugger, tracing monitor,
and workload monitor. Cross development version for use on PC with Bor-and workload monitor. Cross development version for use on PC with Bor-land C and several examples are included.land C and several examples are included.
3-141
Eonic Systems, Inc.
Assembly-Optimized DSP Function Library
Product Name: Virtuoso Modulo 0, 1, 2, 3, 4, 5, 6Platforms Supported: PC (DOS, OS/2, MS-Windows), Sun (Solaris-1, Solaris-2), and othersDevices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Includes host server and bootloaderIncludes host server and bootloader•• Assembly optimized for best DSP performanceAssembly optimized for best DSP performance•• Over 1500 functionsOver 1500 functions•• Support libraries: Character I/O, standard I/O, PC graphicsSupport libraries: Character I/O, standard I/O, PC graphics
A host server with integrated bootloader (multiprocessor, if applicable) A host server with integrated bootloader (multiprocessor, if applicable) provides character I/O, standard I/O, PC graphics, and heap-allocation provides character I/O, standard I/O, PC graphics, and heap-allocation functions. Around 100 functions when using DOS-based hostserver, around functions. Around 100 functions when using DOS-based hostserver, around 50 on Solaris.50 on Solaris.
•• Modulo 1: Application Development Support PackageModulo 1: Application Development Support Package
Contains: heap allocation, vector library, matrix library, signal functions Contains: heap allocation, vector library, matrix library, signal functions library, filters, FFT functions. All 100+ functions are provided in C and library, filters, FFT functions. All 100+ functions are provided in C and optimized assembly. Includes Modulo 0.optimized assembly. Includes Modulo 0.•• Modulo 2: Super-optimized mathematical librariesModulo 2: Super-optimized mathematical libraries
Covers 33 mathematical functions (trigonometric, transcendental, hyper-Covers 33 mathematical functions (trigonometric, transcendental, hyper-bolic, and square-root functions, plus their associated inverses). Includes bolic, and square-root functions, plus their associated inverses). Includes Modulo 0.Modulo 0.•• Modulo 3: Super-optimized DSP librariesModulo 3: Super-optimized DSP libraries
Includes: vector functions, matrix functions, FFT functions, filters, win-Includes: vector functions, matrix functions, FFT functions, filters, win-dowing functions, image-processing functions, bit-wise operators, data dowing functions, image-processing functions, bit-wise operators, data compression, type conversions, integration, data fitting, algebraic func-compression, type conversions, integration, data fitting, algebraic func-tions, vector norms, thresholds, moving/copying, boolean operators ( > 300 tions, vector norms, thresholds, moving/copying, boolean operators ( > 300 functions). Includes Modulo 0.functions). Includes Modulo 0.•• Modulo 4: CBLASModulo 4: CBLAS
Contains > 140 functions that provide optimized basic linear algebra. This Contains > 140 functions that provide optimized basic linear algebra. This includes matrix allocation, vector operations, matrix operations. Includes includes matrix allocation, vector operations, matrix operations. Includes Modulo 0.Modulo 0.•• Modulo 5: EISPACKModulo 5: EISPACK
A set of > 100 optimized eigen-value/eigen-vector functions for solving A set of > 100 optimized eigen-value/eigen-vector functions for solving eigen-value/eigen-vector problems for various special as well as general eigen-value/eigen-vector problems for various special as well as general matrices. Includes Modulo 0.matrices. Includes Modulo 0.•• Modulo 6: Optimized image-processing librariesModulo 6: Optimized image-processing libraries
This library contains most of the basic functions ( > 400) needed for image This library contains most of the basic functions ( > 400) needed for image processing. It includes: addition, division, scaling, subtraction, edge detec-processing. It includes: addition, division, scaling, subtraction, edge detec-tion using different algorithms, filtering, logarithmic functions, noise tion using different algorithms, filtering, logarithmic functions, noise removal, thresholds, bit-wise operators, 2D FFT, convolution, coordinate removal, thresholds, bit-wise operators, 2D FFT, convolution, coordinate transform, and various miscellaneous algorithms. Includes Modulo 0.transform, and various miscellaneous algorithms. Includes Modulo 0.
3-142
Eonic Systems, Inc.
Rapid Prototyping and Application Development Software
Product Name: Virtuoso Synchro /VSPPlatforms Supported: PC (MS-Windows), Sun (Solaris-1, Solaris-2), and othersDevices Supported: TMS320C4x. A port to the TMS320C80 is undertaken under the TI Elite Program
Features and Benefits
•• Implicit virtual single processor programming modelImplicit virtual single processor programming model•• Code generation for synchronous data-flow applicationsCode generation for synchronous data-flow applications•• System generation: resource estimation, automatic processor mapping, System generation: resource estimation, automatic processor mapping,
automatic schedule generation, code generationautomatic schedule generation, code generation•• Optimal communication code inserted automaticallyOptimal communication code inserted automatically•• No kernel overhead, minimum input-to-output delayNo kernel overhead, minimum input-to-output delay
Product Description
Virtuoso Synchro is a system-level development environment for the speci-Virtuoso Synchro is a system-level development environment for the speci-fication, simulation, emulation, and implementation of synchronous multi-fication, simulation, emulation, and implementation of synchronous multi-rate DSP applications on multi-processor target systems. Application areas: rate DSP applications on multi-processor target systems. Application areas: speech, audio, radar, image, video processing, telecommunication systems. speech, audio, radar, image, video processing, telecommunication systems. The different tools allow for target-independent descriptions of the appli-The different tools allow for target-independent descriptions of the appli-cation and generate an optimal synchronous executable program. As the cation and generate an optimal synchronous executable program. As the generated schedule is application specific and as no run-time kernel is generated schedule is application specific and as no run-time kernel is needed, this minimizes the input-to-output delay and the memory require-needed, this minimizes the input-to-output delay and the memory require-ments. Heterogeneous target hardware is supported. All tools interact with ments. Heterogeneous target hardware is supported. All tools interact with two central databases, one to describe the application and one to describe two central databases, one to describe the application and one to describe the target hardware supported. TMS320C4x Virtuoso Synchro is the com-the target hardware supported. TMS320C4x Virtuoso Synchro is the com-mercial development, based on the GRAPE-II research project from the mercial development, based on the GRAPE-II research project from the ESAT department from the Katholieke Universiteit Leuven, Belgium.ESAT department from the Katholieke Universiteit Leuven, Belgium.
3-143
Eonic Systems, Inc.
Development Software
Product Name: Virtuoso Multi-Threaded Host ServerPlatforms Supported: PC (MS-Windows), Sun (Solaris-1, Solaris-2), and othersDevices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Provides tight integration between host and DSP targetProvides tight integration between host and DSP target•• Up to 128 bidirectional communication channels between host and tar-Up to 128 bidirectional communication channels between host and tar-
getget•• System-level support: loader, terminal, stdio, task-level debugger, trac-System-level support: loader, terminal, stdio, task-level debugger, trac-
ing monitor displaying monitor display•• Host side provides visualization and network interface Host side provides visualization and network interface •• Planned availability: end 2Q 1996Planned availability: end 2Q 1996
Product Description
The Virtuoso multi-threaded host server is an add-on product for the Virtu-The Virtuoso multi-threaded host server is an add-on product for the Virtu-oso real-time OSs. It offers a greatly extended connectivity between the oso real-time OSs. It offers a greatly extended connectivity between the host (under Windows or Solaris) and one or more tasks running on the tar-host (under Windows or Solaris) and one or more tasks running on the tar-get network. This allows to spread the applications functionality over host get network. This allows to spread the applications functionality over host platform and real-time nodes.platform and real-time nodes.
The generic functionality consists of up to 128 logically-independent The generic functionality consists of up to 128 logically-independent bi-directional communication channels between tasks on the real-time side bi-directional communication channels between tasks on the real-time side (e.g.: on a DSP-board) and applications on the host side. On the host side, (e.g.: on a DSP-board) and applications on the host side. On the host side, sockets or DLLs are used. This allows to interconnect one or more host sys-sockets or DLLs are used. This allows to interconnect one or more host sys-tems via networks with one host running the host server which is con-tems via networks with one host running the host server which is con-nected to the target network. The Virtuoso tools use a few of these nected to the target network. The Virtuoso tools use a few of these channels for its own system std I/O console, the task-level debugger and channels for its own system std I/O console, the task-level debugger and the tracing monitor.the tracing monitor.
3-144
Eonic Systems, Inc.
Development Software
Product Name: Virtuoso Classico /VSP Technology License Platforms Supported: PC (MS-Windows), Sun (Solaris-1, Solaris-2), and othersDevices Supported: TMS320C4x
Features and Benefits
•• Full documentation of the nanokernel in Classico /VSPFull documentation of the nanokernel in Classico /VSP•• Full documentation of the microkernel in Classico /VSPFull documentation of the microkernel in Classico /VSP•• Full documentation of the communication protocols in Virtuoso Clas-Full documentation of the communication protocols in Virtuoso Clas-
sico /VSPsico /VSP•• Full documentation of the host-interfaceFull documentation of the host-interface•• Documentation of task-level debuggerDocumentation of task-level debugger
Product Description
The Virtuoso Classico/VSP Technology License is an add-on product for the The Virtuoso Classico/VSP Technology License is an add-on product for the Virtuoso real-time operating systems. It provides complete, comprehen-Virtuoso real-time operating systems. It provides complete, comprehen-sive, and detailed documentation at the kernel level. It provides a solid sive, and detailed documentation at the kernel level. It provides a solid basis for quality verification and allows demanding users to verify a correct basis for quality verification and allows demanding users to verify a correct operation of their application.operation of their application.
3-145
Eonic Systems, Inc.
Development Software
Product Name: Virtuoso RTOS Board Porting KitPlatforms Supported: PC (DOS, OS/2, MS-Windows), Sun (Solaris-1, Solaris-2) and othersDevices Supported: TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Documented source code to port OS to specific boardDocumented source code to port OS to specific board•• System generation: automatic and transparent generation of start-up System generation: automatic and transparent generation of start-up
code and system tables for each processor in the network. Includes code and system tables for each processor in the network. Includes network loader.network loader.
•• Support libraries: Character I/O, standard I/O, PC graphicsSupport libraries: Character I/O, standard I/O, PC graphics
Product Description
The Virtuoso RTOS Board Porting Kit is an add-on product for the Virtuoso The Virtuoso RTOS Board Porting Kit is an add-on product for the Virtuoso real-time operating systems. It provides complete support for the user who real-time operating systems. It provides complete support for the user who wants to port a Virtuoso RTOS onto his custom hardware.wants to port a Virtuoso RTOS onto his custom hardware.
The Porting Kit requires at least the purchase of a binary site develop-The Porting Kit requires at least the purchase of a binary site develop-ers license for a Virtuoso RTOS. The porting kit does not include any run-ers license for a Virtuoso RTOS. The porting kit does not include any run-time royalties for the use of embedded software on the custom board.time royalties for the use of embedded software on the custom board.
The maintenance of the underlying site developers’ license will remain The maintenance of the underlying site developers’ license will remain valid. The customer retains all rights on the modifications he made for the valid. The customer retains all rights on the modifications he made for the purpose of the porting.purpose of the porting.
3-146
Eonic Systems, Inc.
Development Software
Product Name: Virtuoso Technology LicensePlatforms Supported: PC (MS-Windows), Sun (Solaris-1, Solaris-2), and othersDevices Supported: TMS320C4x
Features and Benefits
•• Full documentation of the nanokernel in Classico /VSPFull documentation of the nanokernel in Classico /VSP•• Full documentation of the microkernel in Classico /VSPFull documentation of the microkernel in Classico /VSP•• Full documentation of the communication protocols in Virtuoso Clas-Full documentation of the communication protocols in Virtuoso Clas-
sico /VSPsico /VSP•• Full documentation of the host interfaceFull documentation of the host interface•• Documentation of task-level debuggerDocumentation of task-level debugger
Product Description
The Virtuoso Classico/VSP Technology License is an add-on product for the The Virtuoso Classico/VSP Technology License is an add-on product for the Virtuoso real-time operating systems. It provides a complete, comprehen-Virtuoso real-time operating systems. It provides a complete, comprehen-sive, and detailed documentation at the kernel level. It provides a solid sive, and detailed documentation at the kernel level. It provides a solid basis for quality verification and allows demanding users to verify a correct basis for quality verification and allows demanding users to verify a correct operation of their application.operation of their application.
3-147
EPIX, Incorporated381 Lexington DriveBuffalo Grove, Illinois 60089 USA(847) 465-1818Fax: (847)465-1919 e-mail: [email protected]
Company Background EPIX, Inc. provides cost-effective solutions to customers with demanding requirements EPIX, Inc. provides cost-effective solutions to customers with demanding requirements for video acquisition, image processing, analysis, and display. EPIX hardware products for video acquisition, image processing, analysis, and display. EPIX hardware products are board-level subsystems for PC compatibles. The products are unique in their ability are board-level subsystems for PC compatibles. The products are unique in their ability to acquire images from a wide variety of video sources. TMS320 processors enhance the to acquire images from a wide variety of video sources. TMS320 processors enhance the hardware with accelerated processing power.hardware with accelerated processing power.
Software is available for both end users and OEM developers. Applications include Software is available for both end users and OEM developers. Applications include automated inspection, motion analysis, object tracking, and medical imaging.automated inspection, motion analysis, object tracking, and medical imaging.
Development Hardware/Plug-In Board/ISA Bus
Product Name: 4MEG VIDEO Model 10Platforms Supported: PC Devices Supported: TMS320C25
Features and Benefits
•• Image capture, processing, and display from almost any video source.Image capture, processing, and display from almost any video source.•• TMS320C25 (40 MHz) for accelerated image processing.TMS320C25 (40 MHz) for accelerated image processing.•• Multiple boards can be active in a single PC.Multiple boards can be active in a single PC.•• Sampling rates up to 24 MHz.Sampling rates up to 24 MHz.•• Menu-driven software, C libraries, or DLL.Menu-driven software, C libraries, or DLL.
Product Description
The 4MEG VIDEO Model 10 is a lower-cost alternative to the Model 12. The The 4MEG VIDEO Model 10 is a lower-cost alternative to the Model 12. The Model 10 provides most of the functionality of the Model 12 and features Model 10 provides most of the functionality of the Model 12 and features the same easy integration to almost any video source, such as analog, digi-the same easy integration to almost any video source, such as analog, digi-tal, line-scan, high-resolution, and high-frame-rate cameras. An on-board tal, line-scan, high-resolution, and high-frame-rate cameras. An on-board TMS320C25 provides accelerated processing.TMS320C25 provides accelerated processing.
For ease of use, image acquisition format parameters are software pro-For ease of use, image acquisition format parameters are software pro-grammable. Single or sequential images may be captured at rates up to 24 grammable. Single or sequential images may be captured at rates up to 24 MHz. Boards are configured with 1 or 4 Mbytes of memory. External trigger MHz. Boards are configured with 1 or 4 Mbytes of memory. External trigger signals are available to synchronize external events.signals are available to synchronize external events.
4MIP software provides a user-friendly “point-and-click” interface. 4MIP software provides a user-friendly “point-and-click” interface. 4MOBJIPL software is a library for programmers. Image-processing rou-4MOBJIPL software is a library for programmers. Image-processing rou-tines for the TMS320C25 are provided with both EPIX software packages. tines for the TMS320C25 are provided with both EPIX software packages. Most of the 8-kword program memory (optionally 32 kword) on the Most of the 8-kword program memory (optionally 32 kword) on the TMS320C25 is available for custom program development.TMS320C25 is available for custom program development.
3-148
EPIX, Incorporated
Development Hardware/Plug-In Board/ISA Bus
Product Name: 4MEG VIDEO Model 12Platforms Supported: PCDevices Supported: TMS320C25
Features and Benefits
•• Image capture, processing, and display from almost any video source.Image capture, processing, and display from almost any video source.•• TMS320C25 (50 MHz) for accelerated image processing.TMS320C25 (50 MHz) for accelerated image processing.•• Multiple boards can be active in a single PC.Multiple boards can be active in a single PC.•• Sampling rates up to 50 MHz.Sampling rates up to 50 MHz.•• Menu-driven software, C libraries, or DLL.Menu-driven software, C libraries, or DLL.
Product Description
The 4MEG VIDEO Model 12 provides a flexible image-processing platform The 4MEG VIDEO Model 12 provides a flexible image-processing platform for system integrators, OEMs, VARs, and imaging researchers. Images can for system integrators, OEMs, VARs, and imaging researchers. Images can be captured from most video sources, such as analog, digital, line-scan, be captured from most video sources, such as analog, digital, line-scan, high-resolution, and high-frame-rate cameras. An on-board TMS320C25 high-resolution, and high-frame-rate cameras. An on-board TMS320C25 provides accelerated processing.provides accelerated processing.
For ease of use, image-acquisition format parameters are software pro-For ease of use, image-acquisition format parameters are software pro-grammable. Single or sequential images may be captured at rates up to 50 grammable. Single or sequential images may be captured at rates up to 50 MHz. Standard boards are configured with up to 64 Mbytes of memory; an MHz. Standard boards are configured with up to 64 Mbytes of memory; an optional board allows up to 256 Mbytes of memory. External trigger signals optional board allows up to 256 Mbytes of memory. External trigger signals are available to synchronize external events.are available to synchronize external events.
4MIP software provides a user-friendly “point-and-click” interface. 4MIP software provides a user-friendly “point-and-click” interface. 4MOBJIPL software is a library for programmers. Image-processing rou-4MOBJIPL software is a library for programmers. Image-processing rou-tines for the TMS320C25 are provided with both EPIX software packages. tines for the TMS320C25 are provided with both EPIX software packages. Most of the 32-kword program memory on the TMS320C25 is available for Most of the 32-kword program memory on the TMS320C25 is available for custom program development.custom program development.
3-149
EPIX, Incorporated
Development Hardware/Plug-In Board/ISA Bus
Product Name: COC402Platforms Supported: PCDevices Supported: TMS320C25, TMS320C40
Features and Benefits
•• Image capture and processing from most video sources.Image capture and processing from most video sources.•• Two 50-MHz TMS320C40 digital signal processors.Two 50-MHz TMS320C40 digital signal processors.•• Independent processor control for increased data throughput.Independent processor control for increased data throughput.•• Image-processing software.Image-processing software.•• Single-processor version available.Single-processor version available.
Product Description
The COC402 enhances the processing capabilities of the 4MEG VIDEO The COC402 enhances the processing capabilities of the 4MEG VIDEO Model 12. The two-board combination merges image acquisition and dis-Model 12. The two-board combination merges image acquisition and dis-play from the Model 12 with the processing power of two TMS320C40 DSPs play from the Model 12 with the processing power of two TMS320C40 DSPs on the COC402.on the COC402.
The COC402 features a unique architecture designed to allow program The COC402 features a unique architecture designed to allow program execution at maximum speed. Each processor has 1 MB of zero-wait-state execution at maximum speed. Each processor has 1 MB of zero-wait-state program memory (SRAM), 16-kB dual-port communication memory program memory (SRAM), 16-kB dual-port communication memory (SRAM), and 4 MB of image memory (DRAM). Ten comm ports are (SRAM), and 4 MB of image memory (DRAM). Ten comm ports are brought to external connectors for multiple-board communication.brought to external connectors for multiple-board communication.
Each DSP may be programmed independently, allowing easy software Each DSP may be programmed independently, allowing easy software development without concern for memory contention or arbitration.development without concern for memory contention or arbitration.Three levels of software support are available. The non-programmer can Three levels of software support are available. The non-programmer can “point-and-click” with 4MIP to execute image-processing operations. Pro-“point-and-click” with 4MIP to execute image-processing operations. Pro-grammers can use the 4MOBJIPL library of processing routines to develop grammers can use the 4MOBJIPL library of processing routines to develop custom programs and shorten development time. For the most efficient custom programs and shorten development time. For the most efficient program results, TI’s development tools may be used.program results, TI’s development tools may be used.
3-150
Epstein AssociatesP.O.Box 400Wilmette, IL 60091-0400 USA(847) 853-9292; (630) 698-9292Fax: (847) 853-9293
Company Background Epstein Associates engineers custom image-analysis automatic parts-inspection sys-Epstein Associates engineers custom image-analysis automatic parts-inspection sys-tems based on TMS320C40 and TMS320C80 DSP technology. Our systems are typically tems based on TMS320C40 and TMS320C80 DSP technology. Our systems are typically used to replace human inspectors examining for cosmetic, structural, or hidden fea-used to replace human inspectors examining for cosmetic, structural, or hidden fea-tures and defects or to provide reliable statistical information needed for on-line feed-tures and defects or to provide reliable statistical information needed for on-line feed-back control of a manufacturing process. We specialize in inspecting low-contrast back control of a manufacturing process. We specialize in inspecting low-contrast images such as lenses, films, and other transparent objects and in examining component images such as lenses, films, and other transparent objects and in examining component surfaces for flatness.surfaces for flatness.
Application Software/Filter Design
Product Name: K9APE� Automatic Image Analysis Inspection SystemsPlatforms Supported: PC
Devices Supported: TMS320C4x, TMS320C8x
Features and Benefits
•• Automatic parts inspection and statistical analysisAutomatic parts inspection and statistical analysis•• Inspection of transparent parts such as lenses and filmsInspection of transparent parts such as lenses and films•• Inspection for cosmetic, structural, or hidden features and defectsInspection for cosmetic, structural, or hidden features and defects•• Inspection for flatness or other geometric specificationsInspection for flatness or other geometric specifications•• Complete custom inspection systemsComplete custom inspection systems
Product Description
Our K9APE Automatic Image Analysis Inspection Systems incorporating Our K9APE Automatic Image Analysis Inspection Systems incorporating our our DSP imaging filters are built on EPIX COC40™ Digital Signal Pro-our our DSP imaging filters are built on EPIX COC40™ Digital Signal Pro-cessing imaging boards containing twin ’C40 processors. With our statistical cessing imaging boards containing twin ’C40 processors. With our statistical image-algorithm filter technology written in assembly language, we have image-algorithm filter technology written in assembly language, we have achieved 95+% inspection accuracies for complex, nearly transparent achieved 95+% inspection accuracies for complex, nearly transparent products at rates of 300 milliseconds per processor. Images can be archived products at rates of 300 milliseconds per processor. Images can be archived to tape for audit and algorithm enhancement. Filter parameters can be to tape for audit and algorithm enhancement. Filter parameters can be passed from Windows applications programs. Our imaging filters return passed from Windows applications programs. Our imaging filters return data in both deterministic and statistical formats to applications programs, data in both deterministic and statistical formats to applications programs, such as S-PLUS™ statistical analysis software, for on-line accept/reject/such as S-PLUS™ statistical analysis software, for on-line accept/reject/grade decisions.grade decisions.
3-151
ESSE-GI s.r.l.Via Frova 34
20092 Cinisello Balsamo
Milan, Italy
++39 2 66017241
Fax: ++39 2 6185492
Company Background ESSE-GI is an engineering company able to prepare full custom DSP systems. ESSE-GI is an engineering company able to prepare full custom DSP systems. ESSE-GI’s main applications are single boards including DSP TMS320C80 for the acqui-ESSE-GI’s main applications are single boards including DSP TMS320C80 for the acqui-sition and PC structures for human interface.sition and PC structures for human interface.
ESSE-GI is organized with a system af 10 SPARC workstations, able to execute all ESSE-GI is organized with a system af 10 SPARC workstations, able to execute all the engineering processes, including simulation with hardware modeller and automatic the engineering processes, including simulation with hardware modeller and automatic fixture generation for production.fixture generation for production.
Every customer receives complete application code, schematics, gerber files, text Every customer receives complete application code, schematics, gerber files, text fixture, and all the documentation useful for a customer-indipendent production.fixture, and all the documentation useful for a customer-indipendent production.
Development Hardware/Plug-In Board
Product Name: EASY-C80 ProjectPlatforms Supported: ISA, PCI, VMEbus
Devices Supported: TMS320C80
Features and Benefits
•• Bus-independent applicationBus-independent application•• Standalone-mode capabilityStandalone-mode capability•• Easy interfacing to external acquisition boardsEasy interfacing to external acquisition boards•• Transparent DRAM, SDRAM, and VRAM support a logical memory Transparent DRAM, SDRAM, and VRAM support a logical memory
managementmanagement•• Parallel Single Instruction Multi Data (SIMD) applications featuresParallel Single Instruction Multi Data (SIMD) applications features•• Parallel Multiple Instruction Multi Data (MIMD) applicationsParallel Multiple Instruction Multi Data (MIMD) applications
Product Description
The EASY-C80 Project is at the same time a product and a development The EASY-C80 Project is at the same time a product and a development tool, to simplify TMS320C8x developement. The EASY-C80 Project con-tool, to simplify TMS320C8x developement. The EASY-C80 Project con-tains these logical units:tains these logical units:DPS TMS320C80; system’s RAM (DRAM, SDRAM, VRAM); dual-port RAM; DPS TMS320C80; system’s RAM (DRAM, SDRAM, VRAM); dual-port RAM; Flash boot; and AMU (Access Memory Unit).Flash boot; and AMU (Access Memory Unit).2. Link Board (LB)2. Link Board (LB)—This board provides the communication between —This board provides the communication between host bus and master board. LB board is the support to connect master host bus and master board. LB board is the support to connect master board and application board, too.board and application board, too.3. Application Board (AB)3. Application Board (AB)—Usually is a customized board containing the —Usually is a customized board containing the specific I/O and acquisition resources. The development of this board specific I/O and acquisition resources. The development of this board should therefore be dependent of each application.should therefore be dependent of each application.4. Operative System PFORTH4. Operative System PFORTH—This is the software which attends to the —This is the software which attends to the management of the processes in the Parallel Processors (PPs). This opera-management of the processes in the Parallel Processors (PPs). This opera-tive system is infact a parallel programming language, able to define new tive system is infact a parallel programming language, able to define new functions for MP and PPs.functions for MP and PPs.
3-152
GSE Gesellschaft fuer Software Engineering mbH
Riesstraße 17
D-80992 München
+49 89 140 09-265
+49 89 140 09-140
e-mail [email protected]
Company Background Company GSE, Gesellschaft fuer Software Engineering mbH, was founded in 1978. Company GSE, Gesellschaft fuer Software Engineering mbH, was founded in 1978. Major activities are in the fields of traffic control, information and database systems, as Major activities are in the fields of traffic control, information and database systems, as well as software product sales. GSE offers a complete system business - from the plan-well as software product sales. GSE offers a complete system business - from the plan-ning phase to the first operation of the system.ning phase to the first operation of the system.
Highest quality in our project management is ensured by using V-model and ISO Highest quality in our project management is ensured by using V-model and ISO 9000, industry standards which guarantee that the investments of our customers are 9000, industry standards which guarantee that the investments of our customers are future-oriented and well taken care of.future-oriented and well taken care of.
High-Level Language Compiler/C/C++/ADA
Product Name: Tartan C and C++ for TMS320C3x and TMS320C4x DSPsPlatforms Supported: Sun, PC
Devices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Includes compiler, assembler, linker, run-timesIncludes compiler, assembler, linker, run-times•• Optional customizable debugger, instruction-level simulatorOptional customizable debugger, instruction-level simulator•• Comes with one year of free upgradesComes with one year of free upgrades•• Can call third-party object librariesCan call third-party object libraries•• Source and object-code compatible with TI CSource and object-code compatible with TI C
Product Description
The C compiler processes programs written in C language, completely The C compiler processes programs written in C language, completely implementing ANSI and ISO C.implementing ANSI and ISO C.
Tartan’s C/C++ compiler is the first production-quality C++ system for Tartan’s C/C++ compiler is the first production-quality C++ system for DSPs. It adds the ability to process programs written in C++ and so pro-DSPs. It adds the ability to process programs written in C++ and so pro-vides the productivity of object-oriented programming while maintaining vides the productivity of object-oriented programming while maintaining the performance that real-time DSPs need.the performance that real-time DSPs need.
3-153
GSE Gesellschaft fuer Software Engineering mbH
High-Level Language Compiler/C/C++/ADA
Product Name: Tartan ADA Development Systems for TMS320C3x and TMS320C4xPlatforms Supported: Sun, VAX
Devices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Highly-optimizing compiler with switch-selectable Ada95 features Highly-optimizing compiler with switch-selectable Ada95 features (protected type support)(protected type support)
•• Multi-user Ada librarian with Ada95 hierarchical library supportMulti-user Ada librarian with Ada95 hierarchical library support•• Selective, efficient linkerSelective, efficient linker•• Small modular run-times support Ada95 priority queueingSmall modular run-times support Ada95 priority queueing•• Debug supportDebug support
Product Description
The Tartan Ada compiler opens the door to real-time Ada9x development. The Tartan Ada compiler opens the door to real-time Ada9x development. It produces application code that in performance matches and often It produces application code that in performance matches and often exceeds that of C and assembly code.exceeds that of C and assembly code.
3-154
General Imaging Corporation6 Fortune DriveBillerica, MA, USA 01821(508) 262-2262Fax: (508) 262-0088e-mail: [email protected]: http://www.gicorp.com
Company Background General Imaging Corporation provides object-oriented visual programming software, General Imaging Corporation provides object-oriented visual programming software, host-independent ’C80 libraries, and scalable, massively parallel hardware for advanced host-independent ’C80 libraries, and scalable, massively parallel hardware for advanced signal/image processing applications. GIC’s core technologies allow users to rapidly signal/image processing applications. GIC’s core technologies allow users to rapidly develop complex signal or image processing applications in an intuitive, object-oriented develop complex signal or image processing applications in an intuitive, object-oriented graphical programming environment and directly target scalable hardware platforms graphical programming environment and directly target scalable hardware platforms from host platforms to embedded multi-processors DSP systems.from host platforms to embedded multi-processors DSP systems.
GIC products mitigate hardware and software obsolescence by enabling hardware GIC products mitigate hardware and software obsolescence by enabling hardware and software re-use. High performance solutions support, targeting the machine vision and software re-use. High performance solutions support, targeting the machine vision (inspection/defect analysis), medical imaging, virtual reality, remote sensing, and (inspection/defect analysis), medical imaging, virtual reality, remote sensing, and defense areas.defense areas.
Development Hardware/Multiprocessor Systems
Product Name: MegaPIPEPlatforms Supported: Host IndependentDevices Supported: TMS320C80
Features and Benefits
•• Scalable real-time architectureScalable real-time architecture•• Up to 15 ’C80s per enclosureUp to 15 ’C80s per enclosure•• 32 32 × 32 non-blocking crosspoint 32 non-blocking crosspoint•• 4+ GBytes/sec I/O bandwidth4+ GBytes/sec I/O bandwidth•• Graphical programming environmentGraphical programming environment
Product Description
MegaPIPE is a real-time image processing accelerator. The MegaPIPE is a real-time image processing accelerator. The architecture includes an active motherboard/backplane, architecture includes an active motherboard/backplane, daughter cards for processing, and network host connection. daughter cards for processing, and network host connection. Embedded versions of MegaPIPE can be implemented. Embedded versions of MegaPIPE can be implemented. MegaPIPE provides unparalleled scalable processing, with MegaPIPE provides unparalleled scalable processing, with each enclosure capable of providing over 30 BOPS (billion each enclosure capable of providing over 30 BOPS (billion operations per second). Multiple high-speed parallel pro-operations per second). Multiple high-speed parallel pro-cessing pipelines cross a programmable interconnect with a cessing pipelines cross a programmable interconnect with a bandwidth of greater than 4 GBytes/second. Real-time pro-bandwidth of greater than 4 GBytes/second. Real-time pro-cess control is provided as well as the ability to target dedi-cess control is provided as well as the ability to target dedi-cated pipeline processors or programmable DSPs (such as cated pipeline processors or programmable DSPs (such as the TMS320C80).the TMS320C80).
MegaPIPE is programmed by General Imaging Corpora-MegaPIPE is programmed by General Imaging Corpora-tion’s ProtoPIPE visual programming framework.tion’s ProtoPIPE visual programming framework.
3-155
General Imaging Corporation
Development Hardware/Plug-In Board/SBus
Product Name: S/IP 80Platforms Supported: UNIXDevices Supported: TMS320C80
Features and Benefits
•• Single-slot form factorSingle-slot form factor•• 2–8 MB memory2–8 MB memory•• Graphical programming environmentGraphical programming environment•• Runtime kernelRuntime kernel•• Programmer level APIProgrammer level API
Product Description
S/IP80 packages the most powerful signal processor, Texas Instruments S/IP80 packages the most powerful signal processor, Texas Instruments TMS320C80, with the most advanced S/IP (signal/image processing) frame-TMS320C80, with the most advanced S/IP (signal/image processing) frame-work, General Imaging’s ProtoPIPE Graphical Programming Environment.work, General Imaging’s ProtoPIPE Graphical Programming Environment.
Ideal for rapid-prototyping and application execution, S/IP80 hardware Ideal for rapid-prototyping and application execution, S/IP80 hardware includes a multi-standard video-digitizer, capable of capturing live video includes a multi-standard video-digitizer, capable of capturing live video and performing real-time processing. An additional audio CODEC provides and performing real-time processing. An additional audio CODEC provides support for sound-processing applications.support for sound-processing applications.
3-156
General Imaging Corporation
Application/Algorithm Development Software
Product Name: ProtoPIPEPlatforms Supported: UNIX, LINUXDevices Supported: TMS320C80
Features and Benefits
•• Visual programming/object-oriented design canvasVisual programming/object-oriented design canvas•• Optimized library of ’C80 algorithms and utilitiesOptimized library of ’C80 algorithms and utilities•• Multi-format data viewerMulti-format data viewer•• Heterogeneous multiprocessingHeterogeneous multiprocessing•• Embedded TCP/IPEmbedded TCP/IP
Product Description
ProtoPIPE is an integrated graphical-programming framework for develop-ProtoPIPE is an integrated graphical-programming framework for develop-ing, debugging, and deploying applications. ProtoPIPE provides program-ing, debugging, and deploying applications. ProtoPIPE provides program-mers and non-programmers with a drag and drop GUI, faster development mers and non-programmers with a drag and drop GUI, faster development cycles, reusable code, and the ability to directly target massively-scalable cycles, reusable code, and the ability to directly target massively-scalable hardware platforms. ProtoPIPE incorporates an object-oriented design hardware platforms. ProtoPIPE incorporates an object-oriented design canvas, a multi-format data viewer (ProtoVU), an expandable library of canvas, a multi-format data viewer (ProtoVU), an expandable library of highly-optimized algorithms and utilities (ProtoLIB), and an application highly-optimized algorithms and utilities (ProtoLIB), and an application server. ’C80-specific software includes a real-time kernel and a program-server. ’C80-specific software includes a real-time kernel and a program-mer level API. ProtoVU’s Data Viewer provides flexible display windows, mer level API. ProtoVU’s Data Viewer provides flexible display windows, file format independence, and large image size support.file format independence, and large image size support.
ProtoLIB extends a library of 300+ algorithms with user-specified exe-ProtoLIB extends a library of 300+ algorithms with user-specified exe-cution precision from 8-bit to 64-bit double precision. Users can encapsu-cution precision from 8-bit to 64-bit double precision. Users can encapsu-late their own algorithms into ProtoLIB via General Imaging’s OOPI late their own algorithms into ProtoLIB via General Imaging’s OOPI (Object-Oriented Program Interface), or encapsulate algorithms from (Object-Oriented Program Interface), or encapsulate algorithms from third-party programs such as Khoros, MATLAB, etc., making ProtoPIPE third-party programs such as Khoros, MATLAB, etc., making ProtoPIPE fully extensible.fully extensible.
ProtoLIB algorithms allow users to target their ProtoLIB algorithms allow users to target their resource of choice, such as: host, ’C80, or Mega-resource of choice, such as: host, ’C80, or Mega-PIPE.PIPE.
The object-oriented design canvas provides an The object-oriented design canvas provides an intuitive programming environment and auto-docu-intuitive programming environment and auto-docu-mentation. ProtoPIPE enables postscript printouts mentation. ProtoPIPE enables postscript printouts of algorithm designs or data.of algorithm designs or data.
The power of ProtoPIPE is evidenced in features The power of ProtoPIPE is evidenced in features such as: dynamic object encapsulation, timing esti-such as: dynamic object encapsulation, timing esti-mation, and design hierarchy.mation, and design hierarchy.
3-157
General Imaging Corporation
Application/Algorithm Development Software
Product Name: ProtoLIBPlatforms Supported: Host Independent (PC, UNIX, ...)Devices Supported: TMS320C80
Features and Benefits
•• Host-independent library of ’C80 algorithms and utilitiesHost-independent library of ’C80 algorithms and utilities•• Select number of parallel processorsSelect number of parallel processors•• Optional real-time kernelOptional real-time kernel•• Port to any ’C80 platform (VME, SBus, PCI, crosspoints)Port to any ’C80 platform (VME, SBus, PCI, crosspoints)•• Fully-optimized codeFully-optimized code
Product Description
ProtoLIB is a comprehensive library of robust, highly-optimized signal-/ProtoLIB is a comprehensive library of robust, highly-optimized signal-/image-processing algorithms. Algorithms may be used standalone, or incor-image-processing algorithms. Algorithms may be used standalone, or incor-porated with the General Imaging real-time kernel, or at a higher level, the porated with the General Imaging real-time kernel, or at a higher level, the General Imaging ProtoPIPE object-oriented programming environment. General Imaging ProtoPIPE object-oriented programming environment. ProtoLIB greatly accelerates development cycles by supporting reusable ProtoLIB greatly accelerates development cycles by supporting reusable code. Algorithm classes include: arithmetic, spatial filters, morphology, code. Algorithm classes include: arithmetic, spatial filters, morphology, geometric transforms, spectral filters, spectral transforms, thresholding, geometric transforms, spectral filters, spectral transforms, thresholding, logical operations, data enhancement, and color processing.logical operations, data enhancement, and color processing.
Integrate optimized algorithms and utilities (ProtoLIB), and an appli-Integrate optimized algorithms and utilities (ProtoLIB), and an appli-cation server. ’C80-specific software includes a real-time kernel and a pro-cation server. ’C80-specific software includes a real-time kernel and a pro-grammer level API. ProtoVU’s Data Viewer provides flexible display grammer level API. ProtoVU’s Data Viewer provides flexible display windows, file-format independence, and large image size support.windows, file-format independence, and large image size support.
ProtoLIB extends a library of 300+ algorithms ProtoLIB extends a library of 300+ algorithms with user-specified execution precision from 8-bit to with user-specified execution precision from 8-bit to 64-bit double precision. Users can encapsulate their 64-bit double precision. Users can encapsulate their own algorithms into ProtoLIB via General Imaging’s own algorithms into ProtoLIB via General Imaging’s OOPI (Object-Oriented Program Interface), or encap-OOPI (Object-Oriented Program Interface), or encap-sulate algorithms from third-party programs such as sulate algorithms from third-party programs such as Khoros, MATLAB, etc., making ProtoPIPE fully exten-Khoros, MATLAB, etc., making ProtoPIPE fully exten-sible.sible.
ProtoLIB algorithms allow users to target their ProtoLIB algorithms allow users to target their resource of choice, such as: host, ’C80, or MegaPIPE.resource of choice, such as: host, ’C80, or MegaPIPE.
The object-oriented design canvas provides an The object-oriented design canvas provides an intuitive programming environment and auto-docu-intuitive programming environment and auto-docu-mentation. ProtoPIPE enables postscript printouts of mentation. ProtoPIPE enables postscript printouts of algorithm designs or dataalgorithm designs or data
The power of ProtoPIPE is evidenced in features The power of ProtoPIPE is evidenced in features such as: dynamic object encapsulation, timing estima-such as: dynamic object encapsulation, timing estima-tion, and design hierarchy.tion, and design hierarchy.
3-158
GO DSP Corporation250 The Esplanade, Suite 205Toronto, Ontario, CanadaM5A 1J2(416) 214-1919Fax: (416) 214-1920e-mail: [email protected]: http://www.io.org/~godsp
Company Background GO DSP Corp. is the industry leader for state-of-the-art DSP debugging and emulation GO DSP Corp. is the industry leader for state-of-the-art DSP debugging and emulation software tools. Specializing in reducing product development time and time to market, software tools. Specializing in reducing product development time and time to market, GO DSP uses leading edge host software technology along with proprietary techniques GO DSP uses leading edge host software technology along with proprietary techniques to bring DSP designers truly modern software tools unavailable elsewhere. GO DSP’s to bring DSP designers truly modern software tools unavailable elsewhere. GO DSP’s products support TIs’ hardware products as well as most TI third-party hardware ven-products support TIs’ hardware products as well as most TI third-party hardware ven-dor products. In addition, GO DSP’s Code Composer product is available worldwide dor products. In addition, GO DSP’s Code Composer product is available worldwide from Texas Instruments’ authorized distributors.from Texas Instruments’ authorized distributors.
Application Software/Debugging Tool
Product Name: Code Composer 1.5Platforms Supported: MS Windows 3.1, MS Windows 95 Devices Supported: TMS320C2xx, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C54x
Features and Benefits
•• Tightly integrated development environment with background compilingTightly integrated development environment with background compiling•• Animated run with graphical signal watch allows working at a func-Animated run with graphical signal watch allows working at a func-
tional leveltional level•• Inject/extract signals/data via file I/O with advanced triggeringInject/extract signals/data via file I/O with advanced triggering•• Interactive profiling and analysis during debuggingInteractive profiling and analysis during debugging•• Full multi-processing support under MS Windows (3.1 and 95)Full multi-processing support under MS Windows (3.1 and 95)
Product Description
Code Composer sets a new standard for DSP debugging and code develop-Code Composer sets a new standard for DSP debugging and code develop-ment environments for emulators and PC add-in cards. Two generations ment environments for emulators and PC add-in cards. Two generations ahead of current DSP debuggers, Code Composer offers features and pro-ahead of current DSP debuggers, Code Composer offers features and pro-ductivity gains found only in mainstream software development environ-ductivity gains found only in mainstream software development environ-ments. Nearly all of Code Composers’ main features are new to the DSP ments. Nearly all of Code Composers’ main features are new to the DSP industry and marry the functionality of an integrated development environ-industry and marry the functionality of an integrated development environ-ment, high- and low-level DSP debuggers, signal probes and scopes (as ment, high- and low-level DSP debuggers, signal probes and scopes (as found in block diagram tools) and interactive profiling, all in a tightly inte-found in block diagram tools) and interactive profiling, all in a tightly inte-grated native MS-Windows 3.1 or Windows 95 environment. Code Composer grated native MS-Windows 3.1 or Windows 95 environment. Code Composer also includes a powerful facility that allows the user to extend or customize also includes a powerful facility that allows the user to extend or customize Code Composer by adding further debugging features or may be used to Code Composer by adding further debugging features or may be used to quickly create a GUI to control the DSP. Code Composer forms, by far, the quickly create a GUI to control the DSP. Code Composer forms, by far, the most comprehensive debugging environment for Texas Instruments DSPs.most comprehensive debugging environment for Texas Instruments DSPs.
3-159
hemahema Elektronik Fertigungs- und Vertriebs GmbH
Roentgenstraße 31
73431 Aalen, Germany
+7361/9495-0
Fax: +7361/9495-45
e-mail: [email protected]
Company Background The company was founded in 1978 with the aim of developing customer-specific hard-The company was founded in 1978 with the aim of developing customer-specific hard-ware and software for industrial applications in the field of machine and quality control ware and software for industrial applications in the field of machine and quality control and data acquisition, including the design and manufacturing of boards and complete and data acquisition, including the design and manufacturing of boards and complete systems. Combining the analog and digital world in complex systems is a big part of systems. Combining the analog and digital world in complex systems is a big part of hema’s business.hema’s business.
Since early 1991, hema has made a strong commitment to the TMS320C4x from Since early 1991, hema has made a strong commitment to the TMS320C4x from Texas Instruments. This parallel DSP extends existing conventional systems for appli-Texas Instruments. This parallel DSP extends existing conventional systems for appli-cations in image and signal processing as well as simulation. hema is the main supplier cations in image and signal processing as well as simulation. hema is the main supplier of parallel DSP systems in Germany.of parallel DSP systems in Germany.
Development Hardware/Plug-In Board/LINK-Bus-II
Product Name: DSP1/DSP2Platforms Supported: DOS, UNIX, OS/2, Windows NT
Devices Supported: TMS320C40
Features and Benefits
•• TMS320C40 with up to 60 MHzTMS320C40 with up to 60 MHz•• Up to 2-/8-MByte zero-wait-state SRAMUp to 2-/8-MByte zero-wait-state SRAM•• Up to 32-MByte fast-page-mode DRAMUp to 32-MByte fast-page-mode DRAM•• Transputer LINK adapter on DSP1Transputer LINK adapter on DSP1•• All six comm ports wired to the LINK-Bus-II backplaneAll six comm ports wired to the LINK-Bus-II backplane•• JTAG daisy chain via the backplaneJTAG daisy chain via the backplane•• Single-size Euroboard format 160 Single-size Euroboard format 160 × 100 mm 100 mm•• Power supply 5 V, 1 APower supply 5 V, 1 A
Product Description
With the computing node DSP1, it is possible to solve industrial applica-With the computing node DSP1, it is possible to solve industrial applica-tions in the area of image or signal processing: motor control, machine con-tions in the area of image or signal processing: motor control, machine con-trol, quality control, simulation systems, test beds. The systems can be trol, quality control, simulation systems, test beds. The systems can be standalone or host based. Interfaces to the host are via SCSI (10 MByte/s) standalone or host based. Interfaces to the host are via SCSI (10 MByte/s) or serial (1 MByte/s).or serial (1 MByte/s).
Any number of processing nodes can be wired via the backplane with Any number of processing nodes can be wired via the backplane with so-called comm port cables. Additional hardware as frame grabber, fast so-called comm port cables. Additional hardware as frame grabber, fast SCSI interface, and analog boards are available for solving complete systems.SCSI interface, and analog boards are available for solving complete systems.
For software development, various compilers, real-time system, and For software development, various compilers, real-time system, and libraries as well as support, are available.libraries as well as support, are available.
3-160
hemahema Elektronik Fertigungs - und Vertriebs GmbH
Development Hardware/Plug-In Board/LINK-Bus-II
Product Name: VSP1Platforms Supported: DOS, UNIX, OS/2, Windows NT
Devices Supported: TMS320C40
Features and Benefits
•• Frame grabber for matrix and line-scan camerasFrame grabber for matrix and line-scan cameras•• Black and white, color cameras can be connectedBlack and white, color cameras can be connected•• Analog or digital camera inputAnalog or digital camera input•• Multiple cameras support external or internal clock, external or inter-Multiple cameras support external or internal clock, external or inter-
nal trigger capabilitynal trigger capability•• Synchronization between multiple boardsSynchronization between multiple boards•• Single-size Euroboard format 160 Single-size Euroboard format 160 × 100 mm 100 mm•• Power supply 5 V, 1 APower supply 5 V, 1 A
Product Description
Together with the computing node DSP1 (also DSP2 and DSP3), it is possi-Together with the computing node DSP1 (also DSP2 and DSP3), it is possi-ble to connect almost any camera to a ’C40 system for solving industrial ble to connect almost any camera to a ’C40 system for solving industrial applications in the area of image processing and quality control.applications in the area of image processing and quality control.
The input is for either analog or digital cameras from almost any sup-The input is for either analog or digital cameras from almost any sup-plier. Due to the field programmable logic on-board, special camera or trig-plier. Due to the field programmable logic on-board, special camera or trig-ger signals can be generated or processed, e.g., flash control, frame or line ger signals can be generated or processed, e.g., flash control, frame or line trigger, synchronization of multiple cameras or boards. The datastream trigger, synchronization of multiple cameras or boards. The datastream from the camera is digitized and converted to comm port-compatible sig-from the camera is digitized and converted to comm port-compatible sig-nals. Via the backplane, the data is wired to one or several ’C40s. Using nals. Via the backplane, the data is wired to one or several ’C40s. Using broadcasting, one camera can be connected to up to six processors which broadcasting, one camera can be connected to up to six processors which receive the image in parallel and compute the required algorithms.receive the image in parallel and compute the required algorithms.
Image-processing libraries and graphical user interfaces are available Image-processing libraries and graphical user interfaces are available for development and complete industrial systems.for development and complete industrial systems.
Additional hardware as fast SCSI interface and analog boards are avail-Additional hardware as fast SCSI interface and analog boards are avail-able for solving complete systems.able for solving complete systems.
For software development, various compilers, real-time system, and For software development, various compilers, real-time system, and libraries as well as support, are available.libraries as well as support, are available.
3-161
hemahema Elektronik Fertigungs - und Vertriebs GmbH
Development Hardware/Plug-In Board/LINK-Bus-II
Product Name: HPSI1Platforms Supported: DOS, UNIX, OS/2, Windows NT
Devices Supported: TMS320C40
Features and Benefits
•• Fast SCSI-II interfaceFast SCSI-II interface•• Up to 10-Mbps bandwidthUp to 10-Mbps bandwidth•• SCSI-I also supportedSCSI-I also supported•• One bidirectional or two unidirectional comm port connectionsOne bidirectional or two unidirectional comm port connections•• On-board communication firmware for host I/OOn-board communication firmware for host I/O•• On-board filing system for fast local hard disksOn-board filing system for fast local hard disks•• Single-size Euroboard format 160 Single-size Euroboard format 160 × 100 mm 100 mm•• Power supply 5 V, 1 APower supply 5 V, 1 A
Product Description
Via the HPSI1 the connection of fast local hard disks or host computers is Via the HPSI1 the connection of fast local hard disks or host computers is possible. Up to 10 Mbps of data can communicate to ’C40 comm ports.possible. Up to 10 Mbps of data can communicate to ’C40 comm ports.
On-board firmware allows direct host connection and local hard disk On-board firmware allows direct host connection and local hard disk filing system support. Drivers for almost all host computers are available. filing system support. Drivers for almost all host computers are available. Via the backplane, the different boards are wired in the topology which is Via the backplane, the different boards are wired in the topology which is necessary for the certain application.necessary for the certain application.
Additional hardware as frame grabber and analog boards are available Additional hardware as frame grabber and analog boards are available for solving complete systems.for solving complete systems.
For software development, various compilers, real-time system, and For software development, various compilers, real-time system, and libraries as well as support, are available.libraries as well as support, are available.
3-162
hemahema Elektronik Fertigungs - und Vertriebs GmbH
Development Hardware/Plug-In Board/LINK-Bus-II
Product Name: DSP3Platforms Supported: DOS, UNIX, OS/2, Windows NT
Devices Supported: TMS320C44
Features and Benefits
•• Multiple differential analog inputs 20-bit resolutionMultiple differential analog inputs 20-bit resolution•• Programmable amplifiersProgrammable amplifiers•• Sigma delta A/D convertersSigma delta A/D converters•• Sampling rate up to 48 kHz on each channelSampling rate up to 48 kHz on each channel•• Multiple analog outputs 16-bit resolutionMultiple analog outputs 16-bit resolution•• Dual-TMS320C44 processorsDual-TMS320C44 processors•• Up to 16 MByte zero-wait-state SRAMUp to 16 MByte zero-wait-state SRAM•• Up to 32 MByte fast-page-mode DRAMUp to 32 MByte fast-page-mode DRAM•• Expansion connector for future modulesExpansion connector for future modules•• Six comm ports wired to the backplane connectorSix comm ports wired to the backplane connector•• JTAG daisy chain via the backplaneJTAG daisy chain via the backplane•• Single-size Euroboard format 160 Single-size Euroboard format 160 × 100 mm without analog part, 220 100 mm without analog part, 220 ×
100 mm with analog part100 mm with analog part•• Power supply 5 V, 1.5 APower supply 5 V, 1.5 A
Product Description
Four or eight differential analog inputs with 20-bit resolution, programma-Four or eight differential analog inputs with 20-bit resolution, programma-ble amplifiers, and sigma delta A/D converters build the interface to the ble amplifiers, and sigma delta A/D converters build the interface to the analog world of electrical signals and sensors. The sampling rate is pro-analog world of electrical signals and sensors. The sampling rate is pro-grammable up to 48 kHz for each channel. All channels on one board and grammable up to 48 kHz for each channel. All channels on one board and multiple boards can be synchronized via the backplane. Four or eight ana-multiple boards can be synchronized via the backplane. Four or eight ana-log outputs with 16-bit resolution are available.log outputs with 16-bit resolution are available.
Two ’C44 processors (one as an option) supply the computing power Two ’C44 processors (one as an option) supply the computing power for all kind of signal-processing applications, e.g,. quality control, closed for all kind of signal-processing applications, e.g,. quality control, closed loop control, acoustic analysis.loop control, acoustic analysis.
The processor part is also available without the analog part as pure The processor part is also available without the analog part as pure computing node. Additional hardware as fast SCSI-II interface and frame computing node. Additional hardware as fast SCSI-II interface and frame grabber are available for solving complete systems.grabber are available for solving complete systems.
For software development, various compilers, real-time system, and For software development, various compilers, real-time system, and libraries as well as support, are available.libraries as well as support, are available.
3-163
High-Tech Services Partners43, Boulevard Vauban
78280 Guyancourt
France
+33 - 1 - 30 57 31 13
Fax: +33 - 1 - 30 43 51 17
Company Background HSP is specialized in supplying integrated, high-performance parallel-processing sys-HSP is specialized in supplying integrated, high-performance parallel-processing sys-tems. The company is among the first to use extensively MIMD multi-processor struc-tems. The company is among the first to use extensively MIMD multi-processor struc-tures to implement real-time and/or number-crunching hardwares and softwares, with tures to implement real-time and/or number-crunching hardwares and softwares, with an emphasis on a system approach and the use of standard parts from the marketplace. an emphasis on a system approach and the use of standard parts from the marketplace. Where needed, special hardware, basic software, and application software are added on Where needed, special hardware, basic software, and application software are added on a specific basis. Typical applications supported relate to image-processing and synthe-a specific basis. Typical applications supported relate to image-processing and synthe-sis, digital-signal processing, compute-intensive simulation, and data acquisition.sis, digital-signal processing, compute-intensive simulation, and data acquisition.
Development Hardware/TIM Module/Data Acquisition
Product Name: HSPTIM-ADM Family of Data Acquisition TIM ModulesPlatforms Supported: PC/ISA, PC/PCI, Sun, VME-based systems
Devices Supported: TMS320C40
Features and Benefits
•• Size-2 A/D TIM modules with one to eight input channels, 12 or 14 bits, Size-2 A/D TIM modules with one to eight input channels, 12 or 14 bits, up to 10-MHz/channelup to 10-MHz/channel
•• Internal/external clock and triggerInternal/external clock and trigger•• Programmable eight-bit decimation controlProgrammable eight-bit decimation control•• Continuous/block-wise operating modes with blocksize from 256 sam-Continuous/block-wise operating modes with blocksize from 256 sam-
ples to 32k samplesples to 32k samples•• Data format of one/two samples per 32-bit wordData format of one/two samples per 32-bit word•• Two separate comm ports for data and control with 1k-sample FIFO Two separate comm ports for data and control with 1k-sample FIFO
memory for eachmemory for each
Product Description
The HSPTIM-ADM family of data-acquisition modules, designed by HSP, is The HSPTIM-ADM family of data-acquisition modules, designed by HSP, is a set of size-2 TIM modules incorporating as analog sections the Datel a set of size-2 TIM modules incorporating as analog sections the Datel “ADM” series built from DATEL standard-acquisition microcircuits and “ADM” series built from DATEL standard-acquisition microcircuits and components.components.
Strict compliance to TIM standard allows the HSPTIM-ADM family of Strict compliance to TIM standard allows the HSPTIM-ADM family of modules to be readily added to any ’C40-based DSP systems for easy and modules to be readily added to any ’C40-based DSP systems for easy and software-free systems integration.software-free systems integration.
The HSPTIM-ADM family of data acquisition modules are ideal for The HSPTIM-ADM family of data acquisition modules are ideal for applications in communications and EW, robotics, imaging, test, and mea-applications in communications and EW, robotics, imaging, test, and mea-surement.surement.
3-164
High-Tech Services Partners
Development Hardware/Plug-In Board/VMEbus
Product Name: MZ 7772 family of VME boardsPlatforms Supported: PC/ISA, PC/PCI, Sun, VME-native SPARC Single-Board Computer
Devices Supported: TMS320C40
Features and Benefits
•• 1, 2, 4 or 8 TI TMS320C40 DSPs, 400-MFLOPS, 2.2 BOPS1, 2, 4 or 8 TI TMS320C40 DSPs, 400-MFLOPS, 2.2 BOPS•• Supplied in both commercial grade and Mil-SpecSupplied in both commercial grade and Mil-Spec•• Up to 320-Mbps data bandwidth at front panel I/OUp to 320-Mbps data bandwidth at front panel I/O•• Up to 4-MB zero-wait-state private SRAM on each bus of each processorUp to 4-MB zero-wait-state private SRAM on each bus of each processor•• Up to 4-MB two-wait-state share SRAM for all processorsUp to 4-MB two-wait-state share SRAM for all processors•• 1-MB boot block-protected Flash EEPROM1-MB boot block-protected Flash EEPROM•• Full master-slave VME64 interfaceFull master-slave VME64 interface•• Optional daughterboard for additional memory or custom I/OOptional daughterboard for additional memory or custom I/O•• 100-Mbps expansion interface per DSP for additional memory or I/O100-Mbps expansion interface per DSP for additional memory or I/O•• JTAG controller on VME and JTAG port for external access at front-JTAG controller on VME and JTAG port for external access at front-
panelpanel•• TI software tools: ANSI C compiler with parallel-processing run-time TI software tools: ANSI C compiler with parallel-processing run-time
library and SBus-based/VME-based emulator/debuggerlibrary and SBus-based/VME-based emulator/debugger•• Multiuser/Multiboard DSP debugging for C and ADAMultiuser/Multiboard DSP debugging for C and ADA•• VIRTUOSO real-time operating system supportVIRTUOSO real-time operating system support•• VxWorks operating system supportVxWorks operating system support
Product Description
The MZ 7772 family of DSP engines, designed by Mizar and Texas Instru-The MZ 7772 family of DSP engines, designed by Mizar and Texas Instru-ments/DSEG, unleashes the power of up to eight ’C40s on a single-slot, 6U ments/DSEG, unleashes the power of up to eight ’C40s on a single-slot, 6U VMEbus board with no daughtercard. By using state-of-the-art tape-auto-VMEbus board with no daughtercard. By using state-of-the-art tape-auto-mated-bonding (TAB) technology, the MZ 7772 provides unparalleled logic mated-bonding (TAB) technology, the MZ 7772 provides unparalleled logic integration and can operate as a standalone entity with a peak performance integration and can operate as a standalone entity with a peak performance of 400 MFLOPS.of 400 MFLOPS.
The architecture of the MZ 7772 has been designed with multiple I/O The architecture of the MZ 7772 has been designed with multiple I/O channels so that no bottleneck develops either on-board or when data is channels so that no bottleneck develops either on-board or when data is transferred between boards. A 20-Mbps port links each DSP to its closest transferred between boards. A 20-Mbps port links each DSP to its closest three neighbors. The remaining ports are made available at the front panel three neighbors. The remaining ports are made available at the front panel for inter-board connection. Each ’C40 also has a six-channel DMA copro-for inter-board connection. Each ’C40 also has a six-channel DMA copro-cessor.cessor.
The massive power of its hardware and the many software tools pro-The massive power of its hardware and the many software tools pro-vided by Mizar, TI, and HSP, such as the Sun-based multi-user/multiboard vided by Mizar, TI, and HSP, such as the Sun-based multi-user/multiboard DSP debugger for C and the VIRTUOSO RTOS make the MZ 7772 an out-DSP debugger for C and the VIRTUOSO RTOS make the MZ 7772 an out-standing platform for developing DSP applications.standing platform for developing DSP applications.
3-165
High-Tech Services Partners
Development Hardware/Plug-In Board/VMEbus
Product Name: MZ 4700 Family of VME BoardsPlatforms Supported: PC/ISA, PC/PCI, Sun VME-native SPARC Single-Board Computer
Devices Supported: TMS320C80
Features and Benefits
•• Single- or dual-independent 40-MHz TMS320C80 capable of advanced Single- or dual-independent 40-MHz TMS320C80 capable of advanced parallel processing of up to 4 billion operations per second (BOPs)parallel processing of up to 4 billion operations per second (BOPs)
•• Supplied in both commercial grade and Mil-SpecSupplied in both commercial grade and Mil-Spec•• Each ’C80 includes an internal RISC master processor (32-bit CPU with Each ’C80 includes an internal RISC master processor (32-bit CPU with
100-MFLOPS FPU), four ADSP processors, and a high-speed 400-Mbps 100-MFLOPS FPU), four ADSP processors, and a high-speed 400-Mbps data-transfer controllerdata-transfer controller
•• Flexible, high-throughput (> 350 Mbps) local and global bus expansion Flexible, high-throughput (> 350 Mbps) local and global bus expansion to VME P2 and/or the front panelto VME P2 and/or the front panel
•• 8-MBytes private DRAM8-MBytes private DRAM•• 8-MBytes private SRAM8-MBytes private SRAM•• 2 MBytes of VRAM per processor2 MBytes of VRAM per processor•• 512 kbytes of shared SRAM512 kbytes of shared SRAM•• 512 kbytes of Flash EPROM512 kbytes of Flash EPROM•• Global daughterboard interface with two 160-Mbps ports for custom I/OGlobal daughterboard interface with two 160-Mbps ports for custom I/O•• JTAG controller on VME and JTAG port for front-panel accessJTAG controller on VME and JTAG port for front-panel access•• Master/slave VME64 interfaceMaster/slave VME64 interface•• Software tools from TI: ANSI C compiler with parallel-processing run-Software tools from TI: ANSI C compiler with parallel-processing run-
time library, Assembler/Linker, and C source-level debuggertime library, Assembler/Linker, and C source-level debugger
Product Description
The MZ 4700 is a single- or dual-’C80 parallel DSP engine. It is the indus-The MZ 4700 is a single- or dual-’C80 parallel DSP engine. It is the indus-try’s first single-slot, 6U VME board with two TMS320C80 Multimedia try’s first single-slot, 6U VME board with two TMS320C80 Multimedia Video Processors for high-performance and high-bandwidth image- and Video Processors for high-performance and high-bandwidth image- and audio-processing applications. The architecture of the MZ 4700 offers a audio-processing applications. The architecture of the MZ 4700 offers a high degree of flexibility allowing for parallel or independent processing by high degree of flexibility allowing for parallel or independent processing by the two ’C80 chips.the two ’C80 chips.
The board provides a full master/slave interface with support of The board provides a full master/slave interface with support of VME64, interrupts, local and global bus, and expansion daughterboard VME64, interrupts, local and global bus, and expansion daughterboard interfaces for additional memory or custom I/O.interfaces for additional memory or custom I/O.
3-166
High-Tech Services Partners
Development Hardware/Plug-In Board/SBus
Product Name: HESB40 SBus to TIM-40 AdapterPlatforms Supported: Sun Workstation connected to any kind of �C40-based systems
Devices Supported: TMS320C40
Features and Benefits
•• DVMA-mapped interface between ’C40 comm port and the SBusDVMA-mapped interface between ’C40 comm port and the SBus•• All circuitry required to run JTAG-based code from TI with no extra All circuitry required to run JTAG-based code from TI with no extra
board requiredboard required•• Ideal for use with TIM modules and related productIdeal for use with TIM modules and related product•• To be used as a link adapter to an external ’C40 resource (standalone, To be used as a link adapter to an external ’C40 resource (standalone,
PC-based, VME-based)PC-based, VME-based)•• Software supported include TI Debugger, VIRTUOSO Real-Time OS, Software supported include TI Debugger, VIRTUOSO Real-Time OS,
VIRTUOSO UNIX-based serverVIRTUOSO UNIX-based server•• UNIX drivers provided in a loadable form for SunOS 4.1.x and Solaris UNIX drivers provided in a loadable form for SunOS 4.1.x and Solaris
2.x2.x
Product Description
The HESB40 is a single-width SBus plug-in card for use with workstations The HESB40 is a single-width SBus plug-in card for use with workstations such as the Sun Microsystems’ range of SPARCstations.such as the Sun Microsystems’ range of SPARCstations.
The HESB40 has no ’C40 processor but provides an interface between The HESB40 has no ’C40 processor but provides an interface between the SBus and a ’C40-based target system that can be of any kind whether it the SBus and a ’C40-based target system that can be of any kind whether it is custom/standard standalone or custom/standard “hosted” by a PC or a is custom/standard standalone or custom/standard “hosted” by a PC or a VME-native SPARC SBC.VME-native SPARC SBC.
The HESB40 provides a JTAG port and a comm-port interface to allow The HESB40 provides a JTAG port and a comm-port interface to allow connection to the target ’C40 system to both purposes of debugging and of connection to the target ’C40 system to both purposes of debugging and of C I/O operations from ’C40s to the SPARCstation. An appropriate cable set C I/O operations from ’C40s to the SPARCstation. An appropriate cable set and a related I/O server are provided at an extra charge.and a related I/O server are provided at an extra charge.
The comm-port connection on the HESB40 is buffered. This enables The comm-port connection on the HESB40 is buffered. This enables reliable connection over many meters.reliable connection over many meters.
The JTAG port is used for debugging the processors individually in any The JTAG port is used for debugging the processors individually in any size of system. The HESB40 can provide a JTAG master interface that can size of system. The HESB40 can provide a JTAG master interface that can be connected to multiples target boards.be connected to multiples target boards.
Debugger software is available from HSP.Debugger software is available from HSP.UNIX drivers are provided in a loadable form for SunOS 4.1.x and UNIX drivers are provided in a loadable form for SunOS 4.1.x and
Solaris 2.x, with source code at an extra charge. Softwares supported also Solaris 2.x, with source code at an extra charge. Softwares supported also includes the VIRTUOSO real-time operating system and the VIRTUOSO includes the VIRTUOSO real-time operating system and the VIRTUOSO UNIX-based server.UNIX-based server.
3-167
High-Tech Services Partners
Development Hardware/TIM Modules
Product Name: HETIM-40 Family of CPU TIM ModulesPlatforms Supported: PC/ISA, PC/PCI, Sun, VME-native SPARC Single-Board Computer
Devices Supported: TMS320C40
Features and Benefits
•• TIM modules with one to four TMS320C40/TMS320C44 at 50 MHz and TIM modules with one to four TMS320C40/TMS320C44 at 50 MHz and a variety of SRAM/DRAM/EDRAM configurationsa variety of SRAM/DRAM/EDRAM configurations
•• Comes with a range of motherboards on PC/ISA, PC/PCI, and VMEComes with a range of motherboards on PC/ISA, PC/PCI, and VME•• Software includes C compiler and debugger, DSP and image libraries, Software includes C compiler and debugger, DSP and image libraries,
and VIRTUOSO real-time OSand VIRTUOSO real-time OS•• HET405-X-Y: ’C40 at 50 MHz and from 4 MBytes to 32 MBytes of HET405-X-Y: ’C40 at 50 MHz and from 4 MBytes to 32 MBytes of
DRAMDRAM•• HETS5-0.25-0: ’C40 at 50 MHz with 0.25-MByte SRAMHETS5-0.25-0: ’C40 at 50 MHz with 0.25-MByte SRAM•• HETS5-0.25-0.25: ’C40 at 50 MHz with 0.5-MByte SRAMHETS5-0.25-0.25: ’C40 at 50 MHz with 0.5-MByte SRAM•• HET40S5-1-0: ’C40 at 50 MHz with 1 MByte of SRAMHET40S5-1-0: ’C40 at 50 MHz with 1 MByte of SRAM•• HET40S5-1-1: ’C40 at 50 MHz with 2 MByte of SRAMHET40S5-1-1: ’C40 at 50 MHz with 2 MByte of SRAM•• HETWIN: size 1 TIM with two ’C40s and 0.5-MByte SRAM eachHETWIN: size 1 TIM with two ’C40s and 0.5-MByte SRAM each•• HETWIN44: size 1 TIM with two ’C44s and up to 4-MByte SRAM eachHETWIN44: size 1 TIM with two ’C44s and up to 4-MByte SRAM each•• HETQUAD: size 1 TIM-40 with four ’C44s and 0.5-MByte SRAM eachHETQUAD: size 1 TIM-40 with four ’C44s and 0.5-MByte SRAM each•• HET40SD5-1-4: ’C40 at 50 MHz with 1-MB SRAM plus 4-MB DRAMHET40SD5-1-4: ’C40 at 50 MHz with 1-MB SRAM plus 4-MB DRAM•• HET40SD5-1-16: ’C40 at 50 MHz with 1-MB SRAM plus 16-MB DRAMHET40SD5-1-16: ’C40 at 50 MHz with 1-MB SRAM plus 16-MB DRAM•• HET38: ’C40 at 50 MHz with 36-MB DRAM and 2-MB SRAMHET38: ’C40 at 50 MHz with 36-MB DRAM and 2-MB SRAM•• HET66: ’C40 at 50 MHz with 64-MB DRAM and 2-MB SRAMHET66: ’C40 at 50 MHz with 64-MB DRAM and 2-MB SRAM•• HET40E5-4-4: ’C40 at 50 MHz with 8-MBytes EDRAMHET40E5-4-4: ’C40 at 50 MHz with 8-MBytes EDRAM
Product Description
The HETIM-40 family of TIM modules is an extensive range of ’C40-based The HETIM-40 family of TIM modules is an extensive range of ’C40-based computing nodes built in strict compliance to Texas Instruments TIM stan-computing nodes built in strict compliance to Texas Instruments TIM stan-dard.dard.
The HETIM-40 modules are intended as off-the-shelf components for The HETIM-40 modules are intended as off-the-shelf components for custom-building scalable parallel-DSP systems. Thus the investment spent custom-building scalable parallel-DSP systems. Thus the investment spent on such systems is fully reuseable if system requirements change or on such systems is fully reuseable if system requirements change or expand.expand.
Various motherboards for PC/ISA, PC/PCI, and the VMEbus are also Various motherboards for PC/ISA, PC/PCI, and the VMEbus are also supplied. Software supported includes C compiler and source debugger, supplied. Software supported includes C compiler and source debugger, signal and image libraries, and the VIRTUOSO real-time operating system.signal and image libraries, and the VIRTUOSO real-time operating system.
3-168
High-Tech Services Partners
Development Hardware/TIM Modules
Product Name: HETIM-IO Family of I/O TIM ModulesPlatforms Supported: PC/ISA, PC/PCI, Sun VME-Native SPARC Single-Board Computer
Devices Supported: TMS320C40
Features and Benefits
•• TIM modules with a variety I/O functions using ’C40 comm ports for TIM modules with a variety I/O functions using ’C40 comm ports for data transfer and with or without a TMS320C40/TMS320C44 at 50 MHzdata transfer and with or without a TMS320C40/TMS320C44 at 50 MHz
•• Comes with a range of motherboards on PC/ISA, PC/PCI, and VMEComes with a range of motherboards on PC/ISA, PC/PCI, and VME•• Software includes C compiler and debugger, module-support-software Software includes C compiler and debugger, module-support-software
libraries, and VIRTUOSO real-time OSlibraries, and VIRTUOSO real-time OS•• HETVIO: Video I/O with ’C40, VRAM, and DRAMHETVIO: Video I/O with ’C40, VRAM, and DRAM•• HECFG44: RGB Frame Grabber 1024 HECFG44: RGB Frame Grabber 1024 × 1024 with ’C44 1024 with ’C44•• HECCFG-44: Composite RGB Frame Grabber 512 HECCFG-44: Composite RGB Frame Grabber 512 × 512 with ’C44 512 with ’C44•• SMT303: RGB Arithmetic Frame Grabber 1024 SMT303: RGB Arithmetic Frame Grabber 1024 × 512, ’C40, SRAM, and 512, ’C40, SRAM, and
DRAMDRAM•• SMT304: Color display 1024 SMT304: Color display 1024 × 1024 with ’C40, SRAM, and DRAM 1024 with ’C40, SRAM, and DRAM•• SMT308: Digital camera interface, no ’C40SMT308: Digital camera interface, no ’C40•• VIPTIM: Programmable convolver, with ’C40, VRAM, and DRAMVIPTIM: Programmable convolver, with ’C40, VRAM, and DRAM•• HETAIO: Audio I/O, 16-bits, 48-kHz maximim sampling rate, no ’C40HETAIO: Audio I/O, 16-bits, 48-kHz maximim sampling rate, no ’C40•• HETIO: Digital I/O, 24-bit output, 24-bit input, 24-bit counter, no ’C40HETIO: Digital I/O, 24-bit output, 24-bit input, 24-bit counter, no ’C40•• HET403tl: Transputer link interfaceHET403tl: Transputer link interface•• HET403dtl: Differential Transputer link interfaceHET403dtl: Differential Transputer link interface•• TAXIR: Fiber optics receiver, no ’C40TAXIR: Fiber optics receiver, no ’C40•• TAXIT: Fiber optics transmitter, no ’C40TAXIT: Fiber optics transmitter, no ’C40•• FLASH: 1, 2, 4 or 8 MB of Flash EPROM, no ’C40FLASH: 1, 2, 4 or 8 MB of Flash EPROM, no ’C40•• HEXTIM: Prototyping TIM-40 with ’C40 and SRAM or DRAMHEXTIM: Prototyping TIM-40 with ’C40 and SRAM or DRAM•• IPackTIM: TIM-40 with single IPack site, ’C40, and SRAM or DRAMIPackTIM: TIM-40 with single IPack site, ’C40, and SRAM or DRAM
Product Description
The HETIM-IO family of TIM modules is an extensive range of ’C40-based The HETIM-IO family of TIM modules is an extensive range of ’C40-based I/O nodes built in strict compliance to Texas Instruments TIM standard.I/O nodes built in strict compliance to Texas Instruments TIM standard.
The HETIM-IO modules are intended as off-the-shelf components for The HETIM-IO modules are intended as off-the-shelf components for direct plug-in of I/O functions to ’C40-based systems. Thus the investment direct plug-in of I/O functions to ’C40-based systems. Thus the investment spent on such systems is fully re-useable if system requirements change or spent on such systems is fully re-useable if system requirements change or expand.expand.
Various motherboards for PC/ISA, PC/PCI, and the VMEbus are also Various motherboards for PC/ISA, PC/PCI, and the VMEbus are also supplied. Softwares supported include C compiler and source debugger, supplied. Softwares supported include C compiler and source debugger, module-support libraries, and the VIRTUOSO real-time operating system.module-support libraries, and the VIRTUOSO real-time operating system.
3-169
HotHaus TechnologiesHotHaus Technologies Inc.1B - 7218 Progress WayDelta BC Canada V4G 1H2(604) 946-0060Fax: (604) 946-5811e-mail address: [email protected]
Company Background HotHaus are experts in TI fixed-point DSP software algorithms for telecommunications. HotHaus are experts in TI fixed-point DSP software algorithms for telecommunications. HotHaus offers a line of inexpensive DSP hardware modules designed to complement HotHaus offers a line of inexpensive DSP hardware modules designed to complement and extend TI’s popular DSP Starter Kits (DSK), and Momentum Data System’s TI DSP and extend TI’s popular DSP Starter Kits (DSK), and Momentum Data System’s TI DSP boards. The HotHaus products are designed to enable telecommunications products boards. The HotHaus products are designed to enable telecommunications products developers to quickly and inexpensively create a development system for their DSP-developers to quickly and inexpensively create a development system for their DSP-based telecommunications software. These modules are compatible with HotHaus’ based telecommunications software. These modules are compatible with HotHaus’ extensive portfolio of DSP software algorithms for telecommunications.extensive portfolio of DSP software algorithms for telecommunications.
Development Hardware/Plug-In Boards (DSK)
Product Name: HotHaus TRUNK Telephony ModulePlatforms Supported: Texas Instruments �C50 DSK, Momentum Data Systems Condor 51Devices Supported: TMS320C5x
Features and Benefits
•• Analog phone line interface for voice and data communicationsAnalog phone line interface for voice and data communications•• Utilizes the TI TLC320AC02 14-bit linear high-performance wideband Utilizes the TI TLC320AC02 14-bit linear high-performance wideband
AICAIC•• Integrated Silicon Systems 73M9001 DAA supports 14,400 bps Integrated Silicon Systems 73M9001 DAA supports 14,400 bps
modems and beyondmodems and beyond•• Up to four lines and four handsets can be supported by stacking multi-Up to four lines and four handsets can be supported by stacking multi-
ple TRUNK modulesple TRUNK modules•• Designed to plug into the TI ’C50 DSK and other compatible devicesDesigned to plug into the TI ’C50 DSK and other compatible devices
Product Description
HotHaus’ TRUNK Module provides a voice /data communications interface HotHaus’ TRUNK Module provides a voice /data communications interface to the Public Switched Telephone Network for DSP boards such as the TI to the Public Switched Telephone Network for DSP boards such as the TI ’C5x DSK and the Momentum Data Systems Condor 51 board. TRUNK is ’C5x DSK and the Momentum Data Systems Condor 51 board. TRUNK is well suited to developers of DSP-based telecommunications products.well suited to developers of DSP-based telecommunications products.
The on-board TI AIC connects to the DAA through signal conditioning The on-board TI AIC connects to the DAA through signal conditioning circuits to ensure that the typical line power levels of -41 dBm to-7 dBm circuits to ensure that the typical line power levels of -41 dBm to-7 dBm will provide full-scale voltage range on the A/D output. The DAA provides will provide full-scale voltage range on the A/D output. The DAA provides isolation, surge protection, and signal conversion. Caller ID information can isolation, surge protection, and signal conversion. Caller ID information can be extracted from an incoming signal by controlling the on module relay to be extracted from an incoming signal by controlling the on module relay to connect the incoming signal to the DAA.connect the incoming signal to the DAA.
Control and status registers facilitate power down mode, ring indication,Control and status registers facilitate power down mode, ring indication, audio gain, off-hook relay control and ring-by pass mode. Ring Indication mayaudio gain, off-hook relay control and ring-by pass mode. Ring Indication may be routed to the DSP interrupts for asynchronous servicing of inbound calls.be routed to the DSP interrupts for asynchronous servicing of inbound calls.
3-170
HotHaus Technologies
Development Hardware/Plug-In Boards (DSK)
Product Name: HotHaus Memory ModulePlatforms Supported: Texas Instruments �C50 DSK, Momentum Data Systems Condor 51Devices Supported: TMS320C5x
Features and Benefits
•• Maximum 64-kwords program, 64-kwords data, and 32-kwords global Maximum 64-kwords program, 64-kwords data, and 32-kwords global SRAMSRAM
•• All memory is zero wait stateAll memory is zero wait state•• On-module bus arbiter for multiprocessor access to resourcesOn-module bus arbiter for multiprocessor access to resources
Product Description
The HotHaus Memory Module physically connects to the DSK via connec-The HotHaus Memory Module physically connects to the DSK via connec-tors and thereby extends the available DSP memory to the full 64k-data tors and thereby extends the available DSP memory to the full 64k-data words and 64k-program words. Otherwise, the DSP on the DSK must rely words and 64k-program words. Otherwise, the DSP on the DSK must rely exclusively on its limited internal memory resources.exclusively on its limited internal memory resources.
DSP software development tools including high-level languages, sym-DSP software development tools including high-level languages, sym-bolic debuggers, graphical tools, profilers, and operating systems necessi-bolic debuggers, graphical tools, profilers, and operating systems necessi-tate an abundance of memory resources. Additional memory resources are tate an abundance of memory resources. Additional memory resources are also essential for many advanced DSP algorithms. The HotHaus Memory also essential for many advanced DSP algorithms. The HotHaus Memory Module equips the DSK with the memory necessary to support efficient Module equips the DSK with the memory necessary to support efficient DSP software development, and to support advanced algorithms.DSP software development, and to support advanced algorithms.
Combined with one or more HotHaus TRUNK Telephony Modules, a Combined with one or more HotHaus TRUNK Telephony Modules, a DSK equipped with a HotHaus memory module is a very inexpensive and DSK equipped with a HotHaus memory module is a very inexpensive and effective development system for telecommunications products.effective development system for telecommunications products.
3-171
Hunt EngineeringChestnut CourtBurton RowBrent KnollSomerset TA9 4BPUK(+44) (0)1278 760188Fax: (+44) (0)1278 760199e-mail: [email protected]: www.ti.com/sc/docs/dsps/develop/3rdparty/hun.htm
Company Background Hunt Engineering was formed in 1988 to provide specialist expertise in parallel process-Hunt Engineering was formed in 1988 to provide specialist expertise in parallel process-ing. The introduction of the TMS320C4x from Texas Intruments was seen by us as an ing. The introduction of the TMS320C4x from Texas Intruments was seen by us as an important improvement to our systems.important improvement to our systems.
Today we are a company of 10 people, dedicated to making DSP systems using the Today we are a company of 10 people, dedicated to making DSP systems using the TMS320C4x in the popular TIM-40 format. By specializing in only this DSP, we have TMS320C4x in the popular TIM-40 format. By specializing in only this DSP, we have amassed not only the largest and most comprehensive range of TIM-40-based ’C4x amassed not only the largest and most comprehensive range of TIM-40-based ’C4x products, but also the experience to quickly specify, design, and manufacture new and products, but also the experience to quickly specify, design, and manufacture new and sometimes custom products that are related to the ’C4x.sometimes custom products that are related to the ’C4x.
Our marketplaces are now very varied, with a distribution network across most of Our marketplaces are now very varied, with a distribution network across most of the world, but we find ourselves especially sucessful in the image-processing field, the world, but we find ourselves especially sucessful in the image-processing field, where we have formed many successful relationships with OEM companies in industrial where we have formed many successful relationships with OEM companies in industrial inspection. We are also successful in data aquisition, processing and storage systems in inspection. We are also successful in data aquisition, processing and storage systems in fields like nuclear and particle physics.fields like nuclear and particle physics.
Development Hardware/Plug-In Board
Product Name: HEPC2-M, HEPC3, HEPC2104, HESB40, HEV40, HEV40M, HET40C and CustomPlatforms Supported: PC (ISA and PCI), Sun, VMEDevices Supported: TMS320C4x
Features and Benefits
•• Host interfaceHost interface•• IEEE 1149.1 (JTAG) debug interfaceIEEE 1149.1 (JTAG) debug interface•• Expandability across multiple boardsExpandability across multiple boards•• Device driver supportDevice driver support
Product Description
A TIM-40 motherboard has to provide a complete environment for the TIM-A TIM-40 motherboard has to provide a complete environment for the TIM-40 Modules described in the following sections. These fall into two main 40 Modules described in the following sections. These fall into two main categories:categories:•• 1. A motherboard that provides a TIM-40 resource in a particular type 1. A motherboard that provides a TIM-40 resource in a particular type
of host computer andof host computer and•• 2. Embedded motherboards.2. Embedded motherboards.Hunt Engineering products can suit either purpose, and support the ISA Hunt Engineering products can suit either purpose, and support the ISA bus, the PCI bus, PC/104, SBus, and VME.bus, the PCI bus, PC/104, SBus, and VME.
3-172
Hunt Engineering
Development Hardware/TIM-40 Processing Module
Product Name: HET40X, HET40SX, HET40SDX, HETWIN, HETWIN-44, HEQUAD and CustomPlatforms Supported: PC (ISA and PCI), Sun, VME (via relevant motherboard)Devices Supported: TMS320C4x
Features and Benefits
•• TIM-40 Module with processors and memory, interconnected by comm TIM-40 Module with processors and memory, interconnected by comm portsports
•• IEEE 1149.1 (JTAG) debug interfaceIEEE 1149.1 (JTAG) debug interface•• Easily transferrable between PC, Sun, VME, or embeddedEasily transferrable between PC, Sun, VME, or embedded•• Wide range of memory sizes and speedsWide range of memory sizes and speeds
Product Description
A TIM-40 module provides a good way to be able to assemble systems of A TIM-40 module provides a good way to be able to assemble systems of mixed speeds and sizes of memory, using off-the-shelf “building blocks.” mixed speeds and sizes of memory, using off-the-shelf “building blocks.” With up to 8 MBytes zero-wait-state SRAM, 8-Mbytes EDRAM, or 64 With up to 8 MBytes zero-wait-state SRAM, 8-Mbytes EDRAM, or 64 MBytes page-mode DRAM per processor and a choice of 1, 2, or 4 proces-MBytes page-mode DRAM per processor and a choice of 1, 2, or 4 proces-sors per module and no limit on the number of modules per system almost sors per module and no limit on the number of modules per system almost any system requirements can be met.any system requirements can be met.
3-173
Hunt Engineering
Development Hardware/TIM-40 Image Processing Module
Product Name: HETVIO, HECFG44, HECCFG44, HEGD4, VIPTIM, SMT304 and CustomPlatforms Supported: PC (ISA and PCI), Sun, VME (via relevant motherboard)Devices Supported: TMS320C4x
Features and Benefits
•• Video input,output, and processing subsystems compatible with our Video input,output, and processing subsystems compatible with our other productsother products
•• Analog or digital, area scan or linescan supportAnalog or digital, area scan or linescan support•• Easily transferrable between PC, Sun, VME, or embeddedEasily transferrable between PC, Sun, VME, or embedded•• Hunt Engineering has a large amount of expertise in interfacing to Hunt Engineering has a large amount of expertise in interfacing to
these systemsthese systems
Product Description
Hunt Engineering has a wide range of image-processing modules, with Hunt Engineering has a wide range of image-processing modules, with input only, output only, input and output, or just specialist image-process-input only, output only, input and output, or just specialist image-process-ing functions. These easily integrate into the TIM-40 systems allowing them ing functions. These easily integrate into the TIM-40 systems allowing them to be used for virtually any image-processing task.to be used for virtually any image-processing task.
3-174
Hunt Engineering
Development Hardware/TIM-40 I/O Modules
Product Name: HETBASEIO, HEXTIM, IPackTIM, HETAIO, HETIO, FLASH and CustomPlatforms Supported: PC (ISA and PCI), Sun, VME (via relevant motherboard)Devices Supported: TMS320C4x
Features and Benefits
•• TIM-40 Modules with I/O and special functionsTIM-40 Modules with I/O and special functions•• Choice of comm-port based or memory-mapped functionsChoice of comm-port based or memory-mapped functions•• Easily transferrable between PC, Sun, VME, or embeddedEasily transferrable between PC, Sun, VME, or embedded•• Wide range of functions available off-the-shelfWide range of functions available off-the-shelf
Product Description
A range of TIM-40s with A/D, D/A , audio, digital or specialist I/O can be A range of TIM-40s with A/D, D/A , audio, digital or specialist I/O can be crucial to the speed and quality of data for your DSP system. Other func-crucial to the speed and quality of data for your DSP system. Other func-tions such as Flash ROM booting or bus interfacing are also available.tions such as Flash ROM booting or bus interfacing are also available.
3-175
Hunt Engineering
Development Hardware/TIM-40 Communication Modules
Product Name: HET403tl, HET403dtl, TAXIT, TAXIR, HEGD3 and CustomPlatforms Supported: PC (ISA and PCI), Sun, VME (via relevant motherboard)Devices Supported: TMS320C4x
Features and Benefits
•• TIM-40 Modules that can convert comm ports to other communication TIM-40 Modules that can convert comm ports to other communication standardsstandards
•• Interfacing to other subsystems that do not support comm portsInterfacing to other subsystems that do not support comm ports•• Easily transferrable between PC, Sun, VME, or embeddedEasily transferrable between PC, Sun, VME, or embedded•• Communications over long distances or harsh environmentsCommunications over long distances or harsh environments
Product Description
Most systems are interconnected using comm ports, possibly with sheilded Most systems are interconnected using comm ports, possibly with sheilded cabling. These communications modules allow other standards such as cabling. These communications modules allow other standards such as TAXI (fiber optic), transputer links, RS-232, etc.TAXI (fiber optic), transputer links, RS-232, etc.
3-176
Hunt Engineering
High-Level Language Compiler
Product Name: TI C/ASM, Tartan C/C++, Coffloader, Parallel C, Helios, Virtuoso, SPOX, Source-Level Debugger, Application Libraries
Platforms Supported: PC (ISA and PCI), Sun, VME (via relevant motherboard)Devices Supported: TMS320C4x
Features and Benefits
•• Compilers/operating systems that support Hunt Engineering mother-Compilers/operating systems that support Hunt Engineering mother-boardsboards
•• Parallel processing on one or many ’C4xsParallel processing on one or many ’C4xs•• Easily transferrable between PC, Sun, VME, or embeddedEasily transferrable between PC, Sun, VME, or embedded•• Easy debugging of any systemEasy debugging of any system
Product Description
The Hunt Engineering hardware is supported by all of the popular ’C4x The Hunt Engineering hardware is supported by all of the popular ’C4x development environments. As distributors for these products, Hunt development environments. As distributors for these products, Hunt Enginnering can easily recommend and support the best development Enginnering can easily recommend and support the best development environment for your system.environment for your system.
3-177
Hyperception, Inc.9550 Skillman, LB 125Ste 302Dallas, TX 75243 USA(214) 343-8525Fax: (214) 343-2457e-mail: info@ hyperception.com (regular) [email protected] (automated)www: http://www.hyperception.com
Company BackgroundHyperception, Inc. was incorporated in 1984 to provide DSP development software that Hyperception, Inc. was incorporated in 1984 to provide DSP development software that combined the power and cost effectiveness of the IBM PC and plug-in boards with combined the power and cost effectiveness of the IBM PC and plug-in boards with DSPs. Hyperception’s software tools provide a comprehensive visual design environ-DSPs. Hyperception’s software tools provide a comprehensive visual design environ-ment for DSP engineers, and address the areas of traditional DSP design and analysis, ment for DSP engineers, and address the areas of traditional DSP design and analysis, virtual instrumentation, and simulation/CASE, with support for most general-purpose virtual instrumentation, and simulation/CASE, with support for most general-purpose DSPs. Hyperception also offers a comprehensive line of DSP/Acquisition hardware and DSPs. Hyperception also offers a comprehensive line of DSP/Acquisition hardware and complete DSP development systems.complete DSP development systems.
Application Software/Visual Programming
Product Name: Hypersignal® for Windows RT-4Platforms Supported: PCDevices Supported: TMS320C1x, TMS320C2x, TMS320C2xx, TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Block function selection toolBlock function selection tool•• New real-time DSP driver New real-time DSP driver •• True MDI window functionalityTrue MDI window functionality•• Block wizard for adding custom blocks is includedBlock wizard for adding custom blocks is included
Product Description
The Hypersignal for Windows RT-4 software package is an integrated signal The Hypersignal for Windows RT-4 software package is an integrated signal processing environment with broad capabilities in graphical analysis, data processing environment with broad capabilities in graphical analysis, data acquisition and processing, digital filter design and implementation, real-acquisition and processing, digital filter design and implementation, real-time instruments, DSP-code generation, and algorithm development/verifi-time instruments, DSP-code generation, and algorithm development/verifi-cation/implementation. The Hypersignal for Windows Block Diagram soft-cation/implementation. The Hypersignal for Windows Block Diagram soft-ware package (included in RT-4) is a visually-programmed object-oriented ware package (included in RT-4) is a visually-programmed object-oriented simulation software package. By arranging and connecting icons, signal simulation software package. By arranging and connecting icons, signal processing algorithms, as well as many other types of simulations, can be processing algorithms, as well as many other types of simulations, can be programmed, adjusted, and easily tested. The icons represent input, pro-programmed, adjusted, and easily tested. The icons represent input, pro-cessing, output, and display functions. Many functions related to DSP and cessing, output, and display functions. Many functions related to DSP and general engineering are provided, and the user can add new function general engineering are provided, and the user can add new function blocks using any Windows/C compiler along with the Block Wizard pro-blocks using any Windows/C compiler along with the Block Wizard pro-gram, which is also included. Entire screens can shrink to a single block, gram, which is also included. Entire screens can shrink to a single block, and multiple levels of this hierarchy are supported. New function libraries and multiple levels of this hierarchy are supported. New function libraries for specific industries are becoming available on an ongoing basis.for specific industries are becoming available on an ongoing basis.
3-178
IAT AG IAT Deutschland GmbHFranz Müller Arne FüttererGeschäftshaus WasserschlössAarestraße 17 Fahrenheitstraße 95300 Vogelsang-Turgi 28359 BremenSwitzerland Germany+41 56 223 50 22 +49 421 20 21-0Fax: +41 56 223 50 23 Fax: +49 421 20 21-199e-mail: [email protected] e-mail: [email protected]
Company Background Established in 1989, IAT develops and markets multimedia communication systems for Established in 1989, IAT develops and markets multimedia communication systems for use in digital public and private networks. The headquarters of IAT are in Turgi, Swit-use in digital public and private networks. The headquarters of IAT are in Turgi, Swit-zerland, a subsidiary is located in Bremen, Germany. Currently, IAT employs a highly-zerland, a subsidiary is located in Bremen, Germany. Currently, IAT employs a highly-skilled team of 34 specialists in Switzerland and Germany.skilled team of 34 specialists in Switzerland and Germany.
Fields of activity: development, manufacturing, and sale of hardware, software, and Fields of activity: development, manufacturing, and sale of hardware, software, and systems in the field of multimedia telecommunication for use in public and private net-systems in the field of multimedia telecommunication for use in public and private net-works. Consulting, support, and implementation of large-scale projects.works. Consulting, support, and implementation of large-scale projects.
Development Hardware/Plug-In ISA Board
Product Name: H.320 Developers� Kit A2Platforms Supported: PC/ISA, Windows 3.x, WIN 95 (1st half 1996), OS/2 (1st half 1996)Devices Supported: TMS320C80
Features and Benefits
•• Full ITU-H.320Full ITU-H.320•• Total H.320 software solutionTotal H.320 software solution•• PC/ISA plug-in boardPC/ISA plug-in board•• H.320 APIH.320 API•• MVIP-bus for connection to network boardMVIP-bus for connection to network board
Product Description
The H.320-codec is compatible with international videoconferencing ser-The H.320-codec is compatible with international videoconferencing ser-vices and ISDN videophones. This includes videoconferences with vices and ISDN videophones. This includes videoconferences with six B channels maximum. All standards recommended in the ITU-H.320 are six B channels maximum. All standards recommended in the ITU-H.320 are realized completely via software. The hardware of the codec is realized as realized completely via software. The hardware of the codec is realized as PC/ISA plug-in board. It processes all H.320-based codes. The board con-PC/ISA plug-in board. It processes all H.320-based codes. The board con-tains all necessary analog audio/video (PAL/NTSC/SECAM) interfaces. The tains all necessary analog audio/video (PAL/NTSC/SECAM) interfaces. The MVIP bus are available for the connection to the network (i.e., ISDN card). MVIP bus are available for the connection to the network (i.e., ISDN card). The digitized and compressed (H.320) audio/video data are transported The digitized and compressed (H.320) audio/video data are transported between the codec and the network via this bus. The H.320 codes can be between the codec and the network via this bus. The H.320 codes can be loaded via the ISA bus. Moreover, the control of the codec takes place via loaded via the ISA bus. Moreover, the control of the codec takes place via this bus. For this purpose an H.320_API has been developed by IAT. It is this bus. For this purpose an H.320_API has been developed by IAT. It is also possible to transport H.261 or MPEG/JPEG data via this bus. High-level also possible to transport H.261 or MPEG/JPEG data via this bus. High-level APIs (including ISDN connection) are also available.APIs (including ISDN connection) are also available.
IAT offers this codec to the OEM market. It can also be bought as a ref-IAT offers this codec to the OEM market. It can also be bought as a ref-erence design.erence design.
3-179
I.S.I.T.Z.I. des Poumad�res32600 L'isle JourdainFrance(+33) 62 07 29 54Fax: (+33) 62 07 29 53Compuserve: 100627,2421
Company BackgroundEstablished near the technologic town of Toulouse in France (AERONAUTIC & SPA-Established near the technologic town of Toulouse in France (AERONAUTIC & SPA-TIAL CENTER), I.S.I.T. offers to design engineers both hardware and software develop-TIAL CENTER), I.S.I.T. offers to design engineers both hardware and software develop-ment tool solutions for microcontroller and signal-processing applications. Moreover ment tool solutions for microcontroller and signal-processing applications. Moreover thanks to their competence, our engineers and technical staff have the capability to thanks to their competence, our engineers and technical staff have the capability to support and advise customers.support and advise customers.
Development Hardware/Emulator
Product Name: DSProtoPlatforms Supported: PC/AT (ISA bus) DOS/WindowsDevices Supported: TMS320C31
Features and Benefits
•• TMS320C31 (33, 40, or 50 MHz), up to 50-MFLOPS performanceTMS320C31 (33, 40, or 50 MHz), up to 50-MFLOPS performance•• 32K long words zero-wait-state SRAM (expandable up to 192K words)32K long words zero-wait-state SRAM (expandable up to 192K words)•• High-speed serial port (DB15), high-speed external bus bufferedHigh-speed serial port (DB15), high-speed external bus buffered•• ’C31 address, data, and control lines’C31 address, data, and control lines•• PC AT compatible (fits in standard ISA bus slot) PC AT compatible (fits in standard ISA bus slot) •• All major devices socketed (PALs, processor, and memory) for easy All major devices socketed (PALs, processor, and memory) for easy
high-performance upgradinghigh-performance upgrading
Product Description
DSProto is a low-cost single board for applications in digital filtering, audio DSProto is a low-cost single board for applications in digital filtering, audio signal processing, neural nets, image processing, robotics, and process con-signal processing, neural nets, image processing, robotics, and process con-trol. Based on the TMS320C31 32-bit floating-point processor, this board trol. Based on the TMS320C31 32-bit floating-point processor, this board can operate up to 50 MHz. Accessories like DSProto CODEC (CD audio can operate up to 50 MHz. Accessories like DSProto CODEC (CD audio acquisition 16-bit A/D and D/A), DSProto Debugger (Windows-based ’C31 acquisition 16-bit A/D and D/A), DSProto Debugger (Windows-based ’C31 debugger, DSProto program loading and control) and ’C3x Assembler/debugger, DSProto program loading and control) and ’C3x Assembler/Linker provide powerful tools for developing and debugging complex appli-Linker provide powerful tools for developing and debugging complex appli-cations.cations.
3-180
I.S.I.T.
Development Hardware/Emulator
Product Name: POWER100 Super Universal Programmer and TesterPlatforms Supported: IBM PCDevices Supported: TMS320E15, TMS320E17, TMS320E25
Features and Benefits
•• 48-pin TEXTOOL as standard, each pins are programmable48-pin TEXTOOL as standard, each pins are programmable•• Full range of adaptors to cover a wide range of packagesFull range of adaptors to cover a wide range of packages•• Connects to printer port for easy portabilityConnects to printer port for easy portability•• Up to eight gang programming for production useUp to eight gang programming for production use•• Test and identify TTL and CMOS logic, SRAM, DRAM, SIMM/SIP and Test and identify TTL and CMOS logic, SRAM, DRAM, SIMM/SIP and
logic vector testlogic vector test
Product Description
The POWER100 supports more than 1500 devices as Flash E/EPROM, PLD, The POWER100 supports more than 1500 devices as Flash E/EPROM, PLD, PAL, PEEL, GAL, MAPL, MAX, MACH, BIPOLAR and SERIAL PROM, MPU/PAL, PEEL, GAL, MAPL, MAX, MACH, BIPOLAR and SERIAL PROM, MPU/MCU... Each pin of the 48-pin TEXTOOL interface are programmable MCU... Each pin of the 48-pin TEXTOOL interface are programmable including GND, VCC, VHH, VOP, clock, oscillator, quick pull-up, protection including GND, VCC, VHH, VOP, clock, oscillator, quick pull-up, protection driver. Full support of a large range of package types including PLCC, QFP, driver. Full support of a large range of package types including PLCC, QFP, SOP, TSOP, PGA, and SIMM/SIPP are available. As expected there is full SOP, TSOP, PGA, and SIMM/SIPP are available. As expected there is full protection against wrong insertion of IC or overcurrent. Programming algo-protection against wrong insertion of IC or overcurrent. Programming algo-rithms have been approved by IC manufacturers, customer calibration and rithms have been approved by IC manufacturers, customer calibration and test diagnosis to meet ISO 9000.test diagnosis to meet ISO 9000.
3-181
I.S.I.T.
Development Hardware/Emulator
Product Name: T12840Platforms Supported: PC/AT (ISA Bus), DOS, and WindowsDevices Supported: TMS320Cxx
Features and Benefits
•• 16-channel logical-analyzer, can be used as two channel scope16-channel logical-analyzer, can be used as two channel scope•• Two independent time bases up to 40-MHz sampling rateTwo independent time bases up to 40-MHz sampling rate•• 128K-Byte RAM, real-time display by DOS or Windows software128K-Byte RAM, real-time display by DOS or Windows software•• Nine analog input ranges, 10 standard triggers modes + program fea-Nine analog input ranges, 10 standard triggers modes + program fea-
tureture•• Gain and offset software adjustableGain and offset software adjustable
Product Description
The T12840 is a powerfull plug-in Logic Analyzer Board that can be used as The T12840 is a powerfull plug-in Logic Analyzer Board that can be used as a very fast two-channel scope with large data buffer. Used as a scope the a very fast two-channel scope with large data buffer. Used as a scope the channels can be used in coupled or independent (in time amplitude) mode. channels can be used in coupled or independent (in time amplitude) mode. The X-adjustments of both channels allow a precise and separate adjust-The X-adjustments of both channels allow a precise and separate adjust-ment of sampling rate, buffer size, pre- and post-trigger ranges. An external ment of sampling rate, buffer size, pre- and post-trigger ranges. An external clock is available. The simultaneous sampling of both channels allows accu-clock is available. The simultaneous sampling of both channels allows accu-rate phase measuring. By software you can choose one of nine analog input rate phase measuring. By software you can choose one of nine analog input ranges between +128 mV up to 64 V and AC or DC mode. Extensive possi-ranges between +128 mV up to 64 V and AC or DC mode. Extensive possi-bilities of analysis of data records are available: FFT analysis (linear, loga-bilities of analysis of data records are available: FFT analysis (linear, loga-rithmic, phase angle), addition, substraction, and multiplication of data rithmic, phase angle), addition, substraction, and multiplication of data records either with numbers or with other data records. Data and setup records either with numbers or with other data records. Data and setup parameters can be saved to hard disk or loaded from itparameters can be saved to hard disk or loaded from it
3-182
I.S.I.T.
Development Hardware/Emulator
Product Name: LINK-C30Platforms Supported: PC/AT (ISA bus) DOS and WindowsDevices Supported: TMS320C3x
Features and Benefits
•• Advanced user interface DOS and Windows Advanced user interface DOS and Windows •• Extensive SPOX operating system supportExtensive SPOX operating system support•• Symbolic C and Assembly level debuggingSymbolic C and Assembly level debugging•• Graphic capabilities for DSP applicationsGraphic capabilities for DSP applications•• Up to 128 simultaneous breakpoints, conditionnal breakpoints, single Up to 128 simultaneous breakpoints, conditionnal breakpoints, single
step, multiple step and free-run executionstep, multiple step and free-run execution
Product Description
LINK-C30 is an emulator for TMS320C3x chips. The emulator uses the LINK-C30 is an emulator for TMS320C3x chips. The emulator uses the debug port available on TMS320C3x as the emulation path to the DSP, thus debug port available on TMS320C3x as the emulation path to the DSP, thus all of the DSP’s pins are preserved, no speed is sacrificed, and no resources all of the DSP’s pins are preserved, no speed is sacrificed, and no resources are lost. The debugger as a new modern user interface; it is symbolic, win-are lost. The debugger as a new modern user interface; it is symbolic, win-dowed, and highly visual. LINK-C30 is an effective tool to develop, debug, dowed, and highly visual. LINK-C30 is an effective tool to develop, debug, and test ’C3x software and hardware. The system includes a plug-in control and test ’C3x software and hardware. The system includes a plug-in control card, an active cable, PC software, and user’s manual. LINK-C30 is compat-card, an active cable, PC software, and user’s manual. LINK-C30 is compat-ible with the Texas Instruments hardware tools. The programmable user ible with the Texas Instruments hardware tools. The programmable user interface provides 13 indepedent windows for displaying all necessary interface provides 13 indepedent windows for displaying all necessary information as DSP registers, and offers an interactive Assembler/Disas-information as DSP registers, and offers an interactive Assembler/Disas-sembler compliant with the TMS320C3x instruction set. LINK-C30 source sembler compliant with the TMS320C3x instruction set. LINK-C30 source file format is COFF format.file format is COFF format.
3-183
I.S.I.T.
Development Hardware/Emulator
Product Name: STA132Platforms Supported: PC/AT (ISA Bus), DOS, and WindowsDevices Supported: TMS320Cxx
Features and Benefits
•• 32 channels as data inputs, one trigger input, one trigger output32 channels as data inputs, one trigger input, one trigger output•• Clock speed up to 100 MHz for internal clock and up to 50 MHz for Clock speed up to 100 MHz for internal clock and up to 50 MHz for
external clock with positive, negative or both active clock edgeexternal clock with positive, negative or both active clock edge•• Simple or complex Trigger, four Trigger levelsSimple or complex Trigger, four Trigger levels•• Memory depth 8K/channel state analysis, 16K/channel timing analysisMemory depth 8K/channel state analysis, 16K/channel timing analysis•• Data and setup parameters can be saved on PC hard diskData and setup parameters can be saved on PC hard disk
Product Description
The STA132 is a powerful Logic Analyzer for testing TMS320 hardware. The STA132 is a powerful Logic Analyzer for testing TMS320 hardware. The STA132 package includes the plug-in logic analyzer board, five probes The STA132 package includes the plug-in logic analyzer board, five probes with their wires. The STA132 provides powerfull triggering capabilities like with their wires. The STA132 provides powerfull triggering capabilities like complex triggering including two Trigger levels with three trigger-actions complex triggering including two Trigger levels with three trigger-actions (Goto level, Trigger STA132, Trigger output) per level. Treshold can be set (Goto level, Trigger STA132, Trigger output) per level. Treshold can be set as TTL level (+1,4 V), as ECL (-1,3 V) or variable (± 7,5 V, step 100 mV). as TTL level (+1,4 V), as ECL (-1,3 V) or variable (± 7,5 V, step 100 mV). Up to 32 channels can be displayed on the screen either in state mode or Up to 32 channels can be displayed on the screen either in state mode or timing mode. Disassembler mode is under development. Two cursors, O timing mode. Disassembler mode is under development. Two cursors, O and X, and a Trigger marker T can be displayed with difference O to X, O and X, and a Trigger marker T can be displayed with difference O to X, O and X to T. All acquired data and setup parameters can be saved to PC hard and X to T. All acquired data and setup parameters can be saved to PC hard disk or load from PC hard disk to do diagram comparisons.disk or load from PC hard disk to do diagram comparisons.
3-184
Image & Signal Processing, Inc.1250 N. Lakeview Ave. Anaheim, CA 92807(714) 970-0700Fax: (714) 970-0121e-mail: [email protected]
Company Background Image & Signal Processing, Inc. (ISP) is engaged in the development, manufacture, and Image & Signal Processing, Inc. (ISP) is engaged in the development, manufacture, and marketing of commercial off-the-shelf and custom digital-signal-processing products. marketing of commercial off-the-shelf and custom digital-signal-processing products. Founded in 1990, its mission is to provide high-quality products that provide customers Founded in 1990, its mission is to provide high-quality products that provide customers the maximum cost/performance benefit in DSP systems.the maximum cost/performance benefit in DSP systems.
Development Hardware/Plug-In Board/VMEbus
Product Name: Blazer Hex 6U VME BoardPlatforms Supported: VxWorks, Force, Synergy, etc.Devices Supported: TMS320C40
Features and Benefits
•• Three ’C40s and three TIM sites for additional ’C40s or I/O modulesThree ’C40s and three TIM sites for additional ’C40s or I/O modules•• Six ’C40s interconnected via TI comm ports for front panel with JTAG, Six ’C40s interconnected via TI comm ports for front panel with JTAG,
serial port, and six comm ports.serial port, and six comm ports.•• 300-MFLOPS, 1650 MOPs processing power300-MFLOPS, 1650 MOPs processing power•• Full VME64 and 30-MBps VSB Master/Slave bus interfacesFull VME64 and 30-MBps VSB Master/Slave bus interfaces•• Fully supported by SPOX and TI development toolsFully supported by SPOX and TI development tools
Product Description
Blazer has been proven to be the DSP board of choice for high-perfor-Blazer has been proven to be the DSP board of choice for high-perfor-mance, high-reliability systems. Its unique Multi-Master Bus allows any pro-mance, high-reliability systems. Its unique Multi-Master Bus allows any pro-cessor to master the board or even the VME system. This allows maximum cessor to master the board or even the VME system. This allows maximum software flexibility in implementing communications, imaging, robotics, software flexibility in implementing communications, imaging, robotics, medical, inspection, navigation, surveillance, or guidance systems. Blazer medical, inspection, navigation, surveillance, or guidance systems. Blazer users have shown a linear increase in performance with each additional users have shown a linear increase in performance with each additional ’C40 mapped into their task.’C40 mapped into their task. Blazer’s TIM module add-on concept allows ultimate flexibility in man- Blazer’s TIM module add-on concept allows ultimate flexibility in man-aging parallel, serial, or analog I/O of moderate to very-high speed. TIM sitesaging parallel, serial, or analog I/O of moderate to very-high speed. TIM sites can also receive additional ’C40s or RISC processors.can also receive additional ’C40s or RISC processors. Blazer supports a VME64 interface and VSB interface to Force, Synergy, Blazer supports a VME64 interface and VSB interface to Force, Synergy, Themis, and other VME-host CPU boards. Blazer also supports the Spec-Themis, and other VME-host CPU boards. Blazer also supports the Spec-tron Microsystems SPOX DSP operating system, and Toolsmiths’s UNISON tron Microsystems SPOX DSP operating system, and Toolsmiths’s UNISON operating system. Blazer has also been selected for use as an Alta/Com-operating system. Blazer has also been selected for use as an Alta/Com-disco SPW workstation accelerator, yielding a 20-to-1 throughput increase disco SPW workstation accelerator, yielding a 20-to-1 throughput increase over the standard SPARC 10 CPU board.over the standard SPARC 10 CPU board. Product introductions planned for 1996 include Blazer 4x4 with 8 to 16 Product introductions planned for 1996 include Blazer 4x4 with 8 to 16 TI ’C44s on board and a new 9U VME board (design completed 12/95) with TI ’C44s on board and a new 9U VME board (design completed 12/95) with 28 TI ’C44s on board.28 TI ’C44s on board.
3-185
Innovative Integration Inc.31352 W. Via Colinas, Suite 101Westlake Village, CA 91362(818) 865-6150Fax: (818) 879-1770e-mail: [email protected]
Company Background Innovative Integration was founded in 1988 to provide DSP solutions to scientists and Innovative Integration was founded in 1988 to provide DSP solutions to scientists and engineers with a difficult problem to solve and not much time or money with which to engineers with a difficult problem to solve and not much time or money with which to solve it. We offer the most highly-integrated and cost-effective DSP hardware and soft-solve it. We offer the most highly-integrated and cost-effective DSP hardware and soft-ware in the business, with ISA, PCI, and single-board computers based around Texas ware in the business, with ISA, PCI, and single-board computers based around Texas Instruments’ entire line of digital signal processors. High-quality, built-in analog and dig-Instruments’ entire line of digital signal processors. High-quality, built-in analog and dig-ital interfacing on every card, combined with complete software development packages ital interfacing on every card, combined with complete software development packages and Innovative’s experience and expert technical support make your DSP, data acquisi-and Innovative’s experience and expert technical support make your DSP, data acquisi-tion, or control systems job easy!tion, or control systems job easy!
Development Hardware/Plug-In ISA Board
Product Name: PC32 ISA Bus Plug-In SupercontrollerPlatforms Supported: PCDevices Supported: TMS320C32
Features and Benefits
•• TMS320C32 DSP: 30 MIPS/60 MFLOPS with hardware floating pointTMS320C32 DSP: 30 MIPS/60 MFLOPS with hardware floating point•• 32 k to 768 k 32 k to 768 k × 32 on-board SRAM, 4-kByte dual-port-to-ISA bus 32 on-board SRAM, 4-kByte dual-port-to-ISA bus•• Four 16-bit, 100-kHz analog I/O, 16-bit digital I/O, 3XBUS interfaceFour 16-bit, 100-kHz analog I/O, 16-bit digital I/O, 3XBUS interface•• Two timer/counters, two DMA channels, one 10-Mbaud serial portTwo timer/counters, two DMA channels, one 10-Mbaud serial port•• Lowest-cost ISA-bus floating-point DSP card in the industryLowest-cost ISA-bus floating-point DSP card in the industry
Product Description
The ultra-low-cost PC32 couples the high-performance 32-bit floating-point The ultra-low-cost PC32 couples the high-performance 32-bit floating-point TMS320C32 DSP with full-featured analog and digital peripherals to form a TMS320C32 DSP with full-featured analog and digital peripherals to form a complete DSP-based data-acquisition and control system for the PC/AT on complete DSP-based data-acquisition and control system for the PC/AT on a single half-size 16-bit card.a single half-size 16-bit card.
Features also include four each 16-bit, 100-ksample/sec instrumenta-Features also include four each 16-bit, 100-ksample/sec instrumenta-tion-grade A/D and D/A converters and 16 bits of high-drive digital I/O. The tion-grade A/D and D/A converters and 16 bits of high-drive digital I/O. The PC32 is compatible with the full range of 3XBUS cards for I/O expansion PC32 is compatible with the full range of 3XBUS cards for I/O expansion including analog I/O, digital-camera interfacing, prototyping, and SCSI including analog I/O, digital-camera interfacing, prototyping, and SCSI devices.devices.
’C32 on-chip peripherals include two 32-bit counter/timers, two flexi-’C32 on-chip peripherals include two 32-bit counter/timers, two flexi-ble-DMA controllers, 15 prioritized interrupts, and much more. Memory on ble-DMA controllers, 15 prioritized interrupts, and much more. Memory on the PC32 may be expanded up to 512 k the PC32 may be expanded up to 512 k × 32 zero-wait-state and 256 k 32 zero-wait-state and 256 k × 32 32 one-wait-state memory for an optimal mix of performance, size, and cost.one-wait-state memory for an optimal mix of performance, size, and cost.
3-186
Innovative Integration Inc.
Development Hardware/Plug-In ISA Board
Product Name: PC31 ISA Bus Plug-In SupercontrollerPlatforms Supported: PCDevices Supported: TMS320C31
Features and Benefits
•• TMS320C31 floating-point DSP with 32 k to 512 k TMS320C31 floating-point DSP with 32 k to 512 k × 32 zero-wait SRAM 32 zero-wait SRAM•• 2 k 2 k × 32 dual-port-to-ISA bus 32 dual-port-to-ISA bus•• Two 16-bit, 200-kHz A/D (muxed 8:1 diff or 16:1 SE, program gain), Two 16-bit, 200-kHz A/D (muxed 8:1 diff or 16:1 SE, program gain),
four 16-bit, 200-kHz D/Afour 16-bit, 200-kHz D/A•• 48-bits digital I/O, three 16-bit counter/timers, two 32-bit counter/timers48-bits digital I/O, three 16-bit counter/timers, two 32-bit counter/timers•• Two 2-Mbaud RS-232/RS-422 serial ports, DSP~LINK™ interface, Two 2-Mbaud RS-232/RS-422 serial ports, DSP~LINK™ interface,
3XBUS interface3XBUS interface
Product Description
The PC31 is a high-performance, PC plug-in coprocessor featuring the The PC31 is a high-performance, PC plug-in coprocessor featuring the TMS320C31 DSP coupled with high-quality analog and digital I/O. The TMS320C31 DSP coupled with high-quality analog and digital I/O. The PC31 is ideal for demanding signal-processing applications, real-time servo PC31 is ideal for demanding signal-processing applications, real-time servo control, audio-signal processing, and other computationally-intensive data control, audio-signal processing, and other computationally-intensive data acquisition tasks.acquisition tasks.
The PC31 includes two channels of 16-bit, 200-kHz A/D (muxable up to The PC31 includes two channels of 16-bit, 200-kHz A/D (muxable up to 16 SE or 8 differential, with programmable gain) and four channels of 16-16 SE or 8 differential, with programmable gain) and four channels of 16-bit, 200-kHz D/A. 48 bits of digital I/O, five counter timers, and two serial bit, 200-kHz D/A. 48 bits of digital I/O, five counter timers, and two serial ports round out the real-world interface hardware. ISA bus interfacing is ports round out the real-world interface hardware. ISA bus interfacing is via a 2 k via a 2 k × 32 dual-port and I/O-mapped ’C31 bus interface, allowing direct 32 dual-port and I/O-mapped ’C31 bus interface, allowing direct access to the ’C31 memory map.access to the ’C31 memory map.
PC31 software development is supported in Assembler, C/C++, and PC31 software development is supported in Assembler, C/C++, and Forth. Complete development packages are available including target DSP Forth. Complete development packages are available including target DSP and host PC sample code and libraries. Application development is also and host PC sample code and libraries. Application development is also supported by easy-to-use Windows packages including Hypersignal Win-supported by easy-to-use Windows packages including Hypersignal Win-dows and DASYLab.dows and DASYLab.
3-187
Innovative Integration Inc.
Development Hardware/Plug-In ISA Board
Product Name: PC44 ISA Bus Plug-In SupercontrollerPlatforms Supported: PCDevices Supported: TMS320C44
Features and Benefits
•• Up to three TMS320C44 processorsUp to three TMS320C44 processors•• Four 20-Mbps comm ports/processor, shared-memory bus, 4XBUS Four 20-Mbps comm ports/processor, shared-memory bus, 4XBUS
interfaceinterface•• 32 k to 1024 k 32 k to 1024 k × 32 local SRAM, 32 k to 1024 k 32 local SRAM, 32 k to 1024 k × 32 global SRAM 32 global SRAM•• Two 16-bit, 300-kHz A/D instrumentation grade, muxed 16:1 SE or 8:1 Two 16-bit, 300-kHz A/D instrumentation grade, muxed 16:1 SE or 8:1
diff, program gaindiff, program gain•• Four-channel 16-bit, 256-kHz D/A instrumentation-grade, 32-bits digi-Four-channel 16-bit, 256-kHz D/A instrumentation-grade, 32-bits digi-
tal I/O, five timerstal I/O, five timers
Product Description
The PC44 is an ultra-high-performance TMS320C44-based ISA-bus DSP The PC44 is an ultra-high-performance TMS320C44-based ISA-bus DSP coprocessor card, integrating all the processor, and I/O hardware necessary coprocessor card, integrating all the processor, and I/O hardware necessary to perform the most demanding data-acquisition, control, and signal-pro-to perform the most demanding data-acquisition, control, and signal-pro-cessing tasks. Its user-scaleable parallel-processing features, coupled with cessing tasks. Its user-scaleable parallel-processing features, coupled with high-quality analog I/O and high-speed digital interfaces, make for phenom-high-quality analog I/O and high-speed digital interfaces, make for phenom-enal performance.enal performance.
The PC44 delivers maximum interprocessor connectivity by imple-The PC44 delivers maximum interprocessor connectivity by imple-menting a fully-shared global-memory interface which allows up to three menting a fully-shared global-memory interface which allows up to three processors to share as much as 1 Mword of zero-wait-state SRAM as well as processors to share as much as 1 Mword of zero-wait-state SRAM as well as the entire peripheral complement of analog and digital interface hardware the entire peripheral complement of analog and digital interface hardware and the high-speed dual-port memory ISA bus interface.and the high-speed dual-port memory ISA bus interface.
On-board I/O hardware includes two channels of instrumentation-On-board I/O hardware includes two channels of instrumentation-grade 16-bit, 300-kHz A/D (muxed up to 16 inputs SE or 8 inputs differen-grade 16-bit, 300-kHz A/D (muxed up to 16 inputs SE or 8 inputs differen-tial, with programmable gain), four channels of instrumentation-grade 16-tial, with programmable gain), four channels of instrumentation-grade 16-bit, 256-kHz D/A, 32-bits digital I/O, and five counter timers. The PC44 is bit, 256-kHz D/A, 32-bits digital I/O, and five counter timers. The PC44 is also compatible with all of II’s 4XBUS peripherals for further I/O expansion.also compatible with all of II’s 4XBUS peripherals for further I/O expansion.
3-188
Innovative Integration Inc.
Development Hardware/Plug-In ISA Board
Product Name: PC50 ISA Bus Plug-In SupercontrollerPlatforms Supported: PCDevices Supported: TMS320C5x
Features and Benefits
•• TMS320C5x 16-bit fixed-point DSPTMS320C5x 16-bit fixed-point DSP•• Two 16-bit, 200-kHz A/D (muxed 8:1 diff or 16:1 SE), two 16-bit, 200-Two 16-bit, 200-kHz A/D (muxed 8:1 diff or 16:1 SE), two 16-bit, 200-
kHz D/AkHz D/A•• 2 k 2 k × 16 dual-port-to-ISA bus, 5XBUS interface 16 dual-port-to-ISA bus, 5XBUS interface•• 32-bits high-speed, high-drive digital I/O32-bits high-speed, high-drive digital I/O•• Three 16-bit counter/timers, one 32-bit counter/timer, two serial portsThree 16-bit counter/timers, one 32-bit counter/timer, two serial ports
Product Description
The PC50 is a high-performance, PC plug-in coprocessor featuring the ’C5x The PC50 is a high-performance, PC plug-in coprocessor featuring the ’C5x DSP coupled with a 16-bit analog section and digital I/O. The PC50 is ideal DSP coupled with a 16-bit analog section and digital I/O. The PC50 is ideal for signal-processing applications, real-time servo control, audio-signal pro-for signal-processing applications, real-time servo control, audio-signal pro-cessing, and other data-acquisition tasks as well as ’C5x application devel-cessing, and other data-acquisition tasks as well as ’C5x application devel-opment.opment.
The PC50 includes two channels of 16-bit, 200-kHz A/D (muxable up to The PC50 includes two channels of 16-bit, 200-kHz A/D (muxable up to 16 SE and 8 differential) and two channels of 16-bit, 200-kHz D/A. 32 bits 16 SE and 8 differential) and two channels of 16-bit, 200-kHz D/A. 32 bits of digital I/O and four counter timers round out the real-world interface of digital I/O and four counter timers round out the real-world interface hardware. ISA bus interfacing is via a 2 k hardware. ISA bus interfacing is via a 2 k × 16 dual-port and I/O-mapped 16 dual-port and I/O-mapped ’C5x bus interface allowing direct access to the ’C5x memory map.’C5x bus interface allowing direct access to the ’C5x memory map.
PC50 software development is supported in Assembler, C, and Forth. PC50 software development is supported in Assembler, C, and Forth. Complete development packages are available including target DSP and Complete development packages are available including target DSP and host-PC sample code and libraries. Application development is also sup-host-PC sample code and libraries. Application development is also sup-ported by easy-to-use Windows packages including Hypersignal Windows.ported by easy-to-use Windows packages including Hypersignal Windows.
3-189
Innovative Integration Inc.
Development Hardware/Plug-In PCI Board
Product Name: PCI32 PCI Bus Plug-In SupercontrollerPlatforms Supported: PCDevices Supported: TMS320C32
Features and Benefits
•• TMS320C32 DSP with hardware floating-point, 32 k to 1 M TMS320C32 DSP with hardware floating-point, 32 k to 1 M × 32 on- 32 on-board SRAMboard SRAM
•• PCI bus interface (132-Mbps burst, 2 k PCI bus interface (132-Mbps burst, 2 k × 32 dual-port interface) 32 dual-port interface)•• Four 16-bit, 100-kHz A/D, four 16-bit, 100-kHz D/AFour 16-bit, 100-kHz A/D, four 16-bit, 100-kHz D/A•• Two 32-bit timer/counters, 16 bits high-drive digital I/O, serial portTwo 32-bit timer/counters, 16 bits high-drive digital I/O, serial port•• Low costLow cost
Product Description
The PCI32 combines the low-cost Texas Instruments TMS320C32 32-bit The PCI32 combines the low-cost Texas Instruments TMS320C32 32-bit floating-point DSP with the high-speed PCI bus and instrumentation-grade floating-point DSP with the high-speed PCI bus and instrumentation-grade analog I/O to create a high-performance, high-value DSP coprocessor card analog I/O to create a high-performance, high-value DSP coprocessor card capable of the most demanding signal-processing, data-acquisition, and capable of the most demanding signal-processing, data-acquisition, and control systems tasks.control systems tasks.
Features include four each 16-bit, 100-ksample/sec instrumentation-Features include four each 16-bit, 100-ksample/sec instrumentation-grade A/D and D/A converters and 16 bits of high-drive digital I/O. The PC32grade A/D and D/A converters and 16 bits of high-drive digital I/O. The PC32 is compatible with the full range of 3XBUS cards for I/O expansion includ-is compatible with the full range of 3XBUS cards for I/O expansion includ-ing analog I/O, digital-camera interfacing, prototyping, and SCSI devices.ing analog I/O, digital-camera interfacing, prototyping, and SCSI devices.
’C32 on-chip peripherals include two 32-bit counter/timers, two flexi-’C32 on-chip peripherals include two 32-bit counter/timers, two flexi-ble-DMA controllers, 15 prioritized interrupts, and much more. SRAM ble-DMA controllers, 15 prioritized interrupts, and much more. SRAM memory on the PCI32 may be expanded up to 1 M memory on the PCI32 may be expanded up to 1 M × 32. 32.
A 2 kA 2 k × 32 dual-port memory between the ’C32 and the PCI host 32 dual-port memory between the ’C32 and the PCI host achieves burst transfers up to 132 achieves burst transfers up to 132 Mbps, alleviating the host-bus Mbps, alleviating the host-bus bottleneck. Full plug-and-play bottleneck. Full plug-and-play compatibility is implemented for compatibility is implemented for easy system configuration.easy system configuration.
3-190
Innovative Integration Inc.
Development Hardware/Plug-In PCI Board
Product Name: PCI44 PCI Bus Plug-In SupercontrollerPlatforms Supported: PCDevices Supported: TMS320C44
Features and Benefits
•• TMS320C4x-compatible carrier card, three TIM-40-compliant sitesTMS320C4x-compatible carrier card, three TIM-40-compliant sites•• 132-Mbps burst PCI bus interface, 4 k 132-Mbps burst PCI bus interface, 4 k × 32 dual-port interface 32 dual-port interface•• Two IndustryPak sites global memory mappedTwo IndustryPak sites global memory mapped•• TIM44 and COM44 processor module-compatible, 4XBUS compatibleTIM44 and COM44 processor module-compatible, 4XBUS compatible•• Fully arbitrated shared global memory support, up to 1 M Fully arbitrated shared global memory support, up to 1 M × 32 global 32 global
SRAM on-boardSRAM on-board
Product Description
The PCI44 is an expandable DSP platform capable of up to 600 MOPS/The PCI44 is an expandable DSP platform capable of up to 600 MOPS/150 MFLOPS serviced by a high-performance PCI interface to the host plat-150 MFLOPS serviced by a high-performance PCI interface to the host plat-form. Up to three industry-standard TIM40 Modules may be used on the form. Up to three industry-standard TIM40 Modules may be used on the PCI44 along with two IndustryPak I/O modules to provide a highly-config-PCI44 along with two IndustryPak I/O modules to provide a highly-config-urable system suitable for a wide range of signal-processing and data-analy-urable system suitable for a wide range of signal-processing and data-analy-sis tasks.sis tasks.
The PCI44 provides the most connectable system architecture in the The PCI44 provides the most connectable system architecture in the industry. Full shared-memory support for all processors allows zero-wait-industry. Full shared-memory support for all processors allows zero-wait-state accesses to global memory. Comm port connections between proces-state accesses to global memory. Comm port connections between proces-sors provide full-speed, bi-directional, dedicated data paths between pro-sors provide full-speed, bi-directional, dedicated data paths between pro-cessors.cessors.
Dual IndustryPak modules provide real-world connectivity to your Dual IndustryPak modules provide real-world connectivity to your application. A wide range of I/O modules conforming to the IndustryPak application. A wide range of I/O modules conforming to the IndustryPak standard are available from multiple vendors. Additionally, the PCI44 has standard are available from multiple vendors. Additionally, the PCI44 has the high-performance 4XBUS for global peripheral and memory expansion the high-performance 4XBUS for global peripheral and memory expansion with SCSI4X and EDRAM4X cards.with SCSI4X and EDRAM4X cards.
PCI bus connectivity allows burst transfers at up to 132 Mbps to/from PCI bus connectivity allows burst transfers at up to 132 Mbps to/from the host. Full plug-and-play compatibility is implemented for easy system the host. Full plug-and-play compatibility is implemented for easy system configuration.configuration.
3-191
Innovative Integration Inc.
Development Hardware/Standalone Board
Product Name: SBC31 Standalone Embeddable SupercontrollerPlatforms Supported: PCDevices Supported: TMS320C31
Features and Benefits
•• TMS320C31 floating-point DSPTMS320C31 floating-point DSP•• 32 k to 512 k 32 k to 512 k × 32 zero-wait SRAM, 128 k or 512 k 32 zero-wait SRAM, 128 k or 512 k × 8 Flash EEPROM 8 Flash EEPROM•• Two 16-bit, 200-kHz A/D (muxed 8:1 diff or 16:1 SE, program gain), Two 16-bit, 200-kHz A/D (muxed 8:1 diff or 16:1 SE, program gain),
four 16-bit, 200-kHz D/Afour 16-bit, 200-kHz D/A•• 48-bits digital I/O, three 16-bit counter/timers, two 32-bit counter/48-bits digital I/O, three 16-bit counter/timers, two 32-bit counter/
timerstimers•• Two 2-Mbaud RS-232/RS-422 serial ports, real-time clockTwo 2-Mbaud RS-232/RS-422 serial ports, real-time clock
Product Description
The SBC31 is a high-performance, low-cost standalone processor card fea-The SBC31 is a high-performance, low-cost standalone processor card fea-turing the Texas Instruments TMS320C31 DSP processor. The SBC31 is turing the Texas Instruments TMS320C31 DSP processor. The SBC31 is ideally suited to cost-sensitive, processor-intensive signal processing, real-ideally suited to cost-sensitive, processor-intensive signal processing, real-time control, and data-acquisition applications. The card’s embeddable time control, and data-acquisition applications. The card’s embeddable design allows it to be built in to completely self-contained systems.design allows it to be built in to completely self-contained systems.
Two channels of 16-bit, 200-kHz A/D (muxed 16:1 SE or 8:1 diff, with Two channels of 16-bit, 200-kHz A/D (muxed 16:1 SE or 8:1 diff, with programmable gain), four channels of 16-bit, 200-kHz D/A, 48 bits of digital programmable gain), four channels of 16-bit, 200-kHz D/A, 48 bits of digital I/O, two serial ports, real-time clock, and five timers provide all the hard-I/O, two serial ports, real-time clock, and five timers provide all the hard-ware you need to do real-world interfacing, all on one card. In addition, the ware you need to do real-world interfacing, all on one card. In addition, the SBC31 is compatible with all of II’s 3XBUS peripheral cards, expanding the SBC31 is compatible with all of II’s 3XBUS peripheral cards, expanding the horizons of external connectivity to include additional analog and digital horizons of external connectivity to include additional analog and digital I/O, SCSI, and digital-camera interfaces.I/O, SCSI, and digital-camera interfaces.
Applications development for the SBC31 is performed on a host PC-Applications development for the SBC31 is performed on a host PC-compatible system, communicating with the SBC31 via an RS-232 serial compatible system, communicating with the SBC31 via an RS-232 serial port. Once the desired application is debugged, it can be programmed into port. Once the desired application is debugged, it can be programmed into the on-board Flash ROM for embedding.the on-board Flash ROM for embedding.
3-192
Innovative Integration Inc.
Development Hardware/Standalone Board
Product Name: SBC32 Standalone Embeddable SupercontrollerPlatforms Supported: PCDevices Supported: TMS320C32
Features and Benefits
•• TMS320C32 processor with hardware floating-point supportTMS320C32 processor with hardware floating-point support•• Self-contained and fully embeddable, low powerSelf-contained and fully embeddable, low power•• 32 k to 768 k 32 k to 768 k × 32 SRAM, 128 k or 512 k 32 SRAM, 128 k or 512 k × 8 Flash EEPROM 8 Flash EEPROM•• Four 16-bit, 100-kHz A/Ds, four 16-bit, 100-kHz D/AsFour 16-bit, 100-kHz A/Ds, four 16-bit, 100-kHz D/As•• 16-bits digital I/O, two RS-232 serial ports, 10-Mbaud sync serial port16-bits digital I/O, two RS-232 serial ports, 10-Mbaud sync serial port
Product Description
The SBC32 is a high-performance, low-cost standalone processor card fea-The SBC32 is a high-performance, low-cost standalone processor card fea-turing the Texas Instruments TMS320C32 DSP processor. The SBC32 is turing the Texas Instruments TMS320C32 DSP processor. The SBC32 is ideally suited to cost-sensitive, processor-intensive signal processing, real-ideally suited to cost-sensitive, processor-intensive signal processing, real-time control, and data-acquisition applications. The card’s embeddable time control, and data-acquisition applications. The card’s embeddable design allows it to be built in to completely self-contained systems.design allows it to be built in to completely self-contained systems.
Four channels of 16-bit, instrumentation-grade analog I/O, 16 bits of Four channels of 16-bit, instrumentation-grade analog I/O, 16 bits of digital I/O, three serial ports, battery-backed SRAM, and two timers provide digital I/O, three serial ports, battery-backed SRAM, and two timers provide all the hardware you need to do real-world interfacing, all on one card. In all the hardware you need to do real-world interfacing, all on one card. In addition, the SBC32 is compatible with all of II’s 3XBUS peripheral cards, addition, the SBC32 is compatible with all of II’s 3XBUS peripheral cards, expanding the horizons of external connectivity to include additional ana-expanding the horizons of external connectivity to include additional ana-log and digital I/O, SCSI, and digital-camera interfaces.log and digital I/O, SCSI, and digital-camera interfaces.
Applications development for the SBC32 is performed on a host PC-Applications development for the SBC32 is performed on a host PC-compatible system, communicating with the SBC32 via an RS-232 serial compatible system, communicating with the SBC32 via an RS-232 serial port. Once the desired application is debugged, it can be programmed into port. Once the desired application is debugged, it can be programmed into the on-board Flash ROM for embedding.the on-board Flash ROM for embedding.
3-193
Innovative Integration Inc.
Development Hardware/TIM Module
Product Name: COM44 TIM40-Compatible �C44 Processor ModulePlatforms Supported: PCDevices Supported: TMS320C44
Features and Benefits
•• TMS320C44 processor, 128 k or 512 k TMS320C44 processor, 128 k or 512 k × 32 zero-wait-state SRAM 32 zero-wait-state SRAM•• TIM40-standard-compatible form factorTIM40-standard-compatible form factor•• Unique high-speed long-distance comm ports Unique high-speed long-distance comm ports •• Fully compatible with PC44 and PCI44Fully compatible with PC44 and PCI44•• Directly accesses PC44 and PCI44 global memory and peripheralsDirectly accesses PC44 and PCI44 global memory and peripherals
Product Description
The COM44 is a TIM40-compliant module for the PC44 and PCI44 DSP The COM44 is a TIM40-compliant module for the PC44 and PCI44 DSP cards. The COM44 features a single TMS320C44 DSP processor and up to cards. The COM44 features a single TMS320C44 DSP processor and up to 512 kwords of zero-wait-state SRAM. In addition, the card features a 512 kwords of zero-wait-state SRAM. In addition, the card features a unique high-speed long-distance comm-port transceiver capability, which unique high-speed long-distance comm-port transceiver capability, which allows full-speed comm-port communications with cable lengths up to 300 allows full-speed comm-port communications with cable lengths up to 300 feet using standard twisted-pair cable.feet using standard twisted-pair cable.
The 32-bit TMS320C44 DSP processor meets all of the requirements The 32-bit TMS320C44 DSP processor meets all of the requirements for high-speed, real-time applications. Two of the ’C44’s communications for high-speed, real-time applications. Two of the ’C44’s communications ports are pinned out to the industry-standard TIM40 connectors, while two ports are pinned out to the industry-standard TIM40 connectors, while two are used to implement a single full-duplex long-distance communications are used to implement a single full-duplex long-distance communications link to a second COM44 card. This provides the COM44 with full-speed link to a second COM44 card. This provides the COM44 with full-speed links to other processors on the same TIM40 carrier board, plus an addi-links to other processors on the same TIM40 carrier board, plus an addi-tional link to another processor at a remote location, enabling high-speed tional link to another processor at a remote location, enabling high-speed processor networks over virtually unlimited communications distances.processor networks over virtually unlimited communications distances.
3-194
Innovative Integration Inc.
Development Hardware/TIM Module
Product Name: TIM44 High-Performance TIM40 Processor ModulePlatforms Supported: PCDevices Supported: TMS320C44
Features and Benefits
•• TMS320C44 processor, 128 k to 1 M TMS320C44 processor, 128 k to 1 M × 32 zero-wait-state SRAM 32 zero-wait-state SRAM•• TIM40-standard-compatible form factorTIM40-standard-compatible form factor•• Fully compatible with PC44 and PCI44Fully compatible with PC44 and PCI44•• Directly accesses PC44 and PCI44 global memory and peripheralsDirectly accesses PC44 and PCI44 global memory and peripherals•• 128 k or 512 k 128 k or 512 k × 8 Flash EEPROM 8 Flash EEPROM
Product Description
The TIM44 is a very-low cost, high-performance TIM40-compatible plug-in The TIM44 is a very-low cost, high-performance TIM40-compatible plug-in processor module for use with PC44 and PCI44 processor cards. The TIM44 processor module for use with PC44 and PCI44 processor cards. The TIM44 features the TMS320C44 DSP processor and up to 1024 k features the TMS320C44 DSP processor and up to 1024 k × 32 of local zero- 32 of local zero-wait-state SRAM, making the TIM44 ideally suited to very-demanding sig-wait-state SRAM, making the TIM44 ideally suited to very-demanding sig-nal-processing and control algorithms.nal-processing and control algorithms.
The TIM44, in concert with the II’s own PC44 or PCI44 TIM40 proces-The TIM44, in concert with the II’s own PC44 or PCI44 TIM40 proces-sor cards, makes for the best connected multiprocessor ’C44-based system sor cards, makes for the best connected multiprocessor ’C44-based system available. In addition to each processor’s four 20-Mbps communications available. In addition to each processor’s four 20-Mbps communications ports, the PC44/PCI44/TIM44 combination also implements fully-shared ports, the PC44/PCI44/TIM44 combination also implements fully-shared global memory and peripherals, for huge data-transfer bandwidth to/from global memory and peripherals, for huge data-transfer bandwidth to/from shared memory and the ultimate in peripheral-control flexibility. Each pro-shared memory and the ultimate in peripheral-control flexibility. Each pro-cessor in a PC44-/PCI44-based system can use global memory for data com-cessor in a PC44-/PCI44-based system can use global memory for data com-munications and can also control the complete complement of shared munications and can also control the complete complement of shared peripherals. Each TIM44 can also access and control 4XBUS peripherals peripherals. Each TIM44 can also access and control 4XBUS peripherals such as the SCSI4X and EDRAM4X expansion cards.such as the SCSI4X and EDRAM4X expansion cards.
3-195
Innovative Integration Inc.
Development Hardware/Plug-In ISA Board
Product Name: GRABBER31 High-Speed Dual-Channel Analog Capture CardPlatforms Supported: PCDevices Supported: TMS320C31
Features and Benefits
•• Dual, 10-Msample/sec 12-bit A/D converters each with 4:1 muxDual, 10-Msample/sec 12-bit A/D converters each with 4:1 mux•• Flexible triggering from threshold, timer, DSP software-driven or Flexible triggering from threshold, timer, DSP software-driven or
external sourcesexternal sources•• Supports special capture modes for up to 20-Msample/sec input rateSupports special capture modes for up to 20-Msample/sec input rate•• On-board FIFOs for data buffering, 16-MByte data capture memoryOn-board FIFOs for data buffering, 16-MByte data capture memory•• Daughtercard to PC31—doesn’t consume another PC slotDaughtercard to PC31—doesn’t consume another PC slot
Product Description
The GRABBER31 is an ultra-high-speed analog-input module for the PC31 The GRABBER31 is an ultra-high-speed analog-input module for the PC31 Supercontroller card which supports DMA-driven acquisition of transient Supercontroller card which supports DMA-driven acquisition of transient or continuous analog signals at rates up to 10 Msamples/sec/channel. The or continuous analog signals at rates up to 10 Msamples/sec/channel. The GRABBER31’s unique hardware design allows acquisition on two channels GRABBER31’s unique hardware design allows acquisition on two channels simultaneously with zero-phase error. The card acquires directly into simultaneously with zero-phase error. The card acquires directly into 2-MByte SRAM or 16-MByte DRAM memory on the PC31.2-MByte SRAM or 16-MByte DRAM memory on the PC31.
Flexible clock triggering circuitry supports acquisition of virtually any Flexible clock triggering circuitry supports acquisition of virtually any periodic or asynchronous event, including pre-triggering. A special thresh-periodic or asynchronous event, including pre-triggering. A special thresh-old comparator allows custom analog threshold triggering. Samples can old comparator allows custom analog threshold triggering. Samples can also be triggered from a PC31 timer, external source, or CPU/DMA also be triggered from a PC31 timer, external source, or CPU/DMA accesses. FIFO circuitry buffers the acquired samples from the A/Ds to accesses. FIFO circuitry buffers the acquired samples from the A/Ds to avoid dropped samples and glitches. The A/Ds can also be clocked out-of avoid dropped samples and glitches. The A/Ds can also be clocked out-of phase with each other to effectively double the single-channel sample rate phase with each other to effectively double the single-channel sample rate to 20 MHz.to 20 MHz.
3-196
Innovative Integration Inc.
Development Hardware/Plug-In ISA Board
Product Name: MEM31 DRAM Memory Expansion CardPlatforms Supported: PCDevices Supported: TMS320C31
Features and Benefits
•• Supports up to 16 MBbytes of DRAM mapped into the PC31 memory Supports up to 16 MBbytes of DRAM mapped into the PC31 memory spacespace
•• Daughtercard to PC31—doesn’t consume an additional PC slotDaughtercard to PC31—doesn’t consume an additional PC slot•• On-board controller provides independent-refresh controlOn-board controller provides independent-refresh control•• Uses standard DRAM modulesUses standard DRAM modules
Product Description
The MEM31 is a memory-expansion card for the PC31 supporting up to The MEM31 is a memory-expansion card for the PC31 supporting up to 4 M 4 M × 32 of directly-addressable DRAM memory. This additional memory 32 of directly-addressable DRAM memory. This additional memory may be used for storage of huge data arrays in signal-processing, data-gath-may be used for storage of huge data arrays in signal-processing, data-gath-ering, and number-crunching applications, to hold digitized-video images ering, and number-crunching applications, to hold digitized-video images or audio segments, or to provide ample code space for huge applications or audio segments, or to provide ample code space for huge applications programs.programs.
MEM31 uses commonly-available 60-ns dynamic RAM SIMM modules MEM31 uses commonly-available 60-ns dynamic RAM SIMM modules configured as 32-bit-wide memory and mapped directly into the PC31’s lin-configured as 32-bit-wide memory and mapped directly into the PC31’s lin-ear address space. Accesses to memory in this region operate with two-wait ear address space. Accesses to memory in this region operate with two-wait states, providing high performance with low cost. The MEM31 attaches states, providing high performance with low cost. The MEM31 attaches directly to the PC31 and does not consume an additional PC slot.directly to the PC31 and does not consume an additional PC slot.
3-197
Innovative Integration Inc.
Development Hardware/Plug-In PCI Board/Standalone
Product Name: CAM3X 3XBUS-Compatible Digital Camera InterfacePlatforms Supported: PC, StandaloneDevices Supported: TMS320C31, TMS320C32
Features and Benefits
•• Direct digital-camera interface to 3XBUS-compatible cardsDirect digital-camera interface to 3XBUS-compatible cards•• On-board TMS320C32 for image capture, up to 1 M On-board TMS320C32 for image capture, up to 1 M × 32 SRAM proces- 32 SRAM proces-
sor/image memorysor/image memory•• Shared-memory interface allows fast data transfers to other ’C3xs on Shared-memory interface allows fast data transfers to other ’C3xs on
the 3XBUSthe 3XBUS•• RS-422 camera interface to standard line-scan and CCD-array digital RS-422 camera interface to standard line-scan and CCD-array digital
camerascameras
Product Description
The CAM3X directly interfaces to a large variety of parallel digital line-scan The CAM3X directly interfaces to a large variety of parallel digital line-scan and CCD cameras allowing on-line image acquisition and analysis. Digital and CCD cameras allowing on-line image acquisition and analysis. Digital data is directly captured into on-board FIFO memories at up to 40 MHz. data is directly captured into on-board FIFO memories at up to 40 MHz. The on-board TMS320C32 DSP collects the digital data from on-board The on-board TMS320C32 DSP collects the digital data from on-board FIFOs into DSP memory and may further process the image data. Up to FIFOs into DSP memory and may further process the image data. Up to 512 k 512 k × 32 zero-wait-state DSP memory and 512 k 32 zero-wait-state DSP memory and 512 k × 32 of one-wait-state 32 of one-wait-state SRAM allow multiple video images to be acquired and processed. The host SRAM allow multiple video images to be acquired and processed. The host processor has complete access to all CAM3X memory and may directly processor has complete access to all CAM3X memory and may directly access and manipulate the data to form a dual-processor system.access and manipulate the data to form a dual-processor system.
The camera interface supports standard RS-422 data inputs compatible The camera interface supports standard RS-422 data inputs compatible with virtually every digital camera. A variety of data-clocking mechanisms with virtually every digital camera. A variety of data-clocking mechanisms makes the CAM3X flexible enough for all types parallel digital-data sources. makes the CAM3X flexible enough for all types parallel digital-data sources. Also, 16 bits of flexible I/O may be used for control functions such as cam-Also, 16 bits of flexible I/O may be used for control functions such as cam-era reset and configuration functions.era reset and configuration functions.
3-198
Innovative Integration Inc.
Development Hardware/Plug-In PCI Board/Standalone
Product Name: SCSI3X 3XBUS SCSI-2 Adapter CardPlatforms Supported: PC, StandaloneDevices Supported: TMS320C31, TMS320C32
Features and Benefits
•• SCSI-2-compliant interfaceSCSI-2-compliant interface•• Provides high-speed data interface (up to 10 Mbps) to peripheralsProvides high-speed data interface (up to 10 Mbps) to peripherals•• Interfaces with hard drives, tape drives for mass storageInterfaces with hard drives, tape drives for mass storage•• Compact 100-mm Compact 100-mm × 160-mm card size 160-mm card size•• Software drivers for hard drives and Exabyte tape drivesSoftware drivers for hard drives and Exabyte tape drives
Product Description
SCSI3X is an add-on card for II’s 3XBUS which provides a high-speed inter-SCSI3X is an add-on card for II’s 3XBUS which provides a high-speed inter-face to SCSI peripherals. SCSI3X enables direct control of hard drives, tape face to SCSI peripherals. SCSI3X enables direct control of hard drives, tape drives, and other SCSI peripherals. Ideal for embedded data-collector appli-drives, and other SCSI peripherals. Ideal for embedded data-collector appli-cations.cations.
The SCSI3X may be coupled with a SCSI target device and 3XBUS-The SCSI3X may be coupled with a SCSI target device and 3XBUS-compatible DSP board (including all of II’s ’C31 and ’C32 cards) to create a compatible DSP board (including all of II’s ’C31 and ’C32 cards) to create a system which is ideal for use in high-performance data-acquisition tasks system which is ideal for use in high-performance data-acquisition tasks such as standalone data logging, remote instrumentation, and CD-grade such as standalone data logging, remote instrumentation, and CD-grade music and voice-processing applications.music and voice-processing applications.
A sample data logger application is included with the SCSI3X showing A sample data logger application is included with the SCSI3X showing dual-channel, 16-bit, 100-kHz data logging and playback directly to/from an dual-channel, 16-bit, 100-kHz data logging and playback directly to/from an Exabyte 8505 tape drive.Exabyte 8505 tape drive.
3-199
Innovative Integration Inc.
Development Hardware/Plug-In PCI Board/Standalone
Product Name: LINK4X 4XBUS-Compatible DSP~LINK InterfacePlatforms Supported: PCDevices Supported: TMS320C44
Features and Benefits
•• 16-bit DSP~LINK-compatible16-bit DSP~LINK-compatible•• Two interruptsTwo interrupts•• Global memory-mapped peripheralGlobal memory-mapped peripheral•• 4XBUS daughtercard 4XBUS daughtercard
Product Description
LINK4X is an interface for the PC44/PCI44 to DSP~LINK-compatible LINK4X is an interface for the PC44/PCI44 to DSP~LINK-compatible expansion cards. Available cards include analog- and digital-I/O cards suit-expansion cards. Available cards include analog- and digital-I/O cards suit-able for real-time DSP processing. Existing designs which rely on able for real-time DSP processing. Existing designs which rely on DSP~LINK cards can easily upgrade to the PC44/PCI44 for more powerful DSP~LINK cards can easily upgrade to the PC44/PCI44 for more powerful processing.processing.
The LINK4X provides a direct, bi-directional,16-bit bus capable of The LINK4X provides a direct, bi-directional,16-bit bus capable of 4-Mword/sec transfers dedicated to the DSP. Since the DSP~LINK bus is 4-Mword/sec transfers dedicated to the DSP. Since the DSP~LINK bus is dedicated to DSP use, time-critical real-time processing can rely on unim-dedicated to DSP use, time-critical real-time processing can rely on unim-peded data transfers to dedicated peripherals unlike devices mapped to the peded data transfers to dedicated peripherals unlike devices mapped to the ISA or PCI-host bus. The LINK4X is a 4XBUS daughtercard to the PC44/ISA or PCI-host bus. The LINK4X is a 4XBUS daughtercard to the PC44/PCI44 complete with high-drive cable buffers for reliable data transmission.PCI44 complete with high-drive cable buffers for reliable data transmission.
LINK4X is mapped in the global-memory space of the PC44/PCI44 and LINK4X is mapped in the global-memory space of the PC44/PCI44 and may be used by any or all of the on-board processors. Full-interrupt sup-may be used by any or all of the on-board processors. Full-interrupt sup-port is provided which is mappable to any on-board processor. Address and port is provided which is mappable to any on-board processor. Address and interrupt usage is also configurable.interrupt usage is also configurable.
3-200
Innovative Integration Inc.
Development Hardware/Plug-In PCI, ISA Board
Product Name: EDRAM4X 4XBUS-Compatible RAM ExpansionPlatforms Supported: PCDevices Supported: TMS320C44
Features and Benefits
•• Up to 16-MBytes EDRAM memory expansionUp to 16-MBytes EDRAM memory expansion•• Zero-wait-state accesses to cache memory, two-wait state on cache Zero-wait-state accesses to cache memory, two-wait state on cache
missesmisses•• 4XBUS-compatible daughtercard4XBUS-compatible daughtercard•• Full EDRAM-cycle control logicFull EDRAM-cycle control logic
Product Description
The EDRAM4X is a memory-expansion card for all 4XBUS-compatible The EDRAM4X is a memory-expansion card for all 4XBUS-compatible cards. Up to 16 MBytes of high-speed EDRAM provides a huge global-mem-cards. Up to 16 MBytes of high-speed EDRAM provides a huge global-mem-ory expansion for both the PC44 and PCI44. The EDRAM memory is fully ory expansion for both the PC44 and PCI44. The EDRAM memory is fully arbitrated into global memory and accessible by all processors.arbitrated into global memory and accessible by all processors.
EDRAM is a special type of memory consisting of on-chip SRAM cache EDRAM is a special type of memory consisting of on-chip SRAM cache coupled with a large on-chip DRAM memory bank. Accesses to cache mem-coupled with a large on-chip DRAM memory bank. Accesses to cache mem-ory are zero-wait state and non-cache accesses are two-wait state. Typical ory are zero-wait state and non-cache accesses are two-wait state. Typical algorithms will experience only about a 10% loss of performance over true algorithms will experience only about a 10% loss of performance over true zero-wait-state static RAM. EDRAM has clear advantage over SRAM zero-wait-state static RAM. EDRAM has clear advantage over SRAM because it is about 10 times less expensive for the equivalent memory size. because it is about 10 times less expensive for the equivalent memory size. EDRAM may be ordered in 4-MByte increments to suit your application.EDRAM may be ordered in 4-MByte increments to suit your application.
3-201
Innovative Integration Inc.
Development Hardware/Plug-In PCI, ISA Board
Product Name: SCSI4X High-Performance 4XBUS-Compatible SCSI-2 AdapterPlatforms Supported: PCDevices Supported: TMS320C44
Features and Benefits
•• SCSI-2 (fast, narrow) controller, up to 10-Mbps transfer rateSCSI-2 (fast, narrow) controller, up to 10-Mbps transfer rate•• Directly mapped to zero-wait-state ’C44 processor global memory Directly mapped to zero-wait-state ’C44 processor global memory
space for fast accessspace for fast access•• Software drivers and sample apps for record and playback to/from Software drivers and sample apps for record and playback to/from
SCSI-2 hard drivesSCSI-2 hard drives•• Fully compatible with PC44 and PCI44Fully compatible with PC44 and PCI44
Product Description
The SCSI4X allows Innovative Integration’s TMS320C44-based DSP copro-The SCSI4X allows Innovative Integration’s TMS320C44-based DSP copro-cessor cards to directly access SCSI-2 bus-compatible peripheral devices to cessor cards to directly access SCSI-2 bus-compatible peripheral devices to allow full SCSI-bandwidth data storage and recovery directly to/from pro-allow full SCSI-bandwidth data storage and recovery directly to/from pro-cessor memory. This gives the DSP processor the ability to directly log data cessor memory. This gives the DSP processor the ability to directly log data to a SCSI-2 device (such as a hard-disk drive, streaming-tape drive, or opti-to a SCSI-2 device (such as a hard-disk drive, streaming-tape drive, or opti-cal-storage device) at much higher rates than could be achieved by using cal-storage device) at much higher rates than could be achieved by using ISA or PCI bus controllers driven by the host, since the processor can com-ISA or PCI bus controllers driven by the host, since the processor can com-pletely avoid the twin bottlenecks of the ISA/PCI bus and its attendant host pletely avoid the twin bottlenecks of the ISA/PCI bus and its attendant host operating software.operating software.
A complete high-level software driver is included with the SCSI4X A complete high-level software driver is included with the SCSI4X along with sample applications showing how to interact with SCSI devices along with sample applications showing how to interact with SCSI devices and use them in an example data-logging application. The software is com-and use them in an example data-logging application. The software is com-patible with Innovative Integration’s software development systems for the patible with Innovative Integration’s software development systems for the PC44 and PCI44 DSP coprocessor cards.PC44 and PCI44 DSP coprocessor cards.
3-202
Innovative Integration Inc.
Development Hardware/Emulator
Product Name: DEBUG3X/4X/5X JTAG/MPSD Scan-Path DebuggersPlatforms Supported: PCDevices Supported: TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Compatible with all TMS320C3x, ’C4x, and ’C5x DSPsCompatible with all TMS320C3x, ’C4x, and ’C5x DSPs•• Supports C, C++, and assembly source-level debuggingSupports C, C++, and assembly source-level debugging•• Windowed, point-and-click environmentWindowed, point-and-click environment•• Multi-processor support and remote-target debuggingMulti-processor support and remote-target debugging•• No easier or lower-cost way to debug custom target hardwareNo easier or lower-cost way to debug custom target hardware
Product Description
The DEBUG3X, 4X, and 5X emulators support the TMS320C3x, ’C4x, and The DEBUG3X, 4X, and 5X emulators support the TMS320C3x, ’C4x, and ’C5x DSPs by providing IEEE 1149.1 (JTAG) and MPSD test bus-based ’C5x DSPs by providing IEEE 1149.1 (JTAG) and MPSD test bus-based debugging support. The DEBUG products are invaluable in code develop-debugging support. The DEBUG products are invaluable in code develop-ment and hardware debugging by allowing in-depth, register-level probing ment and hardware debugging by allowing in-depth, register-level probing of the DSP in the target circuit.of the DSP in the target circuit.
The DEBUG hardware/software combination allows direct register-The DEBUG hardware/software combination allows direct register-level access to the processor under test. A single half-size AT card and level access to the processor under test. A single half-size AT card and umbilical ribbon cable provide the host debugger connection to either umbilical ribbon cable provide the host debugger connection to either JTAG (’C4x and ’C5x) or MPSD (’C3x) test buses on in-chassis AT cards or JTAG (’C4x and ’C5x) or MPSD (’C3x) test buses on in-chassis AT cards or to remote single-board or custom targets. The DOS-, Windows-, and to remote single-board or custom targets. The DOS-, Windows-, and OS/2-compatible software provides source-level debugging capabilities with OS/2-compatible software provides source-level debugging capabilities with single-stepping (by C or assembly statements), breakpoints, register, and single-stepping (by C or assembly statements), breakpoints, register, and memory dumps and fills, and many other features. The DEBUG4X and memory dumps and fills, and many other features. The DEBUG4X and DEBUG5X systems also come with parallel-processor debugging capabili-DEBUG5X systems also come with parallel-processor debugging capabili-ties (under OS/2) for debugging the largest of parallel systems.ties (under OS/2) for debugging the largest of parallel systems.
3-203
INRIA, Rocquencourt78153 Le Chesnay Cedex
France
33-1-39-63-52-60
Fax: 33-1-39-63-57-86
e-mail: [email protected]
www: http://www-rocq.inria.fr/syndex
Company BackgroundINRIA is a French research institute in computer science and control. The activities of INRIA is a French research institute in computer science and control. The activities of INRIA consist of basic research, realization of experimental systems, technology trans-INRIA consist of basic research, realization of experimental systems, technology trans-fers, training through research and knowledge transfer, development of scientific inter-fers, training through research and knowledge transfer, development of scientific inter-national exchanges, and implementation of scientific expertise.national exchanges, and implementation of scientific expertise.
System-Level CAD Software
Product Name: SynDExPlatforms Supported: PC, Sun
Devices Supported: TMS320C4x
Features and Benefits
•• System-level CAD softwareSystem-level CAD software•• Hardware minimizationHardware minimization•• Performance prediction, optimization, and evaluationPerformance prediction, optimization, and evaluation•• Automatic executives generationAutomatic executives generation
Product Description
The “Algorithm Architecture Adequation” (A3) methodology and the The “Algorithm Architecture Adequation” (A3) methodology and the SynDEx CAD software that supports it, have been developped at INRIA to SynDEx CAD software that supports it, have been developped at INRIA to reduce the design and implementation costs of complex embedded real-timereduce the design and implementation costs of complex embedded real-time applications in the field of control and signal and image processing. applications in the field of control and signal and image processing. Such Such applications, in order to achieve high-performance computing and/or sup-applications, in order to achieve high-performance computing and/or sup-port distributed sensors and actuators, lead to multi-DSP implementations.port distributed sensors and actuators, lead to multi-DSP implementations.
SynDEx is a graphical interactive CAD software for these applications, SynDEx is a graphical interactive CAD software for these applications, offering the following features:offering the following features:•• Specification of an application algorithm and of a distributed memory Specification of an application algorithm and of a distributed memory
multi-DSPmulti-DSP•• Heuristics with constraints for distributing and scheduling the algo-Heuristics with constraints for distributing and scheduling the algo-
rithm on the multi-DSP with response time optimization and visualiza-rithm on the multi-DSP with response time optimization and visualiza-tion of predicted real-time performances to aid multi-DSP sizingtion of predicted real-time performances to aid multi-DSP sizing
•• Generation of dead-lock free executives for real-time execution on Generation of dead-lock free executives for real-time execution on multi-TMS320C40 with optional real-time performance logging multi-TMS320C40 with optional real-time performance logging Since the executives are automatically generated with SynDEx, low-Since the executives are automatically generated with SynDEx, low-
level hand coding and debugging of multi-DSP real-time code are elimi-level hand coding and debugging of multi-DSP real-time code are elimi-nated, consequently the development cycle duration of real-time applica-nated, consequently the development cycle duration of real-time applica-tions is tremendously reduced.tions is tremendously reduced.
SynDEx is provided free of charge, under agreement, for companies SynDEx is provided free of charge, under agreement, for companies which are interested in evaluating the software.which are interested in evaluating the software.
3-204
Instrumental Systems Corporation23 Vorontsovskaja StreetMoscowRussia 109147+7 (095) 274-9118Fax: +7 (095) 274-5200e-mail: [email protected]
Company BackgroundInstrumental Systems Corporation was founded in 1989 and currently employs over 20 Instrumental Systems Corporation was founded in 1989 and currently employs over 20 professionals with master and doctoral degrees in electronics, DSP, and computer sci-professionals with master and doctoral degrees in electronics, DSP, and computer sci-ence. InSys is engaged in the development, manufacture, and marketing of innovative ence. InSys is engaged in the development, manufacture, and marketing of innovative DSP products. The company offers TI DSP boards based on the TMS320C25, ’C30, ’C40, DSP products. The company offers TI DSP boards based on the TMS320C25, ’C30, ’C40, ’C50, and ’C80 devices for PC/AT ISA and PCI bus applications. A comprehensive range ’C50, and ’C80 devices for PC/AT ISA and PCI bus applications. A comprehensive range of hardware products and software tools provide customers with highly-effective appli-of hardware products and software tools provide customers with highly-effective appli-cation development platforms.cation development platforms.
Development Hardware/Plug-In ISA BoardProduct CategoryVideoconferencing Software
Product Name: DSP25WPlatforms Supported: PC
Devices Supported: TMS320C2x
Features and Benefits
•• Two ’C25 100-ns 16-bit fixed-point DSP processorsTwo ’C25 100-ns 16-bit fixed-point DSP processors•• 32 32 × 16 K of 0-ws SRAM for data per processor 16 K of 0-ws SRAM for data per processor•• 32 32 × 16 K of 0-ws SRAM for programs per processor 16 K of 0-ws SRAM for programs per processor•• 32 32 × 16 K of 1-ws global SRAM for data 16 K of 1-ws global SRAM for data•• InSys~LINK and two serial interfacesInSys~LINK and two serial interfaces
Product Description
The DSP25W plugs into a single PC/AT slot and is compatible with AT/’386/The DSP25W plugs into a single PC/AT slot and is compatible with AT/’386/’486/Pentium systems, but supports also standalone operations. Board con-’486/Pentium systems, but supports also standalone operations. Board con-trol and status registers are mapped into the PC host’s I/O space. Interrupts trol and status registers are mapped into the PC host’s I/O space. Interrupts between the ’C25 and the host can be used for synchronizing.between the ’C25 and the host can be used for synchronizing.
The board has 64K The board has 64K × 16 words of 0-ws SRAM per processor available to 16 words of 0-ws SRAM per processor available to the ’C25 for data and code storage. The DSP25W board has also 32K the ’C25 for data and code storage. The DSP25W board has also 32K × 16 16 words of global 1-ws SRAM accessible by each DSP processor and by the words of global 1-ws SRAM accessible by each DSP processor and by the PC host.PC host.
The InSys~LINK interface provides a high-speed, 16-bit memory-The InSys~LINK interface provides a high-speed, 16-bit memory-mapped (256 locations) parallel expansion capability. It has an interrupt mapped (256 locations) parallel expansion capability. It has an interrupt connection to the ’C25 and allows easy expansion of the DSP25W board’s connection to the ’C25 and allows easy expansion of the DSP25W board’s I/O capacity using various analog I/O PC plug-in boards.I/O capacity using various analog I/O PC plug-in boards.
Development support for the DSP25W includes TI’s ANSI C compiler, Development support for the DSP25W includes TI’s ANSI C compiler, assembler, linker, and C source debugger. InSys also provides a low-cost assembler, linker, and C source debugger. InSys also provides a low-cost optimized Deasy runtime library driver and various general-purpose and optimized Deasy runtime library driver and various general-purpose and optimized DSP libraries.optimized DSP libraries.
3-205
Instrumental Systems Corporation
Development Hardware/Plug-In ISA BoardDevelopment hardware (Plug-in ISA board)
Product name: DSP30BPlatforms supported: PC
Devices supported: TMS320C3x
Features and Benefits
•• 40/50 MFLOPS peak performance40/50 MFLOPS peak performance•• Up to 4 MB 0-ws SRAM and/or 64 MB DRAMUp to 4 MB 0-ws SRAM and/or 64 MB DRAM•• Two buffered high-speed synchronous serial portsTwo buffered high-speed synchronous serial ports•• InSys~LINK parallel and DATA~Connect expansion interfacesInSys~LINK parallel and DATA~Connect expansion interfaces•• MPSD-portMPSD-port
Product Description
The DSP30B is a ’C30-based PC board that plugs into a single PC/AT slot. The DSP30B is a ’C30-based PC board that plugs into a single PC/AT slot. The board is expandable from the base memory configuration of 256 KB 0-The board is expandable from the base memory configuration of 256 KB 0-ws SRAM up to 4 MB of SRAM and 64 MB of DRAM.ws SRAM up to 4 MB of SRAM and 64 MB of DRAM.
The InSys~LINK interface provides a high-speed, 32-bit memory-The InSys~LINK interface provides a high-speed, 32-bit memory-mapped parallel expansion capability and has an interrupt connection to mapped parallel expansion capability and has an interrupt connection to the ’C30. The DATA~Connect interface provides either parallel 16-bit, or the ’C30. The DATA~Connect interface provides either parallel 16-bit, or serial expansion capability for various I/O daughter modules.serial expansion capability for various I/O daughter modules.
A fast PC/AT interface is provided for the ’C30 using 4K A fast PC/AT interface is provided for the ’C30 using 4K × 32 words of 32 words of dual-port SRAM devices, which are mapped into both the DSP’s and host’s dual-port SRAM devices, which are mapped into both the DSP’s and host’s memory spaces. Control and status registers are mapped into the host’s I/O memory spaces. Control and status registers are mapped into the host’s I/O space. Interrupts between the ’C30 and the PC host are also supported.space. Interrupts between the ’C30 and the PC host are also supported.
Development support includes standard TI software tools, low-cost Development support includes standard TI software tools, low-cost SPOX BSP, Deasy runtime library driver, and a choice of general-purpose SPOX BSP, Deasy runtime library driver, and a choice of general-purpose and optimized DSP libraries. Also available are Tartan’s C/C++ tools and and optimized DSP libraries. Also available are Tartan’s C/C++ tools and Virtuoso RTOS.Virtuoso RTOS.
3-206
Instrumental Systems Corporation
Development Hardware/Plug-In ISA BoardDevelopment Hardware (Plug-in ISA board)
Product name: DSP40QPlatforms supported: PC
Devices supported: TMS320C4x
Features and Benefits
•• 160 MFLOPS peak performance160 MFLOPS peak performance•• Up to 1 MB 0-ws SRAM and/or up to 32-MB DRAM per DSPUp to 1 MB 0-ws SRAM and/or up to 32-MB DRAM per DSP•• Support string-type and DMA I/O transfers to/from hostSupport string-type and DMA I/O transfers to/from host•• ’C40 and host interrupt support’C40 and host interrupt support•• On-board JTAG controllerOn-board JTAG controller
Product Description
The DSP40Q AT/ISA board transforms your PC into an 160-MFLOPS DSP The DSP40Q AT/ISA board transforms your PC into an 160-MFLOPS DSP system for multiprocessor applications. The DSP40Q plugs into a single PC/system for multiprocessor applications. The DSP40Q plugs into a single PC/AT slot and is compatible with AT/’386/’486/Pentium systems, but supports AT slot and is compatible with AT/’386/’486/Pentium systems, but supports also standalone operations.also standalone operations.
The DSP40Q board is expandable from a base memory configuration of The DSP40Q board is expandable from a base memory configuration of 512 KB up to 4 MB of SRAM and/or up to 128 MB of DRAM. From a soft-512 KB up to 4 MB of SRAM and/or up to 128 MB of DRAM. From a soft-ware viewpoint, the DSP nodes conform to the TIM-40 specification. The ware viewpoint, the DSP nodes conform to the TIM-40 specification. The board has a comport FIFO host interface that supports string-type and board has a comport FIFO host interface that supports string-type and DMA transfer operations and mutual interrupts. The DSP40Q has eight DMA transfer operations and mutual interrupts. The DSP40Q has eight externally-available 20-Mbyte-per-second communication ports and an on-externally-available 20-Mbyte-per-second communication ports and an on-board XDS510-compatible JTAG controller.board XDS510-compatible JTAG controller.
Development support for the DSP40Q includes Texas Instruments’ Development support for the DSP40Q includes Texas Instruments’ ANSI C compiler, assembler, linker, and C source debugger. InSys also pro-ANSI C compiler, assembler, linker, and C source debugger. InSys also pro-vides low-cost SPOX Board Support Package, an optimized Deasy runtime vides low-cost SPOX Board Support Package, an optimized Deasy runtime library driver, and various general-purpose and optimized DSP libraries. library driver, and various general-purpose and optimized DSP libraries. Additional software support includes Tartan’s C/C++ and Ada development Additional software support includes Tartan’s C/C++ and Ada development tools, and Parallel C and Virtuoso real-time operating systems.tools, and Parallel C and Virtuoso real-time operating systems.
3-207
Instrumental Systems Corporation
Development Hardware/TIM ModuleDevelopment hardware (TIM Module)
Product name: DST44QPlatforms supported: PC
Devices supported: TMS320C4x
Features and Benefits
•• 160-/200-MFLOPS peak performance160-/200-MFLOPS peak performance•• Up to 512 KB 0-ws SRAM per ’C44Up to 512 KB 0-ws SRAM per ’C44•• Compatibility with TI TIM-40 specificationCompatibility with TI TIM-40 specification•• Six 20-Mbytes/sec communication portsSix 20-Mbytes/sec communication ports•• Identity ROMIdentity ROM
Product Description
The DST44Q is a single-size TIM-40 module incorporating four Texas The DST44Q is a single-size TIM-40 module incorporating four Texas Instruments’ TMS320C44 DSP processors. This is the highest processor Instruments’ TMS320C44 DSP processors. This is the highest processor density offered by any vendor today. The module can be configured with density offered by any vendor today. The module can be configured with 128/512 KB of 0-wait-state SRAM on the ’C44’s local buses of each proces-128/512 KB of 0-wait-state SRAM on the ’C44’s local buses of each proces-sor and has an ID ROM for software identification/configuration purposes.sor and has an ID ROM for software identification/configuration purposes.
The JTAG port can be used for debugging processors individually in The JTAG port can be used for debugging processors individually in any size of system with appropriate software tools. The DST44Q module any size of system with appropriate software tools. The DST44Q module derives its processor clock from carrier board.derives its processor clock from carrier board.
Development support for the DST44Q includes Texas Instruments’ Development support for the DST44Q includes Texas Instruments’ optimizing ANSI C compiler, assembler, linker, and C source debugger. optimizing ANSI C compiler, assembler, linker, and C source debugger. InSys also provides a low-cost SPOX Board Support Package, an optimized InSys also provides a low-cost SPOX Board Support Package, an optimized Deasy runtime library driver, and various general-purpose and optimized Deasy runtime library driver, and various general-purpose and optimized DSP libraries. Additional software support includes Tartan’s C/C++ and DSP libraries. Additional software support includes Tartan’s C/C++ and Ada development tools, and Parallel C and Virtuoso real-time operating Ada development tools, and Parallel C and Virtuoso real-time operating systems.systems.
3-208
Instrumental Systems Corporation
Development Hardware/TIM ModuleProduct category: Development hardware (TIM Module)
Product name: DST40A8x40MPlatforms supported: PC
Devices supported: TMS320C4x
Features and Benefits
•• 8-bit ADC with sampling rate up to 40 MHz8-bit ADC with sampling rate up to 40 MHz•• 40-/50-MFLOPS peak performance40-/50-MFLOPS peak performance•• Up to 512 KB 0-ws SRAM and ID ROMUp to 512 KB 0-ws SRAM and ID ROM•• Compatibility with TI TIM-40 specificationCompatibility with TI TIM-40 specification•• Six 20-Mbytes/sec communication ports and TIM-40 global bus inter-Six 20-Mbytes/sec communication ports and TIM-40 global bus inter-
faceface
Product Description
The DST40A8x40M is a TIM-40 module (size 1) incorporating a The DST40A8x40M is a TIM-40 module (size 1) incorporating a TMS320C40 processor and is capable of 8-bit analog-to-digital conversion TMS320C40 processor and is capable of 8-bit analog-to-digital conversion at a sampling rate up to 40 MHz. The module has an input-programmable at a sampling rate up to 40 MHz. The module has an input-programmable amplifier with a gain range of 42 dB and control nonlinearity less than 1 dB. amplifier with a gain range of 42 dB and control nonlinearity less than 1 dB. ADC has a 1KADC has a 1K × 32 FIFO with four samples packed in a single 32-bit output. 32 FIFO with four samples packed in a single 32-bit output. A/D conversion has SNR not less than 46 dB and THD less than –50 dB (at A/D conversion has SNR not less than 46 dB and THD less than –50 dB (at 10 MHz).10 MHz).
The module has 128/512 KB 0-ws SRAM on the ’C40 local bus, TIM-40 The module has 128/512 KB 0-ws SRAM on the ’C40 local bus, TIM-40 global bus interface, and ID ROM for software identification/configuration. global bus interface, and ID ROM for software identification/configuration. The JTAG port can be used for software debugging.The JTAG port can be used for software debugging.
Development support includes Texas Instruments’ software tools. Development support includes Texas Instruments’ software tools. InSys also provides a low-cost SPOX Board Support Package, SPOX InSys also provides a low-cost SPOX Board Support Package, SPOX streaming and Parallel C ADC drivers, and an optimized Deasy runtime streaming and Parallel C ADC drivers, and an optimized Deasy runtime library driver. Additional software support includes Tartan’s C/C ++ and library driver. Additional software support includes Tartan’s C/C ++ and Ada development tools, and Parallel C and Virtuoso real-time operating Ada development tools, and Parallel C and Virtuoso real-time operating systems.systems.
3-209
Instrumental Systems Corporation
Development Hardware/TIM ModuleProduct category: Development hardware (TIM Module)
Product name: DST40DDCPlatforms supported: PC
Devices supported: TMS320C4x
Features and Benefits
•• 12-bit ADC with sampling rate up to 30 MHz12-bit ADC with sampling rate up to 30 MHz•• Digital Down Converter (DDC)Digital Down Converter (DDC)•• 40-/50-MFLOPS peak performance40-/50-MFLOPS peak performance•• Up to 512 KB 0-ws SRAM and ID ROMUp to 512 KB 0-ws SRAM and ID ROM•• Compatibility with TI TIM-40 specificationCompatibility with TI TIM-40 specification
Product Description
The DST40DDC is a double-size TIM-40 module with a TMS320C40 proces-The DST40DDC is a double-size TIM-40 module with a TMS320C40 proces-sor and is capable of 12-bit analog-to-digital conversion at a sampling rate sor and is capable of 12-bit analog-to-digital conversion at a sampling rate up to 30 MHz. The module has an input-programmable amplifier with gain up to 30 MHz. The module has an input-programmable amplifier with gain 0...40 dB and a 1K0...40 dB and a 1K × 32 FIFO. ADC has input range ±1V and SINAD not less 32 FIFO. ADC has input range ±1V and SINAD not less than 60 dB (at 10 MHz). Single-chip DDC for IF to baseband processing has than 60 dB (at 10 MHz). Single-chip DDC for IF to baseband processing has frequency selectivity less than 0.006 Hz and decimation from 64 to 131,072.frequency selectivity less than 0.006 Hz and decimation from 64 to 131,072.
The module has 128/512 KB 0-ws SRAM on the ’C40 local bus and ID The module has 128/512 KB 0-ws SRAM on the ’C40 local bus and ID ROM for software identification/configuration purposes. The JTAG port can ROM for software identification/configuration purposes. The JTAG port can be used for software debugging.be used for software debugging.
Development support includes Texas Instruments’ software tools. Development support includes Texas Instruments’ software tools. InSys also provides a low-cost SPOX Board Support Package, SPOX InSys also provides a low-cost SPOX Board Support Package, SPOX streaming and Parallel C ADC drivers, and an optimized Deasy runtime streaming and Parallel C ADC drivers, and an optimized Deasy runtime library driver. Additional software support includes Tartan’s C/C ++ and library driver. Additional software support includes Tartan’s C/C ++ and Ada development tools, and Parallel C and Virtuoso real-time operating Ada development tools, and Parallel C and Virtuoso real-time operating systems.systems.
3-210
Instrumental Systems Corporation
Development Hardware/Plug-In ISA BoardProduct category: Development hardware (Plug-in ISA board)
Product name: DSP50QPlatforms supported: PC
Devices supported: TMS320C5x
Features and Benefits
•• Four ’C50/’C51 25-ns 16-bit fixed-point DSP processorsFour ’C50/’C51 25-ns 16-bit fixed-point DSP processors•• Up to 128 Up to 128 × 16 K of 0-ws SRAM for data and programs per processor 16 K of 0-ws SRAM for data and programs per processor•• 32 32 × 16 K 0-ws of SRAM for data or/and programs per processor 16 K 0-ws of SRAM for data or/and programs per processor•• 32 32 × 16 K of 0-ws global SRAM 16 K of 0-ws global SRAM•• InSys~LINK, synchronous, and TDM serial interfacesInSys~LINK, synchronous, and TDM serial interfaces
Product Description
The DSP50Q is a ’C50/’C51-based PC board that plugs into a single PC slot, The DSP50Q is a ’C50/’C51-based PC board that plugs into a single PC slot, and is compatible with AT/386/486/Pentium-type systems. The board and is compatible with AT/386/486/Pentium-type systems. The board enhances the ’C50 memory by making 32K enhances the ’C50 memory by making 32K × 16 words of 0-ws SRAM 16 words of 0-ws SRAM (upgradeable to 128K (upgradeable to 128K × 16 words of 0-ws SRAM) per processor externally 16 words of 0-ws SRAM) per processor externally available to the ’C50 for data and code storage. The DSP50Q board has also available to the ’C50 for data and code storage. The DSP50Q board has also 32K 32K × 16 words of 0-ws SRAM per processor for data or code storage and 16 words of 0-ws SRAM per processor for data or code storage and 32K 32K × 16 of global 0-ws SRAM accessible by each DSP processor and by PC 16 of global 0-ws SRAM accessible by each DSP processor and by PC host.host.
InSys~LINK interface provides a high-speed parallel expansion capa-InSys~LINK interface provides a high-speed parallel expansion capa-bility. It has an interrupt connection to the ’C50 and allows easy expansion bility. It has an interrupt connection to the ’C50 and allows easy expansion of the DSP50Q board’s I/O capacity using various analog I/O PC plug-in of the DSP50Q board’s I/O capacity using various analog I/O PC plug-in boards.boards.
Development support for DSP50Q includes TI’s ANSI C compiler, Development support for DSP50Q includes TI’s ANSI C compiler, assembler, linker, and C source debugger. InSys also provides a SPOX assembler, linker, and C source debugger. InSys also provides a SPOX Board Support Package, Deasy runtime library driver, and various general-Board Support Package, Deasy runtime library driver, and various general-purpose and optimized DSP libraries.purpose and optimized DSP libraries.
3-211
Instrumental Systems Corporation
Development Hardware/Plug-In PCI BoardProduct category: Development hardware (Plug-in PCI board)
Product name: DSP80Platforms supported: PC
Devices supported: TMS320C8x
Features and Benefits
•• 2 BOPS peak performance2 BOPS peak performance•• Up to 2 MB SRAM, up to 64 MB DRAM, and up to 8 MB VRAMUp to 2 MB SRAM, up to 64 MB DRAM, and up to 8 MB VRAM•• 14/16-bit audio, RGB video, and framegrabber interfaces14/16-bit audio, RGB video, and framegrabber interfaces•• TMS320C40-compatible communication portTMS320C40-compatible communication port•• On-board JTAG controllerOn-board JTAG controller
Product Description
The DSP80 board is a parallel DSP engine for high-performance and high-The DSP80 board is a parallel DSP engine for high-performance and high-bandwidth image- and audio-processing applications. The board features a bandwidth image- and audio-processing applications. The board features a TMS320C80 Multimedia Video Processor running at 40 MHz. The architec-TMS320C80 Multimedia Video Processor running at 40 MHz. The architec-ture of the DSP80 offers a high degree of flexibility allowing connection of ture of the DSP80 offers a high degree of flexibility allowing connection of two boards via a ’C40-compatible communication port.two boards via a ’C40-compatible communication port.
The DSP80 board is expandable from a base memory configuration of The DSP80 board is expandable from a base memory configuration of 1024 KB up to 2 MB of SRAM, up to 64 MB of DRAM, and up to 8 MB of 1024 KB up to 2 MB of SRAM, up to 64 MB of DRAM, and up to 8 MB of VRAM, and provides full 32-bit host interface with support of PCI 2.0 bus VRAM, and provides full 32-bit host interface with support of PCI 2.0 bus (data transfer rate up to 132 Mbytes/sec), interrupts, and expansion (data transfer rate up to 132 Mbytes/sec), interrupts, and expansion daughter-board interfaces for custom I/O. There is an XDS510-compatible daughter-board interfaces for custom I/O. There is an XDS510-compatible on-board JTAG controller.on-board JTAG controller.
Software development support for the DSP80 includes Texas Instru-Software development support for the DSP80 includes Texas Instru-ments’ optimizing ANSI C compilers, algebraic assemblers, linker, multi-ments’ optimizing ANSI C compilers, algebraic assemblers, linker, multi-tasking executive, and a C Source debugger. Additional software support tasking executive, and a C Source debugger. Additional software support includes Spectron’s real-time multitasking operating system SPOX.includes Spectron’s real-time multitasking operating system SPOX.
3-212
Instrumental Systems Corporation
Development Hardware/EmulatorPr
Product name: EML510Platforms supported: PC
Devices supported: TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Features and Benefits
•• Full XDS510 compatibilityFull XDS510 compatibility•• Low costLow cost•• PC/AT-compatible interfacePC/AT-compatible interface
Product Description
The EML510 is a low-cost JTAG emulator, functionally identical to Texas The EML510 is a low-cost JTAG emulator, functionally identical to Texas Instruments’ XDS510. It provides a standard debug interface across multi-Instruments’ XDS510. It provides a standard debug interface across multi-ple host operating environments and concurrent full-speed emulation and ple host operating environments and concurrent full-speed emulation and monitoring of multiple DSP processors residing on one or more target monitoring of multiple DSP processors residing on one or more target boards. The EML510 can work with any DSP board that provides an exter-boards. The EML510 can work with any DSP board that provides an exter-nal IEEE Std. 1149.1 debug port or MPSD port.nal IEEE Std. 1149.1 debug port or MPSD port.
The EML510 emulator board can work with Texas Instruments’, Tar-The EML510 emulator board can work with Texas Instruments’, Tar-tan’s, White Mountain’s, and any other XDS510-compatible debugging soft-tan’s, White Mountain’s, and any other XDS510-compatible debugging soft-ware.ware.
3-213
JOVIAN Systems, Inc. An ADAC Company
70 Tower Office ParkWoburn, MA 01801(617) 937-6300Fax : (617)938-6553e-mail: [email protected]: http://www.jovian.com
Company Background Jovian Systems designs and builds high-performance ’C40-based PC systems for real-Jovian Systems designs and builds high-performance ’C40-based PC systems for real-time industrial applications. The software for these systems is built using the Pegasus time industrial applications. The software for these systems is built using the Pegasus Parallel Processing Development Environment, allowing easy customization for OEM Parallel Processing Development Environment, allowing easy customization for OEM applications.applications.
Application Software/Visual Programming
Product Name: Pegasus Parallel Processing Development EnvironmentPlatforms Supported: Windows
Devices Supported: TMS320C4x
Features and Benefits
•• Designed for developing real-time, multi-processor DSP applicationsDesigned for developing real-time, multi-processor DSP applications•• Not an interpreted system: Produces optimized, compiled, ’C40 codeNot an interpreted system: Produces optimized, compiled, ’C40 code•• Reduces the need to be both a DSP expert and a good software writerReduces the need to be both a DSP expert and a good software writer•• System can easily incorporate legacy C codeSystem can easily incorporate legacy C code•• Builds DSP and host programs as standalone applications Builds DSP and host programs as standalone applications
Product Description
Pegasus is a complete graphically-based development environment. Pegasus is a complete graphically-based development environment. Pegasus provides DSP simulation capability with the ability to turn that Pegasus provides DSP simulation capability with the ability to turn that simulation into an embedded parallel-processing DSP application. For simulation into an embedded parallel-processing DSP application. For applications with a user interface, Pegasus builds a host application com-applications with a user interface, Pegasus builds a host application com-plete with displays and other user I/O used in the simulation.plete with displays and other user I/O used in the simulation.
The DSP application runs under Parallel C, which provides a pre-emp-The DSP application runs under Parallel C, which provides a pre-emp-tive multi-tasking parallel OS environment. Pegasus supports comm port-tive multi-tasking parallel OS environment. Pegasus supports comm port-based I/O for data acquisition, providing a seamless interface for real-time based I/O for data acquisition, providing a seamless interface for real-time I/O.I/O.
Combining Pegasus with ’C4x and I/O hardware, graphically program-Combining Pegasus with ’C4x and I/O hardware, graphically program-mable “black boxes” can be created. If more MFLOPS are needed, simply mable “black boxes” can be created. If more MFLOPS are needed, simply add more ’C40s and reconfigure the system. Pegasus eliminates the need to add more ’C40s and reconfigure the system. Pegasus eliminates the need to modify software when more resources are added—configuration changes modify software when more resources are added—configuration changes can be accommodated in minutes. Pegasus leverages the strengths of your can be accommodated in minutes. Pegasus leverages the strengths of your existing designers by removing the need to get involved with the drudgery existing designers by removing the need to get involved with the drudgery of programming parallel-processing applications.of programming parallel-processing applications.
3-214
JOVIAN Systems, Inc.
Development Hardware
Product Name: Io and Triton seriesPlatforms Supported: Windows
Devices Supported: TMS320C4x
Features and Benefits
•• ’C4x-based large channel count data-acquisition systems (Io series)’C4x-based large channel count data-acquisition systems (Io series)•• Data recording/analysis software/hardware (Triton series)Data recording/analysis software/hardware (Triton series)•• Provides both analog and digital I/OProvides both analog and digital I/O•• Industrial-rated enclosure with passive backplaneIndustrial-rated enclosure with passive backplane•• Supported by Pegasus Parallel Processing Development EnvironmentSupported by Pegasus Parallel Processing Development Environment
Product Description
The ’C4x-based Io series provides a convenient platform for development The ’C4x-based Io series provides a convenient platform for development of real-time industrial applications. Housed in an industrial-passive back-of real-time industrial applications. Housed in an industrial-passive back-plane-based PC enclosure, the system includes a 486/586 single-board com-plane-based PC enclosure, the system includes a 486/586 single-board com-puter, a dual-’C4x processor card, and eight channels of analog input. This puter, a dual-’C4x processor card, and eight channels of analog input. This basic system can be expanded in several ways, including more ’C4x basic system can be expanded in several ways, including more ’C4x devices, 48 analog input channels, and 24 analog output channels. Digital devices, 48 analog input channels, and 24 analog output channels. Digital I/O, with opto-isolators for sensing and control of 120/240 VAC signals, is I/O, with opto-isolators for sensing and control of 120/240 VAC signals, is available.available.
The Triton product line, which is based on the Io series hardware, The Triton product line, which is based on the Io series hardware, offers users and OEMs a complete data recording and analysis package, offers users and OEMs a complete data recording and analysis package, including all hardware and Windows-based control software. Using the ’C40 including all hardware and Windows-based control software. Using the ’C40 as the processing engine, systems can be configured for almost any number as the processing engine, systems can be configured for almost any number of I/O channels and computational requirements. of I/O channels and computational requirements.
Both product lines are supported by Jovian’s Pegasus development Both product lines are supported by Jovian’s Pegasus development software, and OEM versions and custom integration services are available.software, and OEM versions and custom integration services are available.
3-215
Kane Computing7 Theatre CourtLondon RoadNorthwichCheshireCW9 5HBUK+44 (0)1606 351006Fax: +44 (0)1606 351007/8e-mail: [email protected]
Company Background Kane Computing is a provider of high-performance computing hardware for industrial Kane Computing is a provider of high-performance computing hardware for industrial and military applications. Established in 1986, Kane Computing is a part of Kane Inter-and military applications. Established in 1986, Kane Computing is a part of Kane Inter-national Ltd., a multi-million dollar company with businesses in the USA, Canada, and national Ltd., a multi-million dollar company with businesses in the USA, Canada, and Europe.Europe.
Kane Computing specializes in providing DSP solutions to meet specific customer Kane Computing specializes in providing DSP solutions to meet specific customer needs with a mixture of off-the-shelf hardware and development tools plus special needs with a mixture of off-the-shelf hardware and development tools plus special designs where appropriate. This is achieved by providing comprehensive technical sup-designs where appropriate. This is achieved by providing comprehensive technical sup-port and one of the widest ranges of DSP products available in Europe.port and one of the widest ranges of DSP products available in Europe.
Development Hardware/TIM Module
Product Name: SMT 311 - FFT Processing TIMPlatforms Supported: PC, Sun, VME, VXIDevices Supported: TMS320C44
Features and Benefits
•• 2D 512 2D 512 × 512 real FFT in 23 ms 512 real FFT in 23 ms•• 1K complex FFT in 80 µs 1K complex FFT in 80 µs •• DSP algorithms coded into hardwareDSP algorithms coded into hardware
Product Description
The SMT311 is a specially-designed TIM-40 for applying Fast Fourier The SMT311 is a specially-designed TIM-40 for applying Fast Fourier Transforms to data, very quickly!Transforms to data, very quickly!
The module has an LH9124 coupled with the LH9130 address genera-The module has an LH9124 coupled with the LH9130 address genera-tor. Control for all of these is provided from the ’C44 via a FIFO and FPGA tor. Control for all of these is provided from the ’C44 via a FIFO and FPGA arrangement. The ’C44 sends commands (function codes and data flow arrangement. The ’C44 sends commands (function codes and data flow commands) to the FIFO which are then sent to the 9124 under FPGA con-commands) to the FIFO which are then sent to the 9124 under FPGA con-trol. The FIFO can be flushed at any time by the ’C44.trol. The FIFO can be flushed at any time by the ’C44.
It is this unique architecture that enables the fast processing speeds to It is this unique architecture that enables the fast processing speeds to be achieved.be achieved.
3-216
Kane Computing
Development Hardware/TIM Module
Product Name: SMT 306 Neural Processing TIMPlatforms Supported: PC, Sun, VME, VXI
Devices Supported: TMS320C40
Features and Benefits
•• Two 16-bit RISC-based Neural Instruction Set Processor (NiSP)Two 16-bit RISC-based Neural Instruction Set Processor (NiSP)•• Capable of processing in excess of 64K neuronsCapable of processing in excess of 64K neurons•• Capable of processing in excess of 128K synapse connectionsCapable of processing in excess of 128K synapse connections•• Up to 80M interconnects per secondUp to 80M interconnects per second
Product Description
By using two Neural Instruction Set Processors (NiSPs) in conjunction By using two Neural Instruction Set Processors (NiSPs) in conjunction with the TMS320C40, the SMT306 achieves a balance of computational per-with the TMS320C40, the SMT306 achieves a balance of computational per-formance and data-transfer bandwidth.formance and data-transfer bandwidth.
Each NiSP device offers a peak computation rate of 40M interconnects/sEach NiSP device offers a peak computation rate of 40M interconnects/s allowing the potential to build real-time systems using the significant allowing the potential to build real-time systems using the significant advantages offered by neural network techniques.advantages offered by neural network techniques.
The module uses a 50-MHz TMS320C40 capable of 50-MFLOPS peak The module uses a 50-MHz TMS320C40 capable of 50-MFLOPS peak performance with 1 MByte of zero-wait-state SRAM on both local and glo-performance with 1 MByte of zero-wait-state SRAM on both local and glo-bal buses. On each bus are two 16-kword dual-port RAMs and a NiSP bal buses. On each bus are two 16-kword dual-port RAMs and a NiSP device. These dual-port RAMs provide storage of Neuron values during device. These dual-port RAMs provide storage of Neuron values during execution of the chosen network and may be interleaved to maintain the execution of the chosen network and may be interleaved to maintain the maximum execution rate of the NiSP. By using this method, the ’C40 can maximum execution rate of the NiSP. By using this method, the ’C40 can be preparing new neuron values for processing whilst the NiSP is process-be preparing new neuron values for processing whilst the NiSP is process-ing an existing set. The mechanism allows for rapid network training and ing an existing set. The mechanism allows for rapid network training and evaluation.evaluation.
3-217
Kane Computing
TIM Module/Plug-In Board/VXI Bus/VMEbus
Product Name: SMT 301Platforms Supported: VXI bus/VMEbusDevices Supported: TMS320C4x
Features and Benefits
•• Eight TIM sitesEight TIM sites•• Front panel comm portsFront panel comm ports•• JTAG debuggingJTAG debugging•• 100-Mbps data bus100-Mbps data bus•• Comm port patch headersComm port patch headers
Product Description
The SMT 301 VXI TIM motherboard provides eight TIM sites in two banks The SMT 301 VXI TIM motherboard provides eight TIM sites in two banks of four. Each site is connected to its neighbor by a double pipe. All other of four. Each site is connected to its neighbor by a double pipe. All other comm ports are routed to a link patch area which allows additional inter-comm ports are routed to a link patch area which allows additional inter-connections between TIM sites on-board or off-board via front panel or connections between TIM sites on-board or off-board via front panel or VMEbus ’C40-link interfaces. All off-board interfaces are buffered. Global VMEbus ’C40-link interfaces. All off-board interfaces are buffered. Global resources include dual-port RAM (1 Mbyte expandable) and a bidirectional resources include dual-port RAM (1 Mbyte expandable) and a bidirectional FIFO. The DRAM allows communication to and from the VMEbus and the FIFO. The DRAM allows communication to and from the VMEbus and the FIFO is used to communicate via a 100-Mbps bus interface to the VXI bus.FIFO is used to communicate via a 100-Mbps bus interface to the VXI bus.
The SMT 301 was specifically designed for use with the SMT 306 neu-The SMT 301 was specifically designed for use with the SMT 306 neu-ral processing TIM and SMT 311 FFT processing TIM, but can be used with ral processing TIM and SMT 311 FFT processing TIM, but can be used with any of the wide range of compute TIMs (DRAM, SRAM, EDRAM), image-any of the wide range of compute TIMs (DRAM, SRAM, EDRAM), image-processing TIMs, graphics TIMs, and I/O TIMs available from Kane Comput-processing TIMs, graphics TIMs, and I/O TIMs available from Kane Comput-ing.ing.
3-218
Kane Computing
Development Hardware/Plug-In ISA Board
Product Name: KC3x SeriesPlatforms Supported: PC
Devices Supported: TMS320C30, TMS320C31, TMS320C32
Features and Benefits
•• 32-bit floating-point ’C3x DSP32-bit floating-point ’C3x DSP•• Built-in analog I/OBuilt-in analog I/O•• Memory and expansion I/OMemory and expansion I/O•• Extensive software supportExtensive software support
Product Description
The KC3x Series ISA bus plug-in cards are development and applications The KC3x Series ISA bus plug-in cards are development and applications boards using either the ’C3x floating-point DSP processors.boards using either the ’C3x floating-point DSP processors.
Each board includes processor and 256K SRAM, expandable on-board Each board includes processor and 256K SRAM, expandable on-board or with addition of memory-expansion daughterboards. As well as built-in or with addition of memory-expansion daughterboards. As well as built-in analog I/O and communications interface, the KC3x Series includes a tele-analog I/O and communications interface, the KC3x Series includes a tele-phone interface for developing fax/modem and telephony applications.phone interface for developing fax/modem and telephony applications.
The KC3x Series is supported by a wide range of development tools The KC3x Series is supported by a wide range of development tools both from TI and third-party software vendors. To aid in application devel-both from TI and third-party software vendors. To aid in application devel-opment, a number of software tools are available for filter and algorithm opment, a number of software tools are available for filter and algorithm design, real-time DSP, acoustic DSP, spectrum analysis, and real-time mea-design, real-time DSP, acoustic DSP, spectrum analysis, and real-time mea-surement and control.surement and control.
The KC3x Series also comes in the form of EVMs, packages, and emula-The KC3x Series also comes in the form of EVMs, packages, and emula-tor systems. These are comprised of the appropriate hardware and soft-tor systems. These are comprised of the appropriate hardware and soft-ware for the customer application and are ideal for evaluating the ’C3x and ware for the customer application and are ideal for evaluating the ’C3x and for project development.for project development.
3-219
Kane Computing
Development Hardware/Plug-In SBus Board
Product Name: KCSbus SeriesPlatforms Supported: Sun
Devices Supported: TMS320C31, TMS320C40
Features and Benefits
•• SBus plug-in cardSBus plug-in card•• Either ’C31 or ’C40 processorEither ’C31 or ’C40 processor•• Analog I/OAnalog I/O•• Compilers library supportCompilers library support
Product Description
Featuring either the ’C31 or ’C40 32-bit floating-point DSP processor and Featuring either the ’C31 or ’C40 32-bit floating-point DSP processor and high-performance SRAM, the KCSbus Series makes the ideal DSP develop-high-performance SRAM, the KCSbus Series makes the ideal DSP develop-ment and application board.ment and application board.
The KCSbus Series card is backed by optimizing compilers and devel-The KCSbus Series card is backed by optimizing compilers and devel-opment libraries. If the ’C40 version is supplied, then all six communica-opment libraries. If the ’C40 version is supplied, then all six communica-tions ports are available for external connection to other ’C40 boards tions ports are available for external connection to other ’C40 boards supplied by Kane Computing.supplied by Kane Computing.
3-220
Kane Computing
Development Hardware/Plug-In SBus Board
Product Name: KC7000 SeriesPlatforms Supported: Sun
Devices Supported: TMS320C4x
Features and Benefits
•• Up to eight ’C40 DSPsUp to eight ’C40 DSPs•• 400 MegaFLOPS400 MegaFLOPS•• 320-Mbps I/O320-Mbps I/O•• Up to 32-Mbytes SRAMUp to 32-Mbytes SRAM•• Optional daughterboardsOptional daughterboards
Product Description
The KC7000 series provides the power of up to eight 50-MHz TMS320C40s The KC7000 series provides the power of up to eight 50-MHz TMS320C40s on a single-slot 6U VMEbus board. To achieve this packing density, state-on a single-slot 6U VMEbus board. To achieve this packing density, state-of-the-art TAB packaging technology has been used.of-the-art TAB packaging technology has been used.
The KC7000 series provides unparalleled logic integration and superior The KC7000 series provides unparalleled logic integration and superior bandwidth of 60-Mbps block transfers to the VMEbus. In addition, for appli-bandwidth of 60-Mbps block transfers to the VMEbus. In addition, for appli-cations such as image processing, machine vision, and sonar, a plug-on cations such as image processing, machine vision, and sonar, a plug-on daughterboard can be fitted that provides a continuous 30-Mbps high-daughterboard can be fitted that provides a continuous 30-Mbps high-speed VSB link with master and slave block transfers and single-cycle speed VSB link with master and slave block transfers and single-cycle transfers at 32-bit, 16-bit, and 8-bit data widths.transfers at 32-bit, 16-bit, and 8-bit data widths.
The massive power of the KC7000 series hardware is supported by an The massive power of the KC7000 series hardware is supported by an excellent range of software including a Sun-based multi-user/multiboard excellent range of software including a Sun-based multi-user/multiboard DSP debugger for C and Ada, ANSI C compiler, and library with parallel DSP debugger for C and Ada, ANSI C compiler, and library with parallel run-time library, plus SPOX, OS-9, and VXWorks operating systems.run-time library, plus SPOX, OS-9, and VXWorks operating systems.
3-221
Kane Computing
Development Hardware/Plug-In PCI Board
Product Name: KC44PCI SeriesPlatforms Supported: PC
Devices Supported: TMS320C44
Features and Benefits
•• Mono, dual, or quad ’C44Mono, dual, or quad ’C44•• Single-slot PCI interfaceSingle-slot PCI interface•• Local and global SRAMLocal and global SRAM•• Software development toolsSoftware development tools
Product Description
The KC44PCI Series is a new single-slot PCI board with up to four The KC44PCI Series is a new single-slot PCI board with up to four TMS320C44 DSPs and 24-Mbyte of zero-wait-state SRAM. As well as pro-TMS320C44 DSPs and 24-Mbyte of zero-wait-state SRAM. As well as pro-viding up to 200 MFLOPS/1 BOPS (Billion Operations per Second), the viding up to 200 MFLOPS/1 BOPS (Billion Operations per Second), the board (KC44PCI Series) supports master-mode and burst-mode host com-board (KC44PCI Series) supports master-mode and burst-mode host com-munications at up to 132 Mbps.munications at up to 132 Mbps.
Unique features include direct access to all of the DSPs from the PCI Unique features include direct access to all of the DSPs from the PCI interface to allow distribution of data concurrently to all four processors for interface to allow distribution of data concurrently to all four processors for fast parallel processing. Other very useful features are reprogrammable fast parallel processing. Other very useful features are reprogrammable cross-port switch to configure the comm ports on the KC44PCI Series and cross-port switch to configure the comm ports on the KC44PCI Series and pack/unpack circuitry to reformat pixel data when used as a coprocessor pack/unpack circuitry to reformat pixel data when used as a coprocessor for image-processing systems.for image-processing systems.
3-222
Kane Computing
Development Hardware/Plug-In ISA Board
Product Name: KC5xPC SeriesPlatforms Supported: PC
Devices Supported: TMS320C5x
Features and Benefits
•• 40-MIPS DSP40-MIPS DSP•• Built-in analog I/OBuilt-in analog I/O•• ’C50, ’C51, ’C52, and ’C53 processor’C50, ’C51, ’C52, and ’C53 processor•• Compiler and library supportCompiler and library support
Product Description
Based on a high-performance ’C50/’C51/’C52/’C53 DSPs from Texas Instru-Based on a high-performance ’C50/’C51/’C52/’C53 DSPs from Texas Instru-ments, the KC5xPC Series provides up to 40-MIPS performance for compu-ments, the KC5xPC Series provides up to 40-MIPS performance for compu-tationally-intensive algorithms to support the design and testing of tationally-intensive algorithms to support the design and testing of telecommunications and modem applications.telecommunications and modem applications.
Designed with a 16-bit ISA bus, the KC5xPC Series plugs into a host PC Designed with a 16-bit ISA bus, the KC5xPC Series plugs into a host PC or conversely it can be used as a standalone development module by mak-or conversely it can be used as a standalone development module by mak-ing use of a standard 5-volt connector and sockets for up to 128 kb of ing use of a standard 5-volt connector and sockets for up to 128 kb of EPROM.EPROM.
An impressive hardware specification includes 14-bit ADC and DAC, An impressive hardware specification includes 14-bit ADC and DAC, 64-kb or 256-kb SRAM, RS-232 serial port, RJ-11 analog telephone inter-64-kb or 256-kb SRAM, RS-232 serial port, RJ-11 analog telephone inter-face, emulator (JTAG) connector, and on-board Test Bus Connector face, emulator (JTAG) connector, and on-board Test Bus Connector (TBC). Software support is not neglected with SPOX 2.0 operating system, (TBC). Software support is not neglected with SPOX 2.0 operating system, optimized C Compiler, debugger, and DOS and Windows support libraries optimized C Compiler, debugger, and DOS and Windows support libraries for all on-board devices.for all on-board devices.
3-223
Kane Computing
Development Hardware/Emulator
Product Name: KCE SeriesPlatforms Supported: PC, SBus
Devices Supported: TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Fully-featured scan-based emulatorsFully-featured scan-based emulators•• In-circuit emulator at full DSP speedIn-circuit emulator at full DSP speed•• Easy installation and configurationEasy installation and configuration•• Windows-orientated interfaceWindows-orientated interface•• Multi-level debugger and toolsMulti-level debugger and tools
Product Description
KCE Series Emulators are fully-featured scan-based hardware/software KCE Series Emulators are fully-featured scan-based hardware/software systems that offer in-circuit emulation of TMS320 DSPs.systems that offer in-circuit emulation of TMS320 DSPs.
Easily configured and installed, these emulators plug into a single slot Easily configured and installed, these emulators plug into a single slot of a PC/AT, SPARCstation, or compatible host and connect to your TMS320 of a PC/AT, SPARCstation, or compatible host and connect to your TMS320 system through a standard 14-pin JTAG connector.system through a standard 14-pin JTAG connector.
An in-circuit smart pod allows your target DSP to remain in-socket, An in-circuit smart pod allows your target DSP to remain in-socket, even soldered in place, leaving the DSP bus unaffected by the emulation even soldered in place, leaving the DSP bus unaffected by the emulation process.process.
DSPs can continue to execute all instructions in a single bus cycle DSPs can continue to execute all instructions in a single bus cycle while offering you full control over every memory and I/O location on your while offering you full control over every memory and I/O location on your target system at the full speed of the DSP device.target system at the full speed of the DSP device.
Fully-featured KCE Series emulator/debugger software offers state-of-Fully-featured KCE Series emulator/debugger software offers state-of-the-art debug and optimization tools to enhance the design of your final the-art debug and optimization tools to enhance the design of your final product.product.
Complete solutions that add C-source development tools from either Complete solutions that add C-source development tools from either Texas Instruments or Tartan are also available, making KCE Series Emula-Texas Instruments or Tartan are also available, making KCE Series Emula-tors the developer’s choice for scan-based emulation.tors the developer’s choice for scan-based emulation.
3-224
Kane Computing
Development Hardware/Plug-In PCI Board
Product Name: KC7928Platforms Supported: PC
Devices Supported: TMS320C8x
Features and Benefits
•• 8-/32-Mbytes DRAM or SRAM8-/32-Mbytes DRAM or SRAM•• 2-, 4-, or 6-Mbytes VRAM2-, 4-, or 6-Mbytes VRAM•• Video I/O daughter board (optional)Video I/O daughter board (optional)•• Dual RS-232 portsDual RS-232 ports•• Fast PCI communicationsFast PCI communications
Product Description
The KC7928 is a single-slot ’C80 engine for the PCI bus. Utilizing a 40- or The KC7928 is a single-slot ’C80 engine for the PCI bus. Utilizing a 40- or 50-MHz ’C80, the KC7928 can be configured with many different memory 50-MHz ’C80, the KC7928 can be configured with many different memory variations including 8 Mbytes or 32 Mbytes of DRAM and 2-Mbytes VRAM variations including 8 Mbytes or 32 Mbytes of DRAM and 2-Mbytes VRAM (expandable up to 6 Mbytes) and 128 kbytes or 512 kbytes of Flash mem-(expandable up to 6 Mbytes) and 128 kbytes or 512 kbytes of Flash mem-ory.ory.
A color video daughtercard is also available which accepts NTSC, RS-A color video daughtercard is also available which accepts NTSC, RS-170 inputs and has SVGA output. This connects directly into the VRAM via 170 inputs and has SVGA output. This connects directly into the VRAM via a 64-bit SAM bus.a 64-bit SAM bus.
Comprehensive software is also available including TI’s development Comprehensive software is also available including TI’s development toolset, compilers, debuggers, simulators, and source-code examples.toolset, compilers, debuggers, simulators, and source-code examples.
3-225
Kane Computing
Development Hardware/Plug-In VMEbus Board
Product Name: KC4700 SeriesPlatforms Supported: Sun
Devices Supported: TMS320C8x
Features and Benefits
•• Single or dual ’C80sSingle or dual ’C80s•• Industry-pack expansion sitesIndustry-pack expansion sites•• JTAG controllerJTAG controller•• 350-Mbps communication350-Mbps communication•• Global daughterboard expanisonGlobal daughterboard expanison
Product Description
The 4700 series are single-slot 6U VME boards with one or two The 4700 series are single-slot 6U VME boards with one or two TMS320C80s, providing high performance and high bandwidth for image- TMS320C80s, providing high performance and high bandwidth for image- and audio-processing applications.and audio-processing applications.
The architecture offers a high degree of flexibility allowing for parallel The architecture offers a high degree of flexibility allowing for parallel or independent processing by the two ’C80 chips.or independent processing by the two ’C80 chips.
The board also provides a full master/slave interface with support of The board also provides a full master/slave interface with support of VME 64 and interrupts plus expansion using personality modules for mem-VME 64 and interrupts plus expansion using personality modules for mem-ory expansion or I/O.ory expansion or I/O.
Software development tools including parallel assembler/linker, ANSI Software development tools including parallel assembler/linker, ANSI C/C++ compiler with parallel-processing routine library, and C source-level C/C++ compiler with parallel-processing routine library, and C source-level debugger with software breakpoints.debugger with software breakpoints.
3-226
Loughborough Sound Images plcLoughborough Park, Ashby RoadLoughboroughLeicestershire LE1 1 3NEEngland+44 (0)1509 634300Fax: + 44 (0)1509 634333Sales e-mail: [email protected]
Company Background Loughborough Sound Images (LSI) is dedicated to providing systems solutions based on Loughborough Sound Images (LSI) is dedicated to providing systems solutions based on digital signal processors. The company’s extensive range of hardware includes many digital signal processors. The company’s extensive range of hardware includes many board-level products for the leading bus standards - PC, PCI, VME, and SBus. These board-level products for the leading bus standards - PC, PCI, VME, and SBus. These include products based on Texas Instruments TMS320C80 and TMS320C4x devices, as include products based on Texas Instruments TMS320C80 and TMS320C4x devices, as well as the ’C30, ’C31, ’C32, ’C25, and ’C50.well as the ’C30, ’C31, ’C32, ’C25, and ’C50.
All LSI’s DSP boards can be programmed at either the assembler level or in a high-All LSI’s DSP boards can be programmed at either the assembler level or in a high-level language such as C. LSI provides complete software development support for each level language such as C. LSI provides complete software development support for each processor, including the device manufacturer’s own Assembler/Linker and C Compiler processor, including the device manufacturer’s own Assembler/Linker and C Compiler tools.tools.
Development Hardware/TIM Module
Product Name: MDC40S Parallel DSP ModulePlatforms Supported: Not platform specificDevices Supported: TMS320C4x
Features and Benefits
•• TMS320C40 processorTMS320C40 processor•• Up to 384-kword zero-wait-state SRAMUp to 384-kword zero-wait-state SRAM•• Six 20-Mbps parallel communication ports 2G-word global expansion Six 20-Mbps parallel communication ports 2G-word global expansion
busbus•• EPROM/EEPROM for bootstrapping and identityEPROM/EEPROM for bootstrapping and identity
Product Description
On-module memory consists of three separate banks of 32-bit-wide SRAM, On-module memory consists of three separate banks of 32-bit-wide SRAM, two on the local memory port and one on the global memory port. Each two on the local memory port and one on the global memory port. Each bank can contain 32 k or 128 kwords of SRAM, giving a maximum of 384 bank can contain 32 k or 128 kwords of SRAM, giving a maximum of 384 kwords of zero-wait-state memory. The module incorporates a 32-bit global kwords of zero-wait-state memory. The module incorporates a 32-bit global memory expansion interface, through which it is possible to expand the memory expansion interface, through which it is possible to expand the memory and I/O capabilities off-module. This facility is ideal for memory-memory and I/O capabilities off-module. This facility is ideal for memory-intensive applications or for bulk memory inter-processor communications.intensive applications or for bulk memory inter-processor communications.
3-227
Loughborough Sound Images plc
Development Hardware/TIM Module
Product Name: MDC40D Parallel DSP ModulePlatforms Supported: Not platform specificDevices Supported: TMS320C4x
Features and Benefits
•• TMS320C40 processorTMS320C40 processor•• 8-MBytes, 1 wait-state DRAM8-MBytes, 1 wait-state DRAM•• Six 20-Mbps communication portsSix 20-Mbps communication ports•• EPROM/EEPROM for bootstrapping and identityEPROM/EEPROM for bootstrapping and identity
Product Description
On-module memory consists of two banks of 32-bit-wide DRAM, one on the On-module memory consists of two banks of 32-bit-wide DRAM, one on the local memory port and one on the global memory port. Each bank contains local memory port and one on the global memory port. Each bank contains 1 M 1 M × 32 words of page-mode DRAM, giving a maximum of 2 Mwords of 32 words of page-mode DRAM, giving a maximum of 2 Mwords of one-wait-state memory. DRAM accesses within a page achieve the one-one-wait-state memory. DRAM accesses within a page achieve the one-wait-state performance, while DRAM accesses across page boundaries wait-state performance, while DRAM accesses across page boundaries require two wait states.require two wait states.
The bank of local DRAM is contiguous with on-chip SRAM for conve-The bank of local DRAM is contiguous with on-chip SRAM for conve-nience when programming the TMS320C40. The TMS320C40’s Harvard nience when programming the TMS320C40. The TMS320C40’s Harvard architecture is extended off-chip using the banks of local and global DRAM architecture is extended off-chip using the banks of local and global DRAM to maximize processing performance.to maximize processing performance.
3-228
Loughborough Sound Images plc
Development Hardware/TIM Module
Product Name: MDC40T Twin Processor Parallel OSP ModulePlatforms Supported: Not platform specificDevices Supported: TMS320C4x
Features and Benefits
•• Two TMS320C40 processorsTwo TMS320C40 processors•• Up to 128 k Up to 128 k × 32 words zero-wait-state SRAM per processor 32 words zero-wait-state SRAM per processor•• Three 20-Mbps communication channels routed between processorsThree 20-Mbps communication channels routed between processors•• Six 20-Mbps communication ports brought off-moduleSix 20-Mbps communication ports brought off-module•• EPROM/EEPROM per processor for bootstrapping and identityEPROM/EEPROM per processor for bootstrapping and identity
Product Description
The MDC40T provides two TMS320C40 DSPs to deliver unprecedented The MDC40T provides two TMS320C40 DSPs to deliver unprecedented performance from a single-width processor module format. It is ideally performance from a single-width processor module format. It is ideally suited for use in applications requiring maximum processor density with suited for use in applications requiring maximum processor density with small, fast local memory stores, including classical array processing, radar, small, fast local memory stores, including classical array processing, radar, sonar, telecommunications, and neural networks. Three of the six 20-Mbps sonar, telecommunications, and neural networks. Three of the six 20-Mbps communication ports on each TMS320C40 are interconnected. This allows communication ports on each TMS320C40 are interconnected. This allows high-bandwidth communication between the MDC40T’s two processors. high-bandwidth communication between the MDC40T’s two processors. The remaining three ports from each processor are routed off-module via The remaining three ports from each processor are routed off-module via the top and bottom connectors.the top and bottom connectors.
Each TMS320C40 processor has access to one bank of either 32 k Each TMS320C40 processor has access to one bank of either 32 k × 32 32 or 128 k or 128 k × 32 words zero-wait-state SRAM on its global bus. This memory 32 words zero-wait-state SRAM on its global bus. This memory complements the processor on-chip memory. Optimum performance can complements the processor on-chip memory. Optimum performance can therefore be achieved, while access is provided to significant amounts of therefore be achieved, while access is provided to significant amounts of fast local storage for data and programs.fast local storage for data and programs.
3-229
Loughborough Sound Images plc
Development Hardware/TIM Module
Product Name: MDC40HB Parallel DSP ModulePlatforms Supported: Not platform specificDevices Supported: TMS320C4x
Features and Benefits
•• Up to 64-MBytes DRAM on a double-width DSP moduleUp to 64-MBytes DRAM on a double-width DSP module•• 128 k 128 k × 32 zero-wait-state SRAM for fast-processing 32 zero-wait-state SRAM for fast-processing•• TMS320C40 processorTMS320C40 processor•• Six 20-Mbps parallel communication ports brought off-moduleSix 20-Mbps parallel communication ports brought off-module•• EPROM contains identity informationEPROM contains identity information
Product Description
The MDC40HB provides external fast-page-mode DRAM off the The MDC40HB provides external fast-page-mode DRAM off the TMS320C40’s global and local buses, to extend the processor’s powerful TMS320C40’s global and local buses, to extend the processor’s powerful Harvard architecture off-chip and optimize processing performance. Three Harvard architecture off-chip and optimize processing performance. Three MDC40HB memory variants are available, offering 2 M MDC40HB memory variants are available, offering 2 M × 32 words of DRAM 32 words of DRAM on each local and global bus (a total of 16 MBytes), 4 M on each local and global bus (a total of 16 MBytes), 4 M × 32 words of 32 words of DRAM on each local and global bus (a total of 32 MBytes), or 8 M DRAM on each local and global bus (a total of 32 MBytes), or 8 M × 32 32 words of DRAM on each local and global bus (a total of 64 MBytes). One-words of DRAM on each local and global bus (a total of 64 MBytes). One-wait-state DRAM read/write performance is achieved within a page. The wait-state DRAM read/write performance is achieved within a page. The module also provides a bank of 32 k module also provides a bank of 32 k × 8 words of EEPROM or 64 k 8 words of EEPROM or 64 k × 8 8 words of EPROM, addressed via the local bus. The PEROM can be pro-words of EPROM, addressed via the local bus. The PEROM can be pro-grammed from the TMS320C40. The MDC40HB also has 128 k grammed from the TMS320C40. The MDC40HB also has 128 k × 32 zero- 32 zero-wait-state SRAM on the local bus of the TMS320C40 for pre- or post-pro-wait-state SRAM on the local bus of the TMS320C40 for pre- or post-pro-cessing of data.cessing of data.
3-230
Loughborough Sound Images plc
Development Hardware/TIM Module
Product Name: MDC40ED Parallel DSP ModulePlatforms Supported: Not platform specificDevices Supported: TMS320C4x
Features and Benefits
•• Up to 12-MBytes fast EDRAM on a single-width TIM-40 ModuleUp to 12-MBytes fast EDRAM on a single-width TIM-40 Module•• TMS320C40 processorTMS320C40 processor•• Six 20-Mbps parallel communication ports brought off-moduleSix 20-Mbps parallel communication ports brought off-module•• Programmable EPROM contains TIM-40 identity informationProgrammable EPROM contains TIM-40 identity information
Product Description
The MDC40ED’s Enhanced DRAM (EDRAM) memory devices use caching The MDC40ED’s Enhanced DRAM (EDRAM) memory devices use caching techniques to offer near-SRAM performance with DRAM capacity.techniques to offer near-SRAM performance with DRAM capacity.
The MDC40ED provides external EDRAM off the TMS320C40’s global The MDC40ED provides external EDRAM off the TMS320C40’s global and local buses, to extend the processor’s powerful Harvard architecture and local buses, to extend the processor’s powerful Harvard architecture off-chip and optimize processing performance. Two MDC40ED memory off-chip and optimize processing performance. Two MDC40ED memory variants are available, offering one bank of 1 M variants are available, offering one bank of 1 M × 32 words EDRAM off both 32 words EDRAM off both the global bus and the local bus (a total of 8 MBytes) and one bank of the global bus and the local bus (a total of 8 MBytes) and one bank of 1 M 1 M × 32 words EDRAM off the global bus with two banks of 1 M 32 words EDRAM off the global bus with two banks of 1 M × 32 words 32 words EDRAM off the local bus (a total of 12 MBytes). The MDC40ED handles EDRAM off the local bus (a total of 12 MBytes). The MDC40ED handles EDRAM refresh automatically, ensuring all processor timers and other EDRAM refresh automatically, ensuring all processor timers and other resources are available for application use.resources are available for application use.
3-231
Loughborough Sound Images plc
Development Hardware/TIM Module
Product Name: MDC40YS Shared-Memory ModulePlatforms Supported: Not platform specificDevices Supported: TMS320C4x
Features and Benefits
•• Two TMS320C40’s with access to carrier board-shared resourcesTwo TMS320C40’s with access to carrier board-shared resources•• Ten 20-Mbps parallel communication ports available off-moduleTen 20-Mbps parallel communication ports available off-module•• 8 MBytes on-module SRAM memory8 MBytes on-module SRAM memory•• Double-width TIM-40 moduleDouble-width TIM-40 module
Product Description
The MDC40YS is a double-width TIM-40 shared-memory-bus module. In The MDC40YS is a double-width TIM-40 shared-memory-bus module. In addition to shared memory, the two processors are connected by one 20-addition to shared memory, the two processors are connected by one 20-Mbps communication port and a further 10 are available off-module allow-Mbps communication port and a further 10 are available off-module allow-ing the MDC40YS to be used as part of a larger processing network.ing the MDC40YS to be used as part of a larger processing network.
Each DSP has access to 2 Mwords of fast local SRAM for program and Each DSP has access to 2 Mwords of fast local SRAM for program and data storage as well as 32 kBytes PEROM. The PEROM contains system- data storage as well as 32 kBytes PEROM. The PEROM contains system- configuration information and is used to allow software to identify the mod-configuration information and is used to allow software to identify the mod-ule type.ule type.
The MDC40YS also shares the global expansion connector between the The MDC40YS also shares the global expansion connector between the DSPs. Arbitration and buffering logic prevents contention and allows DSPs. Arbitration and buffering logic prevents contention and allows access to global expansion memory that may be present on the PC or VME access to global expansion memory that may be present on the PC or VME carrier board.carrier board.
3-232
Loughborough Sound Images plc
Development Hardware/TIM Module
Product Name: MDC40IM Monochrome Image-Processing ModulePlatforms Supported: Not platform specificDevices Supported: TMS320C4x
Features and Benefits
•• Up to 2 MBytes fast SRAM for high-speed processingUp to 2 MBytes fast SRAM for high-speed processing•• Up to 16-MBytes DRAM - can store 64 framesUp to 16-MBytes DRAM - can store 64 frames•• VRAM overlay for text and cursorsVRAM overlay for text and cursors•• Comprehensive software supportComprehensive software support
Product Description
The MDC40IM allows connection of up to four video sources, including The MDC40IM allows connection of up to four video sources, including CCIR or RS-170 format. A bypassable on-board chrominance filter enables CCIR or RS-170 format. A bypassable on-board chrominance filter enables capture of PAL or NTSC color composite signals. An input look-up table capture of PAL or NTSC color composite signals. An input look-up table (LUT) allows the user to program nonlinear operations such as contrast (LUT) allows the user to program nonlinear operations such as contrast enhancement.enhancement.
The module’s ADC can digitize up to a 512 The module’s ADC can digitize up to a 512 × 512-pixel monochrome 512-pixel monochrome image and can be programmed to grab and display nonstandard line lengths image and can be programmed to grab and display nonstandard line lengths with the option of interlaced or noninterlaced inputs and outputs. A 4-bit with the option of interlaced or noninterlaced inputs and outputs. A 4-bit overlay VRAM allows text and cursors to be added over the image nonde-overlay VRAM allows text and cursors to be added over the image nonde-structively. An area of bulk DRAM (4 MBytes or 16 MBytes) allows the fur-structively. An area of bulk DRAM (4 MBytes or 16 MBytes) allows the fur-ther storage of up to 64 monochrome images. VRAM and DRAM is ther storage of up to 64 monochrome images. VRAM and DRAM is hardware refreshed.hardware refreshed.
The module is equipped with RGB and sync outputs to drive a separate The module is equipped with RGB and sync outputs to drive a separate video monitor and a triple-output LUT allows pseudo-color operations to be video monitor and a triple-output LUT allows pseudo-color operations to be programmed.programmed.
MCD40IC and MDC40IMMCD40IC and MDC40IMimage-processing modulesimage-processing modules
3-233
Loughborough Sound Images plc
Development Hardware/TIM Module
Product Name: MDC40IC Color Image-Processing ModulePlatforms Supported: Not platform specificDevices Supported: TMS320C4x
Features and Benefits
•• TIM-40 compatible 24-bit color frame grabber and display driverTIM-40 compatible 24-bit color frame grabber and display driver•• Up to 2-MBytes fast SRAM for high-speed processingUp to 2-MBytes fast SRAM for high-speed processing•• Up to 16-MBytes DRAM can store 16 framesUp to 16-MBytes DRAM can store 16 frames•• VRAM overlay for text and cursorsVRAM overlay for text and cursors•• Comprehensive software supportComprehensive software support
Product Description
The MDC40IC allows the user to digitize from a variety of RGB video The MDC40IC allows the user to digitize from a variety of RGB video sources including CCIR and RS-170—the result being a 24-bit true color sources including CCIR and RS-170—the result being a 24-bit true color image.image.
The module’s ADC can digitize up to a 512 The module’s ADC can digitize up to a 512 × 512-pixel monochrome 512-pixel monochrome image and can be programmed to grab and display nonstandard line lengths image and can be programmed to grab and display nonstandard line lengths with the option of interlaced or noninterlaced inputs and outputs. A 4-bit with the option of interlaced or noninterlaced inputs and outputs. A 4-bit overlay VRAM allows text and cursors to be added over the image nonde-overlay VRAM allows text and cursors to be added over the image nonde-structively. An area of bulk DRAM (4 MBytes or 16 MBytes) allows the fur-structively. An area of bulk DRAM (4 MBytes or 16 MBytes) allows the fur-ther storage of up to 16 uncompressed color images. VRAM and DRAM is ther storage of up to 16 uncompressed color images. VRAM and DRAM is hardware refreshed.hardware refreshed.
The module is equipped with RGB and sync outputs to drive a separate The module is equipped with RGB and sync outputs to drive a separate video monitor and a triple look-up table (LUT) is fitted to the output allow-video monitor and a triple look-up table (LUT) is fitted to the output allow-ing for color space manipulation.ing for color space manipulation.
3-234
Loughborough Sound Images plc
Development Hardware/TIM Module
Product Name: MDC40IL Image-Processing Module with Line-Scan Camera InterfacePlatforms Supported: Not platform specificDevices Supported: TMS320C4x
Features and Benefits
•• TIM-40-compatible 8-bit analog/digital line-scan camera interfaceTIM-40-compatible 8-bit analog/digital line-scan camera interface•• Flexible SRAM/DRAM memory architectureFlexible SRAM/DRAM memory architecture•• SARAM for no-delay access to digitize image dataSARAM for no-delay access to digitize image data•• Comprehensive software supportComprehensive software support
Product Description
MDC401L is a high-speed mono/color image-processing engine comprising MDC401L is a high-speed mono/color image-processing engine comprising a TMS320C40 digital signal processor, program and image memory, and a TMS320C40 digital signal processor, program and image memory, and multiple digitizers fitted in a dual-width TIM-40-compatible format suitable multiple digitizers fitted in a dual-width TIM-40-compatible format suitable for use with line-scan cameras. The speed and flexibility of the module for use with line-scan cameras. The speed and flexibility of the module make it ideal for use in applications where the subject is moving or where a make it ideal for use in applications where the subject is moving or where a high-resolution image is required.high-resolution image is required.
The MDC401L enables the user to digitize image data from a wide The MDC401L enables the user to digitize image data from a wide range of manufacturers’ line-scan cameras that support either analog, TTL range of manufacturers’ line-scan cameras that support either analog, TTL digital, or RS-422 differential digital formats with line lengths of up to 8,192 digital, or RS-422 differential digital formats with line lengths of up to 8,192 pixels (or 16,384 pixels in special modes). The module can digitize up to pixels (or 16,384 pixels in special modes). The module can digitize up to four analog line-scan cameras synchronously ensuring compatibility with four analog line-scan cameras synchronously ensuring compatibility with the latest RGB color line-scan camera ranges.the latest RGB color line-scan camera ranges.
Image storage is achieved using SARAM devices allowing fast access to Image storage is achieved using SARAM devices allowing fast access to image data once digitized. Program and data memory is based around a image data once digitized. Program and data memory is based around a flexible combination of 128-kwords zero-wait-state SRAM and 1-Mword flexible combination of 128-kwords zero-wait-state SRAM and 1-Mword fast-page-mode DRAM.fast-page-mode DRAM.
3-235
Loughborough Sound Images plc
Development Hardware/TIM Module
Product Name: MDC40X Communications ModulesPlatforms Supported: Not platform specificDevices Supported: TMS320C4x
Features and Benefits
•• TMS320C40 processorTMS320C40 processor•• Bi-directional fiber-optic or coax interfaceBi-directional fiber-optic or coax interface•• 512 k 512 k × 32-word zero-wait-state SRAM transmit and receive buffers 32-word zero-wait-state SRAM transmit and receive buffers•• 512 k 512 k × 32 word zero-wait-state shared SRAM on global bus 32 word zero-wait-state shared SRAM on global bus•• Six 20-Mbps communication parts brought off-moduleSix 20-Mbps communication parts brought off-module
Product Description
The MDC40X series of double-width TIM-40-compliant modules extends The MDC40X series of double-width TIM-40-compliant modules extends the inter-module distances achievable with TMS320C40-based processing the inter-module distances achievable with TMS320C40-based processing networks by providing a bi-directional fiber-optic or coax/twisted-pair networks by providing a bi-directional fiber-optic or coax/twisted-pair TAXI (interface to the on-module ’C40’s local bus.TAXI (interface to the on-module ’C40’s local bus.
Using LSI’s MDC40X module, you can construct large TMS320C40 net-Using LSI’s MDC40X module, you can construct large TMS320C40 net-works with distances of up to 3 km between processing nodes. The trans-works with distances of up to 3 km between processing nodes. The trans-parent asynchronous transmitter receiver interface (TAXI) supports data parent asynchronous transmitter receiver interface (TAXI) supports data transfer rates of up to 17.5 Mbps over shielded-twisted pair or coaxial transfer rates of up to 17.5 Mbps over shielded-twisted pair or coaxial cable. Alternatively, when fitted with fiber-optic transmitter/receiver cable. Alternatively, when fitted with fiber-optic transmitter/receiver devices, data rates of up to 12.5 Mbps are achievable. The interface can be devices, data rates of up to 12.5 Mbps are achievable. The interface can be accessed transparently through simple DMA operations or read/write accessed transparently through simple DMA operations or read/write cycles on the TMS320C40 local bus.cycles on the TMS320C40 local bus.
3-236
Loughborough Sound Images plc
Development Hardware/Plug-In VMEbus Board
Product Name: DBV44 Quad-Module Board with VMEbus Slave InterfacePlatforms Supported: VMEbusDevices Supported: TMS320C4x
Features and Benefits
•• 6U VMEbus board with slave interface6U VMEbus board with slave interface•• Supports up to four TIM-40-compliant TMS320C4x modulesSupports up to four TIM-40-compliant TMS320C4x modules•• 12 uncommitted buffered communication ports for system expansion12 uncommitted buffered communication ports for system expansion•• Real-time I/O expansion via dBeX32 interfaceReal-time I/O expansion via dBeX32 interface•• Modular VMEbus P2 interface for system expansionModular VMEbus P2 interface for system expansion
Product Description
The DBV44 is designed to be able to closely match the requirements of the The DBV44 is designed to be able to closely match the requirements of the OEM user by supporting a broad range of system-level interfaces and by OEM user by supporting a broad range of system-level interfaces and by providing a high degree of customization. The board provides from one to providing a high degree of customization. The board provides from one to four processing modules; 12 uncommitted, buffered, external communica-four processing modules; 12 uncommitted, buffered, external communica-tion ports; a real-time I/O expansion bus; an IEEE 1149.1 emulation port; tion ports; a real-time I/O expansion bus; an IEEE 1149.1 emulation port; application-specific ports; and a VMEbus slave interface.application-specific ports; and a VMEbus slave interface.
Each of the DBV44’s four TMS320C4x module sites has access to six Each of the DBV44’s four TMS320C4x module sites has access to six TMS320C4x communication ports. A highly versatile, high-performance, TMS320C4x communication ports. A highly versatile, high-performance, multi-processing architecture is provided through a combination of pre-multi-processing architecture is provided through a combination of pre-defined and user-defined link interconnects.defined and user-defined link interconnects.
3-237
Loughborough Sound Images plc
Development Hardware/Plug-In VMEbus Board
Product Name: DBV42 Modular TMS320C4x Board with Shared Memory and VMEbus Master/Slave Interface
Platforms Supported: VMEbusDevices Supported: TMS320C4x
Features and Benefits
•• 6U VMEbus board with master/slave interface6U VMEbus board with master/slave interface•• Shared SRAM and DRAM accessible by both modules and VMEbusShared SRAM and DRAM accessible by both modules and VMEbus•• Eight uncommitted buffered communication ports for system expan-Eight uncommitted buffered communication ports for system expan-
sionsion•• Real-time I/O expansion via dBeX32 interfaceReal-time I/O expansion via dBeX32 interface•• Modular VMEbus P2 interface for further system expansionModular VMEbus P2 interface for further system expansion
Product Description
Designed to serve as either a powerful standalone signal-processing system Designed to serve as either a powerful standalone signal-processing system or as an I/O-intensive node within larger multi-processing configurations, or as an I/O-intensive node within larger multi-processing configurations, DBV42 accommodates two TIM-40-compliant modules and provides large-DBV42 accommodates two TIM-40-compliant modules and provides large-capacity shared memory, accessible from either module and from the VME-capacity shared memory, accessible from either module and from the VME-bus.bus.
Four of the six TMS320C4x communication ports from each module Four of the six TMS320C4x communication ports from each module site are buffered and made available to the user at latching front-panel con-site are buffered and made available to the user at latching front-panel con-nectors. Optionally, four of the eight can be routed to the VMEbus P2 con-nectors. Optionally, four of the eight can be routed to the VMEbus P2 con-nector for inter-board communications via the backplane.nector for inter-board communications via the backplane.
Multiprocessor systems can be formed by inter-connecting several Multiprocessor systems can be formed by inter-connecting several DBV42s, along with the high-performance four-site DBV44 board which has DBV42s, along with the high-performance four-site DBV44 board which has been optimized to provide high-density processor nodes.been optimized to provide high-density processor nodes.
Each module site also has communication port access from the VME-Each module site also has communication port access from the VME-bus via a link interface adapter. This enables each module to be directly bus via a link interface adapter. This enables each module to be directly accessed by any other VME board without relying on message passing or accessed by any other VME board without relying on message passing or the potential bottleneck of shared memory. The DBV42’s full master/slave the potential bottleneck of shared memory. The DBV42’s full master/slave interface often removes the need for a separate VMEbus controller.interface often removes the need for a separate VMEbus controller.
3-238
Loughborough Sound Images plc
Development Hardware/Plug-In VMEbus Board
Product Name: DBV46 Quad-Module Board with VMEbus with Master/Slave InterfacePlatforms Supported: VMEbusDevices Supported: TMS320C4x
Features and Benefits
•• VME64 master/slave interfaceVME64 master/slave interface•• Shared memory accessible by all TMS320C40s and VMEbusShared memory accessible by all TMS320C40s and VMEbus•• Two on-board TMS320C40s and capacity for two TIM-40 ModulesTwo on-board TMS320C40s and capacity for two TIM-40 Modules•• 12 uncommitted communication ports for system expansion available 12 uncommitted communication ports for system expansion available
on front panelon front panel•• Real-time I/O expansion via dBeX32 plug-on moduleReal-time I/O expansion via dBeX32 plug-on module
Product Description
The DBV46 is a 6U VME64 carrier board allowing a quad TMS320C40, The DBV46 is a 6U VME64 carrier board allowing a quad TMS320C40, shared-memory architecture to be implemented on a single 6U VME board. shared-memory architecture to be implemented on a single 6U VME board. The carrier board itself contains two on-board TMS320C40 processing The carrier board itself contains two on-board TMS320C40 processing nodes with a capacity for two additional TMS320C4x processing nodes to nodes with a capacity for two additional TMS320C4x processing nodes to be added utilizing TIM-40 Modules. In addition to local SRAM, the proces-be added utilizing TIM-40 Modules. In addition to local SRAM, the proces-sors may access shared resources, including DRAM, EPROM, and UART, sors may access shared resources, including DRAM, EPROM, and UART, via a shared bus.via a shared bus.
Real-time I/O is handled by a mezzanine module that allows connection Real-time I/O is handled by a mezzanine module that allows connection to a variety of analog and digital functions via the dBeX expansion bus.to a variety of analog and digital functions via the dBeX expansion bus.
3-239
Loughborough Sound Images plc
Development Hardware/Plug-In VMEbus Board
Product Name: DBV41Platforms Supported: VMEbusDevices Supported: TMS320C4x
Features and Benefits
•• Four analog daughter-module sitesFour analog daughter-module sites•• 50-MHz TMS320C44 processor50-MHz TMS320C44 processor•• Four communication ports to the front panel/P2Four communication ports to the front panel/P2•• Shared SRAM between the TMS320C44 and the VMEbusShared SRAM between the TMS320C44 and the VMEbus•• JTAG on the front panelJTAG on the front panel•• Host drivers for embedded PC and SPARCHost drivers for embedded PC and SPARC
Product Description
This carrier board offers a cost-effective solution to multiple channel I/O This carrier board offers a cost-effective solution to multiple channel I/O applications utilizing VMEbus. The DBV41 has four analog daughter-mod-applications utilizing VMEbus. The DBV41 has four analog daughter-mod-ule sites, shared SRAM, and an on-board 50-MHz TMS320C44 DSP.ule sites, shared SRAM, and an on-board 50-MHz TMS320C44 DSP.
The global SRAM can be supplied either as a block of 0.5-MBytes zero-The global SRAM can be supplied either as a block of 0.5-MBytes zero-wait-state or as 2 MBytes zero-wait-state and is shared between the proces-wait-state or as 2 MBytes zero-wait-state and is shared between the proces-sor and the VMEbus. Local SRAM can also be supplied as 0.5-MByte zero-sor and the VMEbus. Local SRAM can also be supplied as 0.5-MByte zero-wait-state or 2-MBytes zero-wait-state as required.wait-state or 2-MBytes zero-wait-state as required.
The modular nature of this product allows it to be used as a standalone The modular nature of this product allows it to be used as a standalone DSP solution or as an intelligent I/O node in multiple-processor environ-DSP solution or as an intelligent I/O node in multiple-processor environ-ments. The four communication ports are routed to the front panel and to ments. The four communication ports are routed to the front panel and to the P2 connector to provide communication port I/O for TMS320C44 clus-the P2 connector to provide communication port I/O for TMS320C44 clus-ters. The analog daughter module sites also allow access to LSI’s range of ters. The analog daughter module sites also allow access to LSI’s range of analog and digital I/O.analog and digital I/O.
3-240
Loughborough Sound Images plc
Development Hardware/Plug-In ISA Board
Product Name: QPC/C40S TMS320C4x Parallel-Processing SystemPlatforms Supported: PCDevices Supported: TMS320C4x
Features and Benefits
•• Four TIM-40 Module-compatible sitesFour TIM-40 Module-compatible sites•• Up to 512 kBytes of one-wait-state memory shared between module Up to 512 kBytes of one-wait-state memory shared between module
sitessites•• PC interface using JTAG, comm ports, and dual-port SRAM PC interface using JTAG, comm ports, and dual-port SRAM
DSP~LINK2 32-bit parallel expansion busDSP~LINK2 32-bit parallel expansion bus•• Comprehensive multi-processor support availableComprehensive multi-processor support available
Product Description
The QPC/C40S has four TIM-40 modules offering a flexible multiprocessor The QPC/C40S has four TIM-40 modules offering a flexible multiprocessor platform while retaining the key features of shared memory and versatile platform while retaining the key features of shared memory and versatile host interfaces. Communication-port interconnection between module host interfaces. Communication-port interconnection between module sites allows high-speed data transfers. Site A acts as an I/O node, with max-sites allows high-speed data transfers. Site A acts as an I/O node, with max-imum communication port and global bus I/O connectivity. One of its com-imum communication port and global bus I/O connectivity. One of its com-munication ports is I/O mapped into the host PC to provide a data-transfer munication ports is I/O mapped into the host PC to provide a data-transfer link between the PC and QPC/C40S. This provides the QPC/C40S with link between the PC and QPC/C40S. This provides the QPC/C40S with alternative host interfacing to enable efficient host communication across a alternative host interfacing to enable efficient host communication across a wide range of applications.wide range of applications.
Shared memory is ideal for passing small data packets between proces-Shared memory is ideal for passing small data packets between proces-sors or storing global variables. Access to this is controlled by an arbiter sors or storing global variables. Access to this is controlled by an arbiter which operates on a round-robin basis, including a LOCK instruction which operates on a round-robin basis, including a LOCK instruction whereby a single module can gain exclusive access.whereby a single module can gain exclusive access.
A wide variety of analog and digital I/O is available on DSP~LINK, A wide variety of analog and digital I/O is available on DSP~LINK, opening the way to applications which require sophisticated telecommuni-opening the way to applications which require sophisticated telecommuni-cations and control capabilities.cations and control capabilities.
3-241
Loughborough Sound Images plc
Development Hardware/Plug-In ISA Board
Product Name: OPC/C40B Quad-Module TMS320C4x Parallel DSP and Parallel-Processing Board
Platforms Supported: PCDevices Supported: TMS320C4x
Features and Benefits
•• Up to four TMS320C4x TIM-40-compatible modulesUp to four TMS320C4x TIM-40-compatible modules•• PC interface for each processor modulePC interface for each processor module•• IEEE Std. 1149.1 boundary-scan and debug application softwareIEEE Std. 1149.1 boundary-scan and debug application software•• Application-specific signal connectors on each module siteApplication-specific signal connectors on each module site•• Wide range of parallel and multi-processor software development toolsWide range of parallel and multi-processor software development tools
Product Description
The QPC/C40B is a PC/AT-compatible plug-in board for parallel DSP and The QPC/C40B is a PC/AT-compatible plug-in board for parallel DSP and parallel-processing application development and implementation. The parallel-processing application development and implementation. The board can be configured with up to four single-width TMS320C40 proces-board can be configured with up to four single-width TMS320C40 proces-sor nodes as required.sor nodes as required.
Two TMS320C4x 20-Mbps communication ports from each of the four Two TMS320C4x 20-Mbps communication ports from each of the four module sites are dedicated to module interconnection in a ring topology. module sites are dedicated to module interconnection in a ring topology. The ring can be accessed via two headers, which allows for extended pro-The ring can be accessed via two headers, which allows for extended pro-cessor networks. Each of the remaining four communication ports from cessor networks. Each of the remaining four communication ports from each site not being used for PC interfacing, is accessible via a header, either each site not being used for PC interfacing, is accessible via a header, either on the edge of the carrier boards on its end plate. This allows for additional on the edge of the carrier boards on its end plate. This allows for additional on- or off-board processor interconnections.on- or off-board processor interconnections.
A PC/AT-compatible I/O-mapped interface is provided between one A PC/AT-compatible I/O-mapped interface is provided between one TMS320C4x communication port per site and the PC system. This allows TMS320C4x communication port per site and the PC system. This allows direct host communication with any processor in the array. Any of the direct host communication with any processor in the array. Any of the ports interfaced with the PC are alternatively made available via headers ports interfaced with the PC are alternatively made available via headers for multiprocessor communications as described above.for multiprocessor communications as described above.
The 32-bit, 33-Mbps DSP~LINK2 interface allows off-board peripheral The 32-bit, 33-Mbps DSP~LINK2 interface allows off-board peripheral I/O expansion from a range of multi-channel analog and digital I/O interface I/O expansion from a range of multi-channel analog and digital I/O interface boards.boards.
3-242
Loughborough Sound Images plc
Development Hardware/Plug-In ISA Board
Product Name: DPC/C40B Dual-Module TMS320C4x Parallel-Processing BoardPlatforms Supported: PCDevices Supported: TMS320C4x
Features and Benefits
•• Up to two TMS320C4x TIM-40-compatible processor modulesUp to two TMS320C4x TIM-40-compatible processor modules•• Up to 2.5-MBytes of global-memory expansionUp to 2.5-MBytes of global-memory expansion•• Up to 32-kBytes fast dual-port memory interface to the host PCUp to 32-kBytes fast dual-port memory interface to the host PC•• Daughter module sites allow use of on-board real-time application-spe-Daughter module sites allow use of on-board real-time application-spe-
cific I/Ocific I/O•• IEEE Std. 1149.1 boundary-scan multi-processor debug compatibility IEEE Std. 1149.1 boundary-scan multi-processor debug compatibility
and debug application softwareand debug application software
Product Description
The DPC/C40B can be configured with one or two TMS320C4x processor The DPC/C40B can be configured with one or two TMS320C4x processor modules. The DPC/C40B provides global memory expansion for the pri-modules. The DPC/C40B provides global memory expansion for the pri-mary modules on the board. The maximum configuration is 640 k mary modules on the board. The maximum configuration is 640 k × 32-bit 32-bit words of SRAM, or 2.5 MBytes. A dual-port memory interface between the words of SRAM, or 2.5 MBytes. A dual-port memory interface between the DPC/C40B and the host PC allows high-speed data transfers. This is fitted DPC/C40B and the host PC allows high-speed data transfers. This is fitted with 4 K with 4 K × 32-bit words of one-wait-state memory and may be upgraded to 32-bit words of one-wait-state memory and may be upgraded to 8 kwords. An IEEE Std. 1149.1 test-bus controller provides scan-path 8 kwords. An IEEE Std. 1149.1 test-bus controller provides scan-path debug facilities for TMS320C4x networks with no additional hardware debug facilities for TMS320C4x networks with no additional hardware required. Analog and digital I/O is provided by the daughter-module site required. Analog and digital I/O is provided by the daughter-module site and the LSI DSP~LINK interface, giving configurable input and output. The and the LSI DSP~LINK interface, giving configurable input and output. The daughter module is mounted directly on the DPC/C40B and conforms to daughter module is mounted directly on the DPC/C40B and conforms to the single slat height requirement of the PC. The DSP~LINK interface the single slat height requirement of the PC. The DSP~LINK interface allows off-board expansion from a range of readily available boards. The allows off-board expansion from a range of readily available boards. The DSP~LINK specification is also published, allowing a custom interface to be DSP~LINK specification is also published, allowing a custom interface to be designed easily.designed easily.
3-243
Loughborough Sound Images plc
Development Hardware/Plug-In ISA Board
Product Name: PC/C40EVM TMS320C40 Evaluation and Development BoardPlatforms Supported: PCDevices Supported: TMS320C4x
Features and Benefits
•• Low-cost TMS320C40 processor boardLow-cost TMS320C40 processor board•• Supported by all major TMS320C40 software development toolsSupported by all major TMS320C40 software development tools•• Comprehensive range of real-time I/O options availableComprehensive range of real-time I/O options available•• Easy multi-processor interconnection using TMS320C40 comm portsEasy multi-processor interconnection using TMS320C40 comm ports•• Software compatible with LSI’s modular TMS320C40 product rangeSoftware compatible with LSI’s modular TMS320C40 product range
Product Description
PC/C40EVM is a low-cost evaluation and development PC/AT board for the PC/C40EVM is a low-cost evaluation and development PC/AT board for the Texas Instrument TMS320C40 parallel DSP.Texas Instrument TMS320C40 parallel DSP.
A bank of 32 k A bank of 32 k × 32 words of fast zero-wait-state SRAM is mapped off 32 words of fast zero-wait-state SRAM is mapped off each of the TMS320C40 local buses, which allows extension of the DSP’s each of the TMS320C40 local buses, which allows extension of the DSP’s Harvard architecture off-chip for maximum performance. A bank of 32 k Harvard architecture off-chip for maximum performance. A bank of 32 k × 8-word EPROM is also provided on the TMS320C40 local bus. Normally, 8-word EPROM is also provided on the TMS320C40 local bus. Normally, this EPROM is programmed with the identity and configuration information this EPROM is programmed with the identity and configuration information required for TIM-40 compatibility. Standalone or embedded operation is required for TIM-40 compatibility. Standalone or embedded operation is also possible, as the TMS320C40 can boot both from its communication also possible, as the TMS320C40 can boot both from its communication ports and from EPROM.ports and from EPROM.
LSI’s standard DSP~LINK2 connector is memory-mapped off the LSI’s standard DSP~LINK2 connector is memory-mapped off the TMS320C40 global bus. This connector is an open-standard expansion bus TMS320C40 global bus. This connector is an open-standard expansion bus for LSI’s range of off-the-shelf PC plug-in I/O subsystems. Two of the for LSI’s range of off-the-shelf PC plug-in I/O subsystems. Two of the TMS320C40’s 20-Mbps communication ports are buffered and brought out TMS320C40’s 20-Mbps communication ports are buffered and brought out to headers on the board edge. These communication ports can be used to to headers on the board edge. These communication ports can be used to build multiprocessing network topologies, by connecting to more build multiprocessing network topologies, by connecting to more PC/C40EVM boards or other LSI TMS320C40 carrier boards.PC/C40EVM boards or other LSI TMS320C40 carrier boards.
The PC/C40EVM maps one of the TMS320C40 communication ports The PC/C40EVM maps one of the TMS320C40 communication ports into the PC I/O space, which provides a full bi-directional ISA interface for into the PC I/O space, which provides a full bi-directional ISA interface for the TMS320C40. An on-board IEEE Std. 1149.1 test-bus controller provides the TMS320C40. An on-board IEEE Std. 1149.1 test-bus controller provides a non-intrusive debug facility. No additional hardware is needed to debug a non-intrusive debug facility. No additional hardware is needed to debug TMS320C40 applications.TMS320C40 applications.
3-244
Loughborough Sound Images plc
Development Hardware/TIM Module
Product Name: MDC44S Parallel DSP ModulePlatforms Supported: Not platform specificDevices Supported: TMS320C4x
Features and Benefits
•• Single TMS320C44Single TMS320C44•• Up to 2048 Up to 2048 × 32 zero-wait-state SRAM 32 zero-wait-state SRAM•• Single-width TIM-40 Module formatSingle-width TIM-40 Module format•• Four 20-Mbps parallel comm ports brought off-moduleFour 20-Mbps parallel comm ports brought off-module•• Global expansion bus connectorGlobal expansion bus connector
Product Description
On-module memory consists of four separate banks of 32-bit-wide SRAM, On-module memory consists of four separate banks of 32-bit-wide SRAM, two on the TMS320C44’s local bus and two on the global bus. Each bank two on the TMS320C44’s local bus and two on the global bus. Each bank can contain 128 k can contain 128 k × 32 or 512 k 32 or 512 k × 32 zero-wait-state SRAM. Two variants of 32 zero-wait-state SRAM. Two variants of the MDC44S are therefore available, offering 512 k the MDC44S are therefore available, offering 512 k × 32 zero-wait-state 32 zero-wait-state SRAM and 2048 k SRAM and 2048 k × 32 zero-wait-state SRAM respectively. The module 32 zero-wait-state SRAM respectively. The module incorporates a 32-bit global-memory expansion interface, through which it incorporates a 32-bit global-memory expansion interface, through which it is possible to expand the memory and I/O capabilities off-module.is possible to expand the memory and I/O capabilities off-module.
A key feature of the module is its ability to run independently from a A key feature of the module is its ability to run independently from a host by booting from the on-module ROM. The ROM also allows multi-pro-host by booting from the on-module ROM. The ROM also allows multi-pro-cessing operating systems to identify the module and its processing and/or cessing operating systems to identify the module and its processing and/or memory capabilities. The module uses EEPROM that provides facilities for memory capabilities. The module uses EEPROM that provides facilities for programming from the TMS320C44 and which is ideal for storing system-programming from the TMS320C44 and which is ideal for storing system-configuration information. MDC44S can be used to form the heart of many configuration information. MDC44S can be used to form the heart of many systems built using LSI’s carrier boards and I/O peripherals. Its memory systems built using LSI’s carrier boards and I/O peripherals. Its memory capacity and speed make it suitable for most general-purpose and signal-capacity and speed make it suitable for most general-purpose and signal-processing tasks, while the diversity of TMS320C4x processing modules processing tasks, while the diversity of TMS320C4x processing modules available from LSI makes it easy to plug together an optimized solution.available from LSI makes it easy to plug together an optimized solution.
3-245
Loughborough Sound Images plc
Development Hardware/TIM Module
Product Name: MDC44T Twin-Processor Parallel DSP ModulePlatforms Supported: Not platform specificDevices Supported: TMS320C4x
Features and Benefits
•• Two TMS320C44 processorsTwo TMS320C44 processors•• Up to 1048 Up to 1048 × 32 zero-wait-state SRAM per processor 32 zero-wait-state SRAM per processor•• Single-width TIM-40 ModuleSingle-width TIM-40 Module•• Four 20-Mbps comm ports per processorFour 20-Mbps comm ports per processor•• Six 20-Mbps comm ports routed off-moduleSix 20-Mbps comm ports routed off-module
Product Description
The MDC44T provides two TMS320C44 DSPs that deliver unprecedented The MDC44T provides two TMS320C44 DSPs that deliver unprecedented performance from a single-width TIM-40 Module and is ideally suited for performance from a single-width TIM-40 Module and is ideally suited for use in applications requiring maximum processor density with small, fast use in applications requiring maximum processor density with small, fast local memory stores.local memory stores.
One of the four 20-Mbps communication ports on each of the One of the four 20-Mbps communication ports on each of the TMS320C44s are interconnected. This allows high-bandwidth communica-TMS320C44s are interconnected. This allows high-bandwidth communica-tion between the two processors. The remaining three ports from each pro-tion between the two processors. The remaining three ports from each pro-cessor are routed off-module via the top and bottom connectors.cessor are routed off-module via the top and bottom connectors.
Each of the processors has access to the local and global banks of Each of the processors has access to the local and global banks of memory which are either 128 k memory which are either 128 k × 32 words or 512 k 32 words or 512 k × 32 words depending 32 words depending on requirements. Optimum performance can therefore be achieved while on requirements. Optimum performance can therefore be achieved while access is provided to significant amounts of fast local memory for data and access is provided to significant amounts of fast local memory for data and programs.programs.
As with other TMS320C4x modules from LSI, the MDC44T is able to As with other TMS320C4x modules from LSI, the MDC44T is able to run independently from the host by booting from on its on-module EPROM. run independently from the host by booting from on its on-module EPROM. The ROM also allows multiple-processing operating systems to identify the The ROM also allows multiple-processing operating systems to identify the module and its functionality for dynamic-load sharing.module and its functionality for dynamic-load sharing.
3-246
Loughborough Sound Images plc
Development Hardware/Plug-In PCI Board
Product Name: PCI/C44 TMS320C4x Parallel DSP Processing SystemPlatforms Supported: PCIDevices Supported: TMS320C4x
Features and Benefits
•• Two or four TMS320C44 with TIM-40-compatible PEROMTwo or four TMS320C44 with TIM-40-compatible PEROM•• Up to 1024 k Up to 1024 k × 32 zero-wait-state SRAM per processor 32 zero-wait-state SRAM per processor•• Up to 512 kBytes zero-wait-state SRAM shared between processors Up to 512 kBytes zero-wait-state SRAM shared between processors
132-Mbps peak transfer rate from 32-bit PCI Local Bus132-Mbps peak transfer rate from 32-bit PCI Local Bus•• Comprehensive multi-processor support availableComprehensive multi-processor support available
Product Description
The PCI/C44 provides shared access for any processor to both the PCI The PCI/C44 provides shared access for any processor to both the PCI Local Bus interface and shared SRAM. Offering a high-speed I/O bus, the Local Bus interface and shared SRAM. Offering a high-speed I/O bus, the PCI Local Bus is ideally complemented by the provision of shared memory PCI Local Bus is ideally complemented by the provision of shared memory which is ideal for the passing of small data packets between processors or which is ideal for the passing of small data packets between processors or for storing global system variables. Larger inter-processor transfers may be for storing global system variables. Larger inter-processor transfers may be efficiently completed using the TMS320C4x communications ports.efficiently completed using the TMS320C4x communications ports.
Access to both SRAM and the PCI Local Bus is arbiter controlled on a Access to both SRAM and the PCI Local Bus is arbiter controlled on a round-robin basis, including a LOCK instruction whereby a single processor round-robin basis, including a LOCK instruction whereby a single processor can gain exclusive control.can gain exclusive control.
A wide variety of analog and digital I/O is available on the standard par-A wide variety of analog and digital I/O is available on the standard par-allel expansion port of DSP~LINK, opening the way to a wide variety of allel expansion port of DSP~LINK, opening the way to a wide variety of focused applications. Additionally, the high-speed PCI interface allows data focused applications. Additionally, the high-speed PCI interface allows data to be transferred at rates to meet the requirements of high-performance to be transferred at rates to meet the requirements of high-performance applications.applications.
3-247
Loughborough Sound Images plc
Development Hardware/Plug-In ISA Board
Product Name: PC/C32 TMS320C32 Real-Time SystemsPlatforms Supported: PCDevices Supported: TMS320C3x
Features and Benefits
•• TMS320C32 DSP memory options from 32 k TMS320C32 DSP memory options from 32 k × 16 to 256 k 16 to 256 k × 32 zero- 32 zero-wait-state SRAMwait-state SRAM
•• 16-bit PC interface using DPRAM16-bit PC interface using DPRAM•• LSI daughter module sites for flexible on-board I/OLSI daughter module sites for flexible on-board I/O•• DSP~LINK1/2 interface for further I/O system expansionDSP~LINK1/2 interface for further I/O system expansion•• Boot EPROM for standalone operationBoot EPROM for standalone operation
Product Description
LSI’s PC/C32 product range realizes the potential of the TMS320C32 by LSI’s PC/C32 product range realizes the potential of the TMS320C32 by delivering the time-to-market and ease-of-use advantages of an off-the-delivering the time-to-market and ease-of-use advantages of an off-the-shelf floating-point DSP system at the cost of a fixed-point DSP system. shelf floating-point DSP system at the cost of a fixed-point DSP system. The design also offers a high degree of flexibility in its configuration, offer-The design also offers a high degree of flexibility in its configuration, offer-ing a significant build-down capability for OEMs. The PC/C32 has been ing a significant build-down capability for OEMs. The PC/C32 has been specified and designed with high-volume production in mind.specified and designed with high-volume production in mind.
The PC/C32 is a PC/AT half-length board with up to 256 k The PC/C32 is a PC/AT half-length board with up to 256 k × 32 zero- 32 zero-wait-state SRAM, plus flexible memory options that allow for partial de-wait-state SRAM, plus flexible memory options that allow for partial de-population of both memory size and width. The minimum PC/C32 memory population of both memory size and width. The minimum PC/C32 memory configuration is 32 k configuration is 32 k × 16 zero-wait-state SRAM. Additionally, there are two 16 zero-wait-state SRAM. Additionally, there are two sites for LSI’s range of I/O daughter modules, offering a flexible off-the-sites for LSI’s range of I/O daughter modules, offering a flexible off-the-shelf I/O capability to address most DSP applications with a single-slot PC shelf I/O capability to address most DSP applications with a single-slot PC plug-in board implementation. The board is designed for easy installation, plug-in board implementation. The board is designed for easy installation, having no physical links to set.having no physical links to set.
Extensive software support is provided for the PC/C32, including MS-Extensive software support is provided for the PC/C32, including MS-DOS and Windows DLL Host Libraries, a Windows C Source Debugger, C+ DOS and Windows DLL Host Libraries, a Windows C Source Debugger, C+ and C DSP cross-compiler tools, plus support from a wide range of DSP and C DSP cross-compiler tools, plus support from a wide range of DSP operating system and other application software packages.operating system and other application software packages.
3-248
Loughborough Sound Images plc
Development Hardware/Plug-In VMEbus Board
Product Name: DBV31A TMS320C31 VMEbus Processor with Flexible I/OPlatforms Supported: VMEbusDevices Supported: TMS320C3x
Features and Benefits
•• TMS320C31 floating-point DSPTMS320C31 floating-point DSP•• Dual serial interfaces for inter-processor and peripheral communica-Dual serial interfaces for inter-processor and peripheral communica-
tions VMEbus A24:Dl6 slave interface with host-interface porttions VMEbus A24:Dl6 slave interface with host-interface port•• Up to 128-kword, zero-wait-state SRAM with access from VMEUp to 128-kword, zero-wait-state SRAM with access from VME•• 512 kBytes EPROM to suit all embedded or VME applications512 kBytes EPROM to suit all embedded or VME applications
Product Description
Based on the TMS320C31, the DBV31A has a VMEbus slave interface and Based on the TMS320C31, the DBV31A has a VMEbus slave interface and on-board memory can be up to 256 kwords of zero-wait-state SRAM, acces-on-board memory can be up to 256 kwords of zero-wait-state SRAM, acces-sible from the VMEbus. An additional VMEbus accessible 32-bit-wide host sible from the VMEbus. An additional VMEbus accessible 32-bit-wide host port register is included for inter-processor communications. Separate, port register is included for inter-processor communications. Separate, modular daughter boards hold the data acquisition and analog I/O hardware modular daughter boards hold the data acquisition and analog I/O hardware for the system. These daughter boards are mounted in the rack with the for the system. These daughter boards are mounted in the rack with the DBV31A and are connected directly to the peripheral expansion bus, DBV31A and are connected directly to the peripheral expansion bus, dBeX™, avoiding system bus congestion.dBeX™, avoiding system bus congestion.
The DBV31A can be used in 6U or 3U VMEbus systems, the I/O boards The DBV31A can be used in 6U or 3U VMEbus systems, the I/O boards being either below or adjacent to the processor board. It may also be run being either below or adjacent to the processor board. It may also be run standalone in embedded systems with a 5-V only power supply. The LSI standalone in embedded systems with a 5-V only power supply. The LSI range of I/O boards covers 16-bit, 50 kHz up to 12-bit, 10-MHz ADCS, and range of I/O boards covers 16-bit, 50 kHz up to 12-bit, 10-MHz ADCS, and includes AES/EBU interfaces.includes AES/EBU interfaces.
3-249
Loughborough Sound Images plc
Development Hardware/Plug-In ISA Board
Product Name: PC/C31 Real-Time Applications BoardPlatforms Supported: PCDevices Supported: TMS320C3x
Features and Benefits
•• Embedded standalone operation capability using boot EPROMEmbedded standalone operation capability using boot EPROM•• Flexible memory configurations to suit application needsFlexible memory configurations to suit application needs•• Comprehensive modular on-board I/O capabilityComprehensive modular on-board I/O capability•• High-bandwidth serial and parallel expansion ports for off-board I/OHigh-bandwidth serial and parallel expansion ports for off-board I/O
Product Description
Main memory on the PC/C31 is configured into two contiguous areas, Bank Main memory on the PC/C31 is configured into two contiguous areas, Bank 0 and Bank 1. Bank 0 can be fitted with either 32 k 0 and Bank 1. Bank 0 can be fitted with either 32 k × 32 or 128 k 32 or 128 k × 32 words 32 words of zero-wait-state SRAM Bank 1 can be fitted with up to 512 k of zero-wait-state SRAM Bank 1 can be fitted with up to 512 k × 32 words of 32 words of zero, one or two-wait-state SRAM or, for embedded code storage, 512 k zero, one or two-wait-state SRAM or, for embedded code storage, 512 k × 32 words of two wait state EPROM.32 words of two wait state EPROM.
A 32 k A 32 k × 8-word EPROM is accessed by the DSP’s boot loader at every 8-word EPROM is accessed by the DSP’s boot loader at every power-on or reset. This EPROM may be used to control the transfer of power-on or reset. This EPROM may be used to control the transfer of application code from the PC host (via the board’s DRAM) or to immedi-application code from the PC host (via the board’s DRAM) or to immedi-ately execute the code stored in Bank 1 EPROM. This capability allows the ately execute the code stored in Bank 1 EPROM. This capability allows the PC/C31 to be used in embedded standalone real-time applications.PC/C31 to be used in embedded standalone real-time applications.
A fast PC/AT interface is provided for the TMS320C31 using 2 k A fast PC/AT interface is provided for the TMS320C31 using 2 k × 32- 32-word dual-ported SRAM devices mapped into both the DSP and PC/AT word dual-ported SRAM devices mapped into both the DSP and PC/AT host’s memory spaces. Control, status, and semaphore registers are also host’s memory spaces. Control, status, and semaphore registers are also mapped into the PC’s I/O space and interrupts between the TMS320C31 mapped into the PC’s I/O space and interrupts between the TMS320C31 and PC host are supported.and PC host are supported.
Software support includes a comprehensive suite of tools designed to Software support includes a comprehensive suite of tools designed to speed the application development and implementation cycle. Debug tools speed the application development and implementation cycle. Debug tools include full symbolic capability. Code development is via the TI optimizing include full symbolic capability. Code development is via the TI optimizing ANSI C compiler and assembler/linker tools.ANSI C compiler and assembler/linker tools.
3-250
Loughborough Sound Images plc
Development Hardware/Plug-In ISA Board
Product Name: TMS320C30 System BoardPlatforms Supported: PCDevices Supported: TMS320C3x
Features and Benefits
•• 512-kBytes fast-SRAM user-expandable to 1 MBytes512-kBytes fast-SRAM user-expandable to 1 MBytes•• Serial and parallel I/O expansion portsSerial and parallel I/O expansion ports•• Two channels of 16-bit A/D-D/A conversion 4-MBytes DRAM user-Two channels of 16-bit A/D-D/A conversion 4-MBytes DRAM user-
expandable to 16-MBytes 16-kword SRAM cacheexpandable to 16-MBytes 16-kword SRAM cache
Product Description
The TMS320C30 system board is a complete floating-point DSP application The TMS320C30 system board is a complete floating-point DSP application system on a single PC/AT board. It features a full-speed TMS320C30, system on a single PC/AT board. It features a full-speed TMS320C30, 1 MByte memory capacity, buffered expansion ports for serial and parallel 1 MByte memory capacity, buffered expansion ports for serial and parallel communications, 64-MBytes memory expansion connector, a full 16-bit communications, 64-MBytes memory expansion connector, a full 16-bit interrupt driven PC/AT interface and two high-precision 16-bit A/D-D/A interrupt driven PC/AT interface and two high-precision 16-bit A/D-D/A channels.channels.
In addition to the 8 kBytes of dual-access RAM, the system board is In addition to the 8 kBytes of dual-access RAM, the system board is equipped with up to 192 words of zero-wait-state memory. The board uses equipped with up to 192 words of zero-wait-state memory. The board uses a full 16-bit interface that can be accessed by PC I/O instructions. By using a full 16-bit interface that can be accessed by PC I/O instructions. By using debug monitor, interface library or SPOX, the low-level detail of this inter-debug monitor, interface library or SPOX, the low-level detail of this inter-face is completely transparent, enabling data transfers between the PC and face is completely transparent, enabling data transfers between the PC and board to be implemented easily. The interface is sufficiently fast to ensure board to be implemented easily. The interface is sufficiently fast to ensure that transfer rates are limited only by the speed of the PC and disk access that transfer rates are limited only by the speed of the PC and disk access time.time.
3-251
Loughborough Sound Images plc
Development Hardware/Plug-In ISA Board
Product Name: TMS320C30 Processor BoardPlatforms Supported: PCDevices Supported: TMS320C3x
Features and Benefits
•• 33-MFLOPS TMS320C30 digital signal processor33-MFLOPS TMS320C30 digital signal processor•• 512-kBytes SRAM, user-expandable to 768 kBytes512-kBytes SRAM, user-expandable to 768 kBytes•• Serial and parallel I/O expansionSerial and parallel I/O expansion•• Memory-mapped user-prototyping areaMemory-mapped user-prototyping area
Product Description
The TMS320C30 processor board supports rapid development, prototyp-The TMS320C30 processor board supports rapid development, prototyp-ing, and implementation of DSP applications within the PC/AT environ-ing, and implementation of DSP applications within the PC/AT environ-ment. The board features a proven DSP platform based on the Texas ment. The board features a proven DSP platform based on the Texas Instruments DSP onto which users can integrate a custom-designed I/O Instruments DSP onto which users can integrate a custom-designed I/O interface to suit a particular application. The processor board complements interface to suit a particular application. The processor board complements LSI’s TMS320C30 system board, which has most of the features of the pro-LSI’s TMS320C30 system board, which has most of the features of the pro-cessor board plus analog I/O and additional on-board memory capability.cessor board plus analog I/O and additional on-board memory capability.
3-252
Loughborough Sound Images plc
Development Hardware/Plug-In ISA Board
Product Name: TMS320C30 SBus BoardPlatforms Supported: SunDevices Supported: TMS320C3x
Features and Benefits
•• DSP application development and implementation platform for SBus-DSP application development and implementation platform for SBus-compatible host systemscompatible host systems
•• Comprehensive software support for SunOS environmentsComprehensive software support for SunOS environments•• Optional plug-on daughter modules for choice of signal interfacing Optional plug-on daughter modules for choice of signal interfacing
capabilitycapability
Product Description
The industry-standard TMS320C30 DSP chip is operated in microprocessor The industry-standard TMS320C30 DSP chip is operated in microprocessor mode and provided with 128 k mode and provided with 128 k × 32 words of zero-wait-state SRAM as stan- 32 words of zero-wait-state SRAM as stan-dard on-board. The memory can be increased to 512 k dard on-board. The memory can be increased to 512 k × 32 words of zero- 32 words of zero- wait-state SRAM. The board interfaces to SBus-compatible hosts (including wait-state SRAM. The board interfaces to SBus-compatible hosts (including Sun SPARCstations) by means of an SBus slave interface.Sun SPARCstations) by means of an SBus slave interface.
Digital I/O and inter-board communication is catered for both by the Digital I/O and inter-board communication is catered for both by the on-board DSP~LINK interface port and by the TMS320C30 serial port con-on-board DSP~LINK interface port and by the TMS320C30 serial port con-nections brought out to high-density headers.nections brought out to high-density headers.
The SDSP/C30D also has provision for adding a range of LSI daughter The SDSP/C30D also has provision for adding a range of LSI daughter modules. These plug-on modules provide a choice of interface capabilities modules. These plug-on modules provide a choice of interface capabilities for application-specific analog and digital signals. An SDSP/C30D board for application-specific analog and digital signals. An SDSP/C30D board with a daughter module attached remains within the single-slot SBus spec-with a daughter module attached remains within the single-slot SBus spec-ification.ification.
3-253
Loughborough Sound Images plc
Development Hardware/Plug-In ISA Board
Product Name: TMS320C25 System BoardPlatforms Supported: PCDevices Supported: TMS320C25
Features and Benefits
•• The TMS320C25 System Board runs at 40 MHzThe TMS320C25 System Board runs at 40 MHz
Product Description
The TMS320C25 System Board is a PC-compatible plug-in board based on The TMS320C25 System Board is a PC-compatible plug-in board based on the TMS320C25 DSP. The system board runs at 40 MHz, performing the the TMS320C25 DSP. The system board runs at 40 MHz, performing the multiply/accumulate operation on 16-bit data in a single 100-ns cycle. It multiply/accumulate operation on 16-bit data in a single 100-ns cycle. It includes 544 words of internal RAM, eight auxiliary registers, which act as includes 544 words of internal RAM, eight auxiliary registers, which act as loop counters and address pointers, eight levels of hardware stack, a 16-bit loop counters and address pointers, eight levels of hardware stack, a 16-bit interval timer, and a 32-bit accumulator with associated arithmetic logic interval timer, and a 32-bit accumulator with associated arithmetic logic unit (ALU).unit (ALU).
3-254
Loughborough Sound Images plc
Development Hardware/Plug-In ISA Board
Product Name: TMS320C25 Processor BoardPlatforms Supported: PCDevices Supported: TMS320C3x
Features and Benefits
•• 40-/50-MHz TMS320C25 DSP40-/50-MHz TMS320C25 DSP•• 32-kBytes fast SRAM, user expandable to 128 kBytes32-kBytes fast SRAM, user expandable to 128 kBytes•• Serial and parallel I/O expansionSerial and parallel I/O expansion•• Debug monitor and interface libraryDebug monitor and interface library•• TMS320 C CompilerTMS320 C Compiler
Product Description
The TMS320C25 processor board supports rapid development, prototyp-The TMS320C25 processor board supports rapid development, prototyp-ing, and implementation of DSP applications within the PC/AT environ-ing, and implementation of DSP applications within the PC/AT environ-ment. The processor board complements LSI’s TMS320C25 system board, ment. The processor board complements LSI’s TMS320C25 system board, which has most of the features of the processor board plus analog I/O and which has most of the features of the processor board plus analog I/O and additional on-board memory capability.additional on-board memory capability.
3-255
Loughborough Sound Images plc
Development Hardware/Plug-In ISA Board
Product Name: TMS320C50 Development and Application BoardPlatforms Supported: PCDevices Supported: TMS320C5x
Features and Benefits
•• TMS320C50 fixed-point processor (40 or 57 MHz)TMS320C50 fixed-point processor (40 or 57 MHz)•• Up to 128 k Up to 128 k × 16 word external zero-wait-state SRAM 16 word external zero-wait-state SRAM•• On-board signal I/O daughter module siteOn-board signal I/O daughter module site•• DSP~LINK peripheral I/O expansion portDSP~LINK peripheral I/O expansion port•• Synchronous and TDM serial portsSynchronous and TDM serial ports
Product Description
The PCIC50 board enhances the ’C50 memory by making 32 k The PCIC50 board enhances the ’C50 memory by making 32 k × 16 words of 16 words of zero-wait-state SRAM (upgradeable to 128 k zero-wait-state SRAM (upgradeable to 128 k × 16 words of zero-wait-state 16 words of zero-wait-state SRAM) externally available to the ’C50. This allows for the development, SRAM) externally available to the ’C50. This allows for the development, testing, and implementation of even large applications on the board. Addi-testing, and implementation of even large applications on the board. Addi-tionally, a further 4 k tionally, a further 4 k × 16 words of dual-port SRAM is available to the ’C50. 16 words of dual-port SRAM is available to the ’C50. This is also memory-mapped into the host PC, and allows for the high-This is also memory-mapped into the host PC, and allows for the high-speed transfer of data between the DSP and host with no interruption to speed transfer of data between the DSP and host with no interruption to the DSP’s operationthe DSP’s operation
The PC/C50 also provides an easy method of interfacing custom cir-The PC/C50 also provides an easy method of interfacing custom cir-cuitry to the ’C50, using an on-board wire-wrap prototyping area. This cuitry to the ’C50, using an on-board wire-wrap prototyping area. This 85-mm 85-mm × 70-mm area is provided with all the necessary address, data, and 70-mm area is provided with all the necessary address, data, and control signals to enable straight-forward integration of any custom I/O control signals to enable straight-forward integration of any custom I/O interface or global memory design onto the PC/C50 board. The ’C50 serial interface or global memory design onto the PC/C50 board. The ’C50 serial ports, timer output, and maskable input are routed onto the prototyping ports, timer output, and maskable input are routed onto the prototyping area for maximum flexibility of design. The prototype area has a unique area for maximum flexibility of design. The prototype area has a unique decoded I/O space strobe line, which provides 56 kBytes ’C50 I/O space decoded I/O space strobe line, which provides 56 kBytes ’C50 I/O space addresses for the prototype area.addresses for the prototype area.
3-256
Loughborough Sound Images plc
Development Hardware/Plug-In ISA Board
Product Name: PCI/C80 Applications BoardPlatforms Supported: PCIDevices Supported: TMS320C8x
Features and Benefits
•• 2 Giga-operations (GOPS) per second2 Giga-operations (GOPS) per second•• High-speed PCI host interfaceHigh-speed PCI host interface•• Large DRAM/SRAM memory storeLarge DRAM/SRAM memory store•• High-resolution graphics/video displayHigh-resolution graphics/video display•• Module site for image capture or further expansionModule site for image capture or further expansion
Product Description
The PCl/C80 is a high-performance processing board suitable for use as a The PCl/C80 is a high-performance processing board suitable for use as a fast image/video/graphics processor or as a function accelerator in a variety fast image/video/graphics processor or as a function accelerator in a variety of applications. The board implements a full 32-bit PCI interface supporting of applications. The board implements a full 32-bit PCI interface supporting a peak theoretical data rate of 132 Mbps and has DRAM and SDRAM mem-a peak theoretical data rate of 132 Mbps and has DRAM and SDRAM mem-ory combination for minimum delay program and bulk storage.ory combination for minimum delay program and bulk storage.
The board features a programmable display output capable of support-The board features a programmable display output capable of support-ing resolutions up to 1600 ing resolutions up to 1600 × 1280 pixels. A general-purpose module site 1280 pixels. A general-purpose module site allows the PCI/C8O board to be used with a range of frame grabbers and allows the PCI/C8O board to be used with a range of frame grabbers and other peripherals while still retaining single-slot compatibility.other peripherals while still retaining single-slot compatibility.
Texas Instruments development tools are fully supported and in addi-Texas Instruments development tools are fully supported and in addi-tion LSI offers a high-level language interface library which allows user pro-tion LSI offers a high-level language interface library which allows user pro-grams to be downloaded to the board. Drivers are available to support a grams to be downloaded to the board. Drivers are available to support a range of operating systems, together with optimized application libraries to range of operating systems, together with optimized application libraries to facilitate software development.facilitate software development.
3-257
Loughborough Sound Images plc
Development Hardware/Plug-In VMEbus Board
Product Name: TMS320C80 MVP-EVM BoardPlatforms Supported: VMEbusDevices Supported: TMS320C8x
Features and Benefits
•• Large external program/data memories (DRAM and synchronous Large external program/data memories (DRAM and synchronous SRAM)SRAM)
•• Highly-configurable graphical-display interface (VRAM based)Highly-configurable graphical-display interface (VRAM based)•• A32:D32 VMEbus slave interfaceA32:D32 VMEbus slave interface•• IEEE Std. 1149.1 connectorIEEE Std. 1149.1 connector•• Support for the addition of audio, video, and auxiliary interface mod-Support for the addition of audio, video, and auxiliary interface mod-
ulesules
Product Description
The MVP-EVM board from LSI harnesses the power of the TMS320C80 via The MVP-EVM board from LSI harnesses the power of the TMS320C80 via a base platform and a range of flexible module interfaces. This hardware, a base platform and a range of flexible module interfaces. This hardware, coupled with extensive software support, provides unrivaled development-coupled with extensive software support, provides unrivaled development-system capabilities.system capabilities.
3-258
Macrochip Research, Inc.1501 Capital AvenuePlano, Texas 75074(214) 578 5635Fax: (214) 578 2761e-mail: [email protected]: http://macrochip.com
Company BackgroundMacrochip Research, Inc. develops, manufactures, and markets a family of real-time Macrochip Research, Inc. develops, manufactures, and markets a family of real-time analysis and debugging hardware and software tools for the Texas Instruments fixed-analysis and debugging hardware and software tools for the Texas Instruments fixed-point TMS320C5x, TMS320C2xLP, and TMS320C2xx DSPs. Macrochip specializes in point TMS320C5x, TMS320C2xLP, and TMS320C2xx DSPs. Macrochip specializes in custom development tools which aid in the real-time debugging and analysis of highly-custom development tools which aid in the real-time debugging and analysis of highly-embedded DSP core applications such as disk drives, wireless communications, servo embedded DSP core applications such as disk drives, wireless communications, servo control, etc.control, etc.
Development Hardware/Logic Analyzers
Product Name: BTT-523 Breakpoint, Trace, and Timing Analysis SystemPlatforms Supported: MS-Windows, Windows �95Devices Supported: TMS320C5x, TMS320C2xx
Features and Benefits
Used in combination with XDS510 to add these capabilities:Used in combination with XDS510 to add these capabilities:•• Up to 128-k deep real-time trace bufferUp to 128-k deep real-time trace buffer•• Up to 48-bit hardware time stamp for each trace sampleUp to 48-bit hardware time stamp for each trace sample•• Event-driven trace control for selective tracingEvent-driven trace control for selective tracing•• Complex hardware breakpointing capabilityComplex hardware breakpointing capability•• Eight-level event sequencingEight-level event sequencing•• Dual 16-bit, readable and reloadable event countersDual 16-bit, readable and reloadable event counters•• Same look and feel as TI XDS510 debugger softwareSame look and feel as TI XDS510 debugger software
Product Description
Designed to complement the Texas Instruments XDS510 Development Designed to complement the Texas Instruments XDS510 Development System, the BTT523 and Breakpoint, Trace, and Timing analysis system System, the BTT523 and Breakpoint, Trace, and Timing analysis system extends the real-time debugging capabilities of the XDS510 by providing extends the real-time debugging capabilities of the XDS510 by providing real-time trace, complex hardware breakpoints, and hardware timestamp-real-time trace, complex hardware breakpoints, and hardware timestamp-ing for each trace sample. With the BTT523, target device program execu-ing for each trace sample. With the BTT523, target device program execu-tion can be traced and analyzed in real-time when used in combination with tion can be traced and analyzed in real-time when used in combination with an existing XDS510 card. Other features include four trigger events with an existing XDS510 card. Other features include four trigger events with dual 16-bit readable counters, 8-level event sequencer, load/save capability dual 16-bit readable counters, 8-level event sequencer, load/save capability for setup of events, trace buffer, and BTT523 configuration. The BTT523 for setup of events, trace buffer, and BTT523 configuration. The BTT523 analysis system connects to the XDS510 controller and is included in the analysis system connects to the XDS510 controller and is included in the same JTAG scan chain as the target device.same JTAG scan chain as the target device.
Custom emulator adapters and fixtures can be designed at the factory Custom emulator adapters and fixtures can be designed at the factory to meet your target interfacing requirements.to meet your target interfacing requirements.
3-259
MEDAV Digitale Signalverarbeitung GmbH
Gr�fenberger Straße 34
D-91080 Uttenreuth
Germany
+49-9131/583-0
Fax: +49-9131/583-11
Company Background MEDAV provides DSP-based solutions in a wide variety of applications ranging from MEDAV provides DSP-based solutions in a wide variety of applications ranging from communication engineering and radio monitoring to measurement technology in indus-communication engineering and radio monitoring to measurement technology in indus-try and research.try and research.
Development Hardware/Plug-In Module
Product Name: DSP-KITPlatforms Supported: DOS, Windows, MATLAB
Devices Supported: DSP-Board ELF from ASPI with �C31
Features and Benefits
•• MATLAB toolbox for real-time signal processingMATLAB toolbox for real-time signal processing•• Signal acquisition (2 channels/20-kHz bandwidth @ 16-bit or DAI)Signal acquisition (2 channels/20-kHz bandwidth @ 16-bit or DAI)•• Signal output (2 channels/20-kHz bandwidth @ 16 bit or DAI)Signal output (2 channels/20-kHz bandwidth @ 16 bit or DAI)•• Simultaneous signal output and signal acquisition for system analysisSimultaneous signal output and signal acquisition for system analysis•• Real-time two-channel signal filtering of IIR- and FIR-filters up to 511 Real-time two-channel signal filtering of IIR- and FIR-filters up to 511
coefficientscoefficients•• Real-time spectrum analysis (all common FFT windows, maximum Real-time spectrum analysis (all common FFT windows, maximum
FFT length: 4096)FFT length: 4096)•• Real-time convolutionReal-time convolution
Product Description
DSP-KIT is a MATLAB Toolbox for 2-channel real-time signal acquisition, DSP-KIT is a MATLAB Toolbox for 2-channel real-time signal acquisition, play-back, filtering, convolution, and spectrum analysis.play-back, filtering, convolution, and spectrum analysis.
DSP-KIT’s signal acquisition, processing, and output use the powerful DSP-KIT’s signal acquisition, processing, and output use the powerful ELF DSP plug-in board. Live signals can be recorded under MATLAB con-ELF DSP plug-in board. Live signals can be recorded under MATLAB con-trol, processed by any MATLAB operation, and output either repeatedly or trol, processed by any MATLAB operation, and output either repeatedly or for a defined number of repetitions. Signal acquisition and signal output for a defined number of repetitions. Signal acquisition and signal output can happen simultaneously. DSP-KIT follows standard MATLAB conven-can happen simultaneously. DSP-KIT follows standard MATLAB conven-tions. MATLAB’s Signal Processing Toolbox can be used to design digital tions. MATLAB’s Signal Processing Toolbox can be used to design digital filters which can be downloaded to the ELF board and run in real time. Fil-filters which can be downloaded to the ELF board and run in real time. Fil-ter type and parameters of filters can be changed while the filter is running.ter type and parameters of filters can be changed while the filter is running.
3-260
MEDAV Digitale Signalverarbeitung GmbH
Development Hardware/Plug-In Module
Product Name: SPEKTRO-KITPlatforms Supported: DOS
Devices Supported: DSP-Board ELF from ASPI with �C31
Features and Benefits
•• Real-time and off-line two-channel FFT signal analysisReal-time and off-line two-channel FFT signal analysis•• Bandwidth: 20/10/5/2/1 kHz, 500 HzBandwidth: 20/10/5/2/1 kHz, 500 Hz•• Display of time signal, autospectrum, and color spectrogramDisplay of time signal, autospectrum, and color spectrogram•• All common FFT windows, FFT length: 8 1024 pointsAll common FFT windows, FFT length: 8 1024 points•• On-line and off-line frequency zoomOn-line and off-line frequency zoom•• Real-time and off-line signal FIR filtering including filter designReal-time and off-line signal FIR filtering including filter design•• Load and store of signal sections in various formatsLoad and store of signal sections in various formats•• Two-channel hard-disc recordingTwo-channel hard-disc recording•• Two-channel audio output at different speedsTwo-channel audio output at different speeds•• Screen dump as PCX filesScreen dump as PCX files
Product Description
SPEKTRO-KIT is a two-channel real-time color spectrograph with filtering SPEKTRO-KIT is a two-channel real-time color spectrograph with filtering and hard-disc recording for the analysis on a PC of stationary and non-sta-and hard-disc recording for the analysis on a PC of stationary and non-sta-tionary signals within the audio frequency range.tionary signals within the audio frequency range.
SPEKTRO-KIT’s signal acquisition and processing uses the powerful SPEKTRO-KIT’s signal acquisition and processing uses the powerful ELF DSP plug-in board. Live signals can be analyzed, filtered, and stored ELF DSP plug-in board. Live signals can be analyzed, filtered, and stored on-line or in off-line mode. Analysis results in the time and frequency on-line or in off-line mode. Analysis results in the time and frequency domain are displayed in a variety of presentation formats. Screen dumps in domain are displayed in a variety of presentation formats. Screen dumps in PCX format can be imported directly into various standard PC software PCX format can be imported directly into various standard PC software tools for post-processing and documentation.tools for post-processing and documentation.
3-261
MEDAV Digitale Signalverarbeitung GmbH
Development Hardware/Plug-In Module
Product Name: UD/VD-5000Platforms Supported: OS/2
Devices Supported: MEDAV MOSIP-5000 Hardware for �C40
Features and Benefits
•• Universal demodulator for all digital modulation types:Universal demodulator for all digital modulation types:•• Single type: ASK, MSK, FSK, PSK 2A/B, PSK 4A/B, PSK 8A/BSingle type: ASK, MSK, FSK, PSK 2A/B, PSK 4A/B, PSK 8A/B•• Hybrid type: ASK2PSK8, ASK4PSK8, QAM16Hybrid type: ASK2PSK8, ASK4PSK8, QAM16
•• Parallel-tone methods up to 12 channels: parallel ASK, FSK, PSKParallel-tone methods up to 12 channels: parallel ASK, FSK, PSK•• Multi-tone methods up to 64 channels: sequential and parallel MFSKMulti-tone methods up to 64 channels: sequential and parallel MFSK•• Twinplex type (F7B)Twinplex type (F7B)•• Baud rate: 100 Bd ... 4800 Bd (on-line) or 9600 Bd (off-line)Baud rate: 100 Bd ... 4800 Bd (on-line) or 9600 Bd (off-line)•• Free assignment of load-able decoding tableFree assignment of load-able decoding table•• Output: digital bitstream clock + value, TTL/V28Output: digital bitstream clock + value, TTL/V28•• Built-in test-signal generatorBuilt-in test-signal generator•• Option: Auxiliary signal analyzer for modulation analysis, spectral seg-Option: Auxiliary signal analyzer for modulation analysis, spectral seg-
mentation package, digital modulation classifier packagementation package, digital modulation classifier package
Product Description
UD/VD-5000 is a universal demodulator for use in radio-monitoring applica-UD/VD-5000 is a universal demodulator for use in radio-monitoring applica-tions, specialized on demodulation of digitally-modulated radio signals in tions, specialized on demodulation of digitally-modulated radio signals in real-time. The special algorithms used to track the transmission clock, in real-time. The special algorithms used to track the transmission clock, in combination with full digital implementation, produce a high-demodulation combination with full digital implementation, produce a high-demodulation quality which closely approaches the theoretical maximum.quality which closely approaches the theoretical maximum.
Incoming signal is continuously digitized, gaplessly stored in a tran-Incoming signal is continuously digitized, gaplessly stored in a tran-sient memory, and demodulated. Processing power derives from a ’C40 net-sient memory, and demodulated. Processing power derives from a ’C40 net-work using seven ’C40 DSPs. The resulting output is a digital bit-stream work using seven ’C40 DSPs. The resulting output is a digital bit-stream according to modulation type.according to modulation type.
3-262
Mentor Graphics Corporation8005 S.W. Boeckman RoadWilsonville, OR 970701 (800) 547-3000www: http://www.mentorg.com
Company Background Established in 1981, Mentor Graphics Corporation has pioneered advancements in elec-Established in 1981, Mentor Graphics Corporation has pioneered advancements in elec-tronic design automation (EDA). Today, the company is one of the world's leading sup-tronic design automation (EDA). Today, the company is one of the world's leading sup-pliers of EDA systems and markets its products primarily to the world’s largest pliers of EDA systems and markets its products primarily to the world’s largest companies in the aerospace, computer, consumer electronics, semiconductor, and tele-companies in the aerospace, computer, consumer electronics, semiconductor, and tele-communications industries. Mentor Graphics provides a breadth of design tools includ-communications industries. Mentor Graphics provides a breadth of design tools includ-ing the technology-leading DSP Station™, enabling designers to seamlessly move from ing the technology-leading DSP Station™, enabling designers to seamlessly move from algorithm to architecture.algorithm to architecture.
Application Software/HLL Compilers
Product Name: DSP Station, ASSYNT_C30, ASSYNT_C40Platforms Supported: Sun, HPDevices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Assembly code generators produce fully optimized and efficient assem-Assembly code generators produce fully optimized and efficient assem-bly code for TI DSP chips directly from block diagrams.bly code for TI DSP chips directly from block diagrams.
•• Automates time-consuming task of moving design from simulation Automates time-consuming task of moving design from simulation study phase to software prototype.study phase to software prototype.
•• Graphical capture tools promote design reuse of assembly code mod-Graphical capture tools promote design reuse of assembly code mod-ules.ules.
Product Description
Generates fully-optimized and efficient assembly code for TI’s floating-Generates fully-optimized and efficient assembly code for TI’s floating-point DSP chips directly from block diagrams. These code generators are point DSP chips directly from block diagrams. These code generators are options to DSP Station, the only electronic design automation tool that options to DSP Station, the only electronic design automation tool that combines graphical capture, simulation, fixed-point optimization, and high-combines graphical capture, simulation, fixed-point optimization, and high-level synthesis of hardware and assembly code. ASSYNT uses high-level level synthesis of hardware and assembly code. ASSYNT uses high-level synthesis techniques to take full advantage of the structure and instruction synthesis techniques to take full advantage of the structure and instruction set of the target processor, thus assuring the efficient use of all resources. set of the target processor, thus assuring the efficient use of all resources. The results approach the quality of handwritten code.The results approach the quality of handwritten code.
3-263
MicroLAB Systems Ltd59a Beskudnikovsky Bulvard127486 Moscow, Russia7-(095)-485-6332, +7-(095)-488-8744Fax: +7-(095)-485-6332e-mail: [email protected]
Company BackgroundMicroLAB Systems Ltd was founded in 1992 as a R&D company for DSP and instrumen-MicroLAB Systems Ltd was founded in 1992 as a R&D company for DSP and instrumen-tation. The company now offers a broad range of the TI TMS320C3x/’C4x/’C5x DSP tation. The company now offers a broad range of the TI TMS320C3x/’C4x/’C5x DSP based ready-on DSP systems and development tools for single- and multiprocessor DSP, based ready-on DSP systems and development tools for single- and multiprocessor DSP, multimedia, instrumentation, medical, etc. applications. The company also provides multimedia, instrumentation, medical, etc. applications. The company also provides designs and manufacturing of DSP systems on customer specification.designs and manufacturing of DSP systems on customer specification.
Development Hardware/Emulator
Product Name: MIRAGE-510D Dual-Channel Scan-Path Emulator for TMS320C3x/�C4x/�C5xPlatforms Supported: PC ISA BusDevices Supported: TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Two independent channels for emulation of any combination of target Two independent channels for emulation of any combination of target ’C3x/’C4x/’C5x DSPs’C3x/’C4x/’C5x DSPs
•• Includes compact ISA-bus board and up to two MPSD and/or JTAG podsIncludes compact ISA-bus board and up to two MPSD and/or JTAG pods•• Available in one channel configuration with further upgrade to two Available in one channel configuration with further upgrade to two
channelschannels•• TI C Source Debuggers, Tartan C/C++ Debuggers, and GO DSP Code TI C Source Debuggers, Tartan C/C++ Debuggers, and GO DSP Code
ComposerComposer•• Ideal for single- and multiprocessor ’C3x/’C4x/’C5x DSP systems devel-Ideal for single- and multiprocessor ’C3x/’C4x/’C5x DSP systems devel-
opment/diagnosticsopment/diagnostics
Product Description
The MIRAGE-510D dual-channel scan-path emulator eliminates the high The MIRAGE-510D dual-channel scan-path emulator eliminates the high cost barrier for development and diagnostics of single- and multiprocessor cost barrier for development and diagnostics of single- and multiprocessor DSP systems utilizing the TMS320C3x/’C4x/’C5x DSPs. The MIRAGE-510D DSP systems utilizing the TMS320C3x/’C4x/’C5x DSPs. The MIRAGE-510D contains two entirely identical and independent channels for scan-path contains two entirely identical and independent channels for scan-path emulation of any combination of target ’C3x/’C4x/’C5x DSPs. The MIRAGE-emulation of any combination of target ’C3x/’C4x/’C5x DSPs. The MIRAGE-510D comprises of ISA-bus interface board and up to two MPSD (’C3x) 510D comprises of ISA-bus interface board and up to two MPSD (’C3x) and/or JTAG (’C4x/’C5x) pods attached to it. The MPSD pod provides and/or JTAG (’C4x/’C5x) pods attached to it. The MPSD pod provides optional target reset signal for reliable emulation, and LED indicators of optional target reset signal for reliable emulation, and LED indicators of target status. The MPSD pod is also compatible with UECM-30 daughter target status. The MPSD pod is also compatible with UECM-30 daughter module for TORNADO-3x DSP systems. The JTAG pod provides optional module for TORNADO-3x DSP systems. The JTAG pod provides optional facility to increase JTAG clocking frequency, and have LED indicators of facility to increase JTAG clocking frequency, and have LED indicators of target and JTAG status. The JTAG pod is also compatible with UECM-JTAG target and JTAG status. The JTAG pod is also compatible with UECM-JTAG daughter module for TORNADO-4x/5x DSP systems. The MIRAGE-510D daughter module for TORNADO-4x/5x DSP systems. The MIRAGE-510D runs under the TI ’C3x/’C4x/’C5x C source debuggers, Tartan ’C3x/’C4x runs under the TI ’C3x/’C4x/’C5x C source debuggers, Tartan ’C3x/’C4x C/C++ debuggers, and GO DSP ’C3x/’C4x/’C5x Code Composer.C/C++ debuggers, and GO DSP ’C3x/’C4x/’C5x Code Composer.
3-264
MicroLAB Systems Ltd.
Development Hardware/Plug-In ISA Board/Emulator
Product Name: TORNADO-3x DSP Systems and �C3x Emulators DirectoryPlatforms Supported: PC ISA BusDevices Supported: TMS320C3x
Features and Benefits
•• Flexible compatible modular systems with ’C30/’C31/’C32 DSPsFlexible compatible modular systems with ’C30/’C31/’C32 DSPs•• On-board shared-bus architecture with SRAM/PIOX resources and On-board shared-bus architecture with SRAM/PIOX resources and
DSP/host mastersDSP/host masters•• I/O expansion and DSP multiprocessor support via a variety of PIOX/I/O expansion and DSP multiprocessor support via a variety of PIOX/
SIOX daughter modulesSIOX daughter modules•• UECM-30 daughter module and optional pod convert TORNADO-3x to UECM-30 daughter module and optional pod convert TORNADO-3x to
emulatoremulator•• Industry-standard debuggers, real-time OS, and software development Industry-standard debuggers, real-time OS, and software development
toolstools
Product Description
TORNADO-3x series of integrated DSP systems and ’C3x emulators feature TORNADO-3x series of integrated DSP systems and ’C3x emulators feature flexible compatible modular architecture based around the TMS320C3x flexible compatible modular architecture based around the TMS320C3x DSPs (see figure below). TORNADO-3x has the on-board shared bus (SB) DSPs (see figure below). TORNADO-3x has the on-board shared bus (SB) architecture with static RAM (SRAM) and PIOX resources, that can be architecture with static RAM (SRAM) and PIOX resources, that can be accessed by both DSP and host masters. Host-to-SB data transfers are per-accessed by both DSP and host masters. Host-to-SB data transfers are per-formed at high speed via the ISA-bus UMB mapped-memory window and formed at high speed via the ISA-bus UMB mapped-memory window and without consuming virtually any DSP time. On-board parallel (PIOX) and without consuming virtually any DSP time. On-board parallel (PIOX) and serial (SIOX) I/O expansion interfaces and a variety of compatible A/D-D/A, serial (SIOX) I/O expansion interfaces and a variety of compatible A/D-D/A, digital I/O, and DSP coprocessor daughter modules offer ready-on solutions digital I/O, and DSP coprocessor daughter modules offer ready-on solutions for multiple single- and multiprocessor applications. Debugging of DSP for multiple single- and multiprocessor applications. Debugging of DSP software is performed via the MPSD port or by means of optional UECM-30 software is performed via the MPSD port or by means of optional UECM-30 daughter module, which runs under the TI C Source Debugger, Tartan C/daughter module, which runs under the TI C Source Debugger, Tartan C/C++ Debugger, and GO DSP Code Composer. Optional pod facility converts C++ Debugger, and GO DSP Code Composer. Optional pod facility converts TORNADO-3x systems into emulators for external ’C3x DSPs. All TORNADO-3x systems into emulators for external ’C3x DSPs. All TORNADO-3x systems are supported by the industry-standard real-time TORNADO-3x systems are supported by the industry-standard real-time OS and software development tools.OS and software development tools.
TORNADO-3x DSP Systems andTORNADO-3x DSP Systems and’C3x Emulator Architecture’C3x Emulator Architecture
3-265
MicroLAB Systems Ltd.
Development Hardware/Plug-In ISA Board/Emulator
Product Name: TORNADO-30 Advanced DSP System and �C3x EmulatorPlatforms Supported: PC ISA Bus
Devices Supported: TMS320C3x
Features and Benefits
•• 40-MFLOPS TMS320C30 DSP with up to 2M 40-MFLOPS TMS320C30 DSP with up to 2M × 32 SRAM and optional 32 SRAM and optional 4k 4k × 32 dual-port RAM 32 dual-port RAM
•• Shared-bus architecture with SRAM/PIOX resources and DSP/host Shared-bus architecture with SRAM/PIOX resources and DSP/host mastersmasters
•• I/O expansion and multiprocessor support via PIOX/SIOX daughter I/O expansion and multiprocessor support via PIOX/SIOX daughter modulesmodules
•• UECM-30 daughter module with optional pod convert TORNADO-30 to UECM-30 daughter module with optional pod convert TORNADO-30 to emulatoremulator
•• Industry-standard debuggers, real-time OS, and software development Industry-standard debuggers, real-time OS, and software development toolstools
Product Description
TORNADO-30 is an advanced DSP system and ’C3x emulator that comprisesTORNADO-30 is an advanced DSP system and ’C3x emulator that comprises of TMS320C30 DSP, up to 2Mof TMS320C30 DSP, up to 2M × 32 SRAM, optional 4k 32 SRAM, optional 4k × 32 dual-port RAM 32 dual-port RAM (DPRAM), and parallel (PIOX) and serial (SIOX) I/O expansion interfaces. (DPRAM), and parallel (PIOX) and serial (SIOX) I/O expansion interfaces. Powerful on-board shared-bus (SB) architecture provides access to SRAM/Powerful on-board shared-bus (SB) architecture provides access to SRAM/PIOX SB resources from the on-board DSP and programmable host interface. PIOX SB resources from the on-board DSP and programmable host interface. High-speed host-to-SB data transfers are performed via one or two ISA-bus High-speed host-to-SB data transfers are performed via one or two ISA-bus UMB-mapped memory windows without consuming virtually any UMB-mapped memory windows without consuming virtually any DSP time. DSP time. Optional DPRAM is used for delay-free data transfer between DSPOptional DPRAM is used for delay-free data transfer between DSP and host. and host. A variety of PIOX/SIOX-compatible A/D-D/A, digital I/O, and DSP coproces-A variety of PIOX/SIOX-compatible A/D-D/A, digital I/O, and DSP coproces-sorsor daughter modules deliver ready-on solutions for multiple single- and daughter modules deliver ready-on solutions for multiple single- and multiprocessor applications. The MPSD port or UECM-30 daughter module multiprocessor applications. The MPSD port or UECM-30 daughter module are used for debugging of the DSP software. The UECM-30 also converts are used for debugging of the DSP software. The UECM-30 also converts TORNADO-30 into emulator for external ’C3x DSPs when used with TORNADO-30 into emulator for external ’C3x DSPs when used with optional pod. TORNADO-30 is supported by the industry-standard real-optional pod. TORNADO-30 is supported by the industry-standard real-time OS and software development tools.time OS and software development tools.
3-266
MicroLAB Systems Ltd.
Development Hardware/Plug-In ISA Board/Emulator
Product Name: TORNADO-31 DSP System and �C3x EmulatorPlatforms Supported: PC ISA Bus
Devices Supported: TMS320C3x
Features and Benefits
•• 60-MFLOPS TMS320C31 DSP with up to 2M60-MFLOPS TMS320C31 DSP with up to 2M × 32 SRAM 32 SRAM•• Shared-bus architecture with SRAM/PIOX resources and DSP/host Shared-bus architecture with SRAM/PIOX resources and DSP/host
mastersmasters•• I/O expansion and multiprocessor support via PIOX/SIOX daughter I/O expansion and multiprocessor support via PIOX/SIOX daughter
modulesmodules•• UECM-30 daughter module with optional pod convert TORNADO-31 to UECM-30 daughter module with optional pod convert TORNADO-31 to
emulatoremulator•• Industry-standard debuggers, real-time OS, and software development Industry-standard debuggers, real-time OS, and software development
toolstools
Product Description
TORNADO-31 is a high-performance, low-cost DSP system and ’C3x emula-TORNADO-31 is a high-performance, low-cost DSP system and ’C3x emula-tor, which comprises of TMS320C31 DSP, up to 2Mtor, which comprises of TMS320C31 DSP, up to 2M × 32 SRAM, and parallel 32 SRAM, and parallel (PIOX) and serial (SIOX) I/O expansion interfaces. On-board shared-bus (PIOX) and serial (SIOX) I/O expansion interfaces. On-board shared-bus (SB) architecture provides access to SRAM/PIOX SB resources from the (SB) architecture provides access to SRAM/PIOX SB resources from the on-board DSP and programmable host memory interface. High-speed host-on-board DSP and programmable host memory interface. High-speed host-to-SB data transfers are performed via ISA-bus UMB mapped-memory win-to-SB data transfers are performed via ISA-bus UMB mapped-memory win-dow without consuming virtually any DSP time. A variety of PIOX/SIOX- dow without consuming virtually any DSP time. A variety of PIOX/SIOX- compatible A/D-D/A, digital I/O, and DSP coprocessor daughter modules compatible A/D-D/A, digital I/O, and DSP coprocessor daughter modules deliver ready-on solutions for multiple single- and multiprocessor applica-deliver ready-on solutions for multiple single- and multiprocessor applica-tions. The MPSD port or UECM-30 daughter module are used for debug-tions. The MPSD port or UECM-30 daughter module are used for debug-ging of DSP software. The UECM-30 also converts TORNADO-31 into an ging of DSP software. The UECM-30 also converts TORNADO-31 into an emulator for external ’C3x DSPs when used with optional active buffer pod. emulator for external ’C3x DSPs when used with optional active buffer pod. TORNADO-31 is supported by the industry-standard real-time OS and soft-TORNADO-31 is supported by the industry-standard real-time OS and soft-ware development tools.ware development tools.
3-267
MicroLAB Systems Ltd.
Development Hardware/Plug-In ISA Board/Emulator
Product Name: TORNADO-31Z DSP System and �C3x EmulatorPlatforms Supported: PC ISA Bus
Devices Supported: TMS320C3x
Features and Benefits
•• 60-MFLOPS TMS320C31 DSP with up to 1M60-MFLOPS TMS320C31 DSP with up to 1M × 32 SRAM 32 SRAM•• Shared-bus architecture with SRAM resource and DSP/host mastersShared-bus architecture with SRAM resource and DSP/host masters•• I/O expansion and multiprocessor support via SIOX daughter modulesI/O expansion and multiprocessor support via SIOX daughter modules•• UECM-30 daughter module with optional pod convert TORNADO-31 to UECM-30 daughter module with optional pod convert TORNADO-31 to
emulatoremulator•• Industry-standard debuggers, real-time OS, and software development Industry-standard debuggers, real-time OS, and software development
toolstools
Product Description
TORNADO-31Z is a high-performance, compact, and low-cost DSP system TORNADO-31Z is a high-performance, compact, and low-cost DSP system and ’C3x emulator that is ideal for multimedia applications. It comprises of and ’C3x emulator that is ideal for multimedia applications. It comprises of TMS320C31 DSP, up to 1MTMS320C31 DSP, up to 1M × 32 SRAM, and serial I/O expansion (SIOX) 32 SRAM, and serial I/O expansion (SIOX) interface. On-board shared-bus (SB) architecture provides access to the interface. On-board shared-bus (SB) architecture provides access to the SRAM resource from the on-board DSP and programmable host memory SRAM resource from the on-board DSP and programmable host memory interface. High-speed host-to-SB data transfers are performed via ISA-bus interface. High-speed host-to-SB data transfers are performed via ISA-bus UMB mapped-memory window without consuming virtually any DSP time. UMB mapped-memory window without consuming virtually any DSP time. A variety of SIOX-compatible A/D-D/A, digital I/O, and DSP coprocessor A variety of SIOX-compatible A/D-D/A, digital I/O, and DSP coprocessor daughter modules deliver ready-on solutions for multiple single- and multi-daughter modules deliver ready-on solutions for multiple single- and multi-processor applications. The MPSD port or UECM-30 daughter module are processor applications. The MPSD port or UECM-30 daughter module are used for debugging of DSP software. The UECM-30 also converts the used for debugging of DSP software. The UECM-30 also converts the TORNADO-31Z into an emulator for external ’C3x DSPs when used with TORNADO-31Z into an emulator for external ’C3x DSPs when used with the optional pod. TORNADO-31Z is supported by the industry-standard the optional pod. TORNADO-31Z is supported by the industry-standard real-time OS and software development tools.real-time OS and software development tools.
3-268
MicroLAB Systems Ltd.
Development Hardware/Plug-In ISA Board/Emulator
Product Name: TORNADO-30Z DSP System and �C3x EmulatorPlatforms Supported: PC ISA Bus
Devices Supported: TMS320C3x
Features and Benefits
•• 40-MFLOPS TMS320C30 DSP with up to 1M40-MFLOPS TMS320C30 DSP with up to 1M × 32 SRAM and high-32 SRAM and high-speed RS-232 interfacespeed RS-232 interface
•• Shared-bus architecture with SRAM resource and DSP/host mastersShared-bus architecture with SRAM resource and DSP/host masters•• I/O expansion and multiprocessor support via SIOX daughter modulesI/O expansion and multiprocessor support via SIOX daughter modules•• UECM-30 daughter module with optional pod convert TORNADO-31 to UECM-30 daughter module with optional pod convert TORNADO-31 to
emulatoremulator•• Industry-standard debuggers, real-time OS, and software development Industry-standard debuggers, real-time OS, and software development
toolstools
Product Description
TORNADO-30Z is a high-performance, compact, and low-cost DSP system TORNADO-30Z is a high-performance, compact, and low-cost DSP system and ’C3x emulator that is ideal for multimedia applications. It comprises of and ’C3x emulator that is ideal for multimedia applications. It comprises of TMS320C30 DSP, up to 1M TMS320C30 DSP, up to 1M × 32 SRAM, and serial I/O expansion (SIOX), 32 SRAM, and serial I/O expansion (SIOX), and RS-232C interfaces. On-board shared-bus (SB) architecture provides and RS-232C interfaces. On-board shared-bus (SB) architecture provides access to the SRAM resource from the on-board DSP and programmable access to the SRAM resource from the on-board DSP and programmable host memory interface. High-speed host-to-SB data transfers are per-host memory interface. High-speed host-to-SB data transfers are per-formed via ISA-bus UMB-mapped memory window without consuming vir-formed via ISA-bus UMB-mapped memory window without consuming vir-tually any DSP time. A variety of SIOX compatible A/D-D/A, digital I/O, and tually any DSP time. A variety of SIOX compatible A/D-D/A, digital I/O, and DSP coprocessor daughter modules deliver ready-on solutions for multiple DSP coprocessor daughter modules deliver ready-on solutions for multiple single- and multiprocessor applications, and RS-232C interface connects to single- and multiprocessor applications, and RS-232C interface connects to external modems/hardware. The MPSD port or UECM-30 daughter module external modems/hardware. The MPSD port or UECM-30 daughter module are used for debugging of the DSP software. The UECM-30 also converts are used for debugging of the DSP software. The UECM-30 also converts the TORNADO-30Z into an emulator for external ’C3x DSPs when used the TORNADO-30Z into an emulator for external ’C3x DSPs when used with the optional pod. TORNADO-30Z is supported by the industry-stan-with the optional pod. TORNADO-30Z is supported by the industry-stan-dard real-time OS and software development tools.dard real-time OS and software development tools.
3-269
MicroLAB Systems Ltd.
Development Hardware/Emulator
Product Name: UECM-30 Universal Emulation Control Daughter Module for TORNADO-3x DSP Systems and External �C3x DSPs
Platforms Supported: TORNADO-3x, PC ISA Bus
Devices Supported: TMS320C3x
Features and Benefits
•• Installs as a daughter module on all TORNADO-3x DSP systemsInstalls as a daughter module on all TORNADO-3x DSP systems•• Emulates the on-board ’C30/’C31/’C32 DSP of TORNADO-3x DSP sys-Emulates the on-board ’C30/’C31/’C32 DSP of TORNADO-3x DSP sys-
temstems•• Emulates external ’C3x DSPs via optional podEmulates external ’C3x DSPs via optional pod•• TI ’C3x C source debugger, Tartan ’C3x C/C++ debugger, and GO DSP TI ’C3x C source debugger, Tartan ’C3x C/C++ debugger, and GO DSP
Code ComposerCode Composer•• Small size and low costSmall size and low cost
Product Description
UECM-30 is a small-size low-cost daughter module that installs on every UECM-30 is a small-size low-cost daughter module that installs on every TORNADO-3x DSP system and delivers state-of-the-art software debug-TORNADO-3x DSP system and delivers state-of-the-art software debug-ging facilities for the ’C3x DSPs via the ’C3x on-chip MPSD scan-path port. ging facilities for the ’C3x DSPs via the ’C3x on-chip MPSD scan-path port. UECM-30 module emulates the on-board TORNADO-3x DSP and reduces UECM-30 module emulates the on-board TORNADO-3x DSP and reduces the cost of debugging tools by eliminating the need of external ’C3x scan-the cost of debugging tools by eliminating the need of external ’C3x scan-path emulator. Optionally, the UECM-30 can emulate any external ’C30/path emulator. Optionally, the UECM-30 can emulate any external ’C30/’C31/’C32 DSP via attached pod, which is compatible with the MIRAGE-’C31/’C32 DSP via attached pod, which is compatible with the MIRAGE-510D ’C3x pod. This converts TORNADO-3x DSP systems into universal 510D ’C3x pod. This converts TORNADO-3x DSP systems into universal scan-path emulators for external ’C3x DSPs. UECM-30 module runs under scan-path emulators for external ’C3x DSPs. UECM-30 module runs under the TI ’C3x C source debugger, Tartan ’C3x C/C++ debugger, and GO DSP the TI ’C3x C source debugger, Tartan ’C3x C/C++ debugger, and GO DSP Code Composer.Code Composer.
3-270
MicroLAB Systems Ltd.
Development Hardware/Plug-In ISA Board/Emulator
Product Name: TORNADO-40 DSP System and �C4x/�C5x EmulatorPlatforms Supported: PC ISA Bus
Devices Supported: TMS320C4x, TMS320C5x
Features and Benefits
•• 50-MFLOPS TMS320C40 DSP with up to 512k 50-MFLOPS TMS320C40 DSP with up to 512k × 32 L-SRAM/L-FLASH 32 L-SRAM/L-FLASH and 1M and 1M × 32 G-SRAM 32 G-SRAM
•• Global shared-bus architecture with SRAM/PIOX resources and DSP/Global shared-bus architecture with SRAM/PIOX resources and DSP/host mastershost masters
•• I/O expansion and multiprocessor support via PIOX/LIOX daughter I/O expansion and multiprocessor support via PIOX/LIOX daughter modules and six linksmodules and six links
•• UECM-JTAG daughter module with pod convert TORNADO-40 to emu-UECM-JTAG daughter module with pod convert TORNADO-40 to emu-lator for ’C4x/’C5xlator for ’C4x/’C5x
•• Industry-standard debuggers, real-time OS, and software development Industry-standard debuggers, real-time OS, and software development toolstools
Product Description
TORNADO-40 is a high-performance DSP system and ’C4x/’C5x emulator, TORNADO-40 is a high-performance DSP system and ’C4x/’C5x emulator, which comprises of TMS320C40 DSP, up to 512kwhich comprises of TMS320C40 DSP, up to 512k × 32 of local L-SRAM/ 32 of local L-SRAM/L-L-FLASH, up to 1M FLASH, up to 1M × 32 of global G-SRAM, parallel (PIOX) and link (LIOX) 32 of global G-SRAM, parallel (PIOX) and link (LIOX) I/OI/O expansion interfaces, and six multiprocessor link ports. On-board global expansion interfaces, and six multiprocessor link ports. On-board global shared-bus (GSB) architecture provides access to G-SRAM/PIOX GSB shared-bus (GSB) architecture provides access to G-SRAM/PIOX GSB resources from the on-board DSP and programmable host interface. High-resources from the on-board DSP and programmable host interface. High-speed host-to-SB data transfers are performed via ISA-bus UMB mapped-speed host-to-SB data transfers are performed via ISA-bus UMB mapped-memory window. A variety of PIOX/LIOX-compatible A/D-D/A, digital I/O, memory window. A variety of PIOX/LIOX-compatible A/D-D/A, digital I/O, and DSP coprocessor daughter modules deliver ready-on solutions for mul-and DSP coprocessor daughter modules deliver ready-on solutions for mul-tiple single- and multiprocessor applications. The JTAG I/O ports or UECM-tiple single- and multiprocessor applications. The JTAG I/O ports or UECM-JTAG daughter module are used for debugging of DSP software. The JTAG daughter module are used for debugging of DSP software. The UECM-JTAG also converts the TORNADO-40 into an emulator for external UECM-JTAG also converts the TORNADO-40 into an emulator for external ’C4x/’C5x DSPs when used with optional pod. TORNADO-40 is supported ’C4x/’C5x DSPs when used with optional pod. TORNADO-40 is supported by the industry-standard real-time OS and software development tools.by the industry-standard real-time OS and software development tools.
3-271
MicroLAB Systems Ltd.
Development Hardware/Plug-In ISA Board/Emulator
Product Name: TORNADO-40D Advanced Dual �C40 DSP System and �C4x/�C5x EmulatorPlatforms Supported: PC ISA Bus
Devices Supported: TMS320C4x, TMS320C5x
Features and Benefits
•• Two 50-MFLOPS TMS320C40 DSPs with up to 512k Two 50-MFLOPS TMS320C40 DSPs with up to 512k × 32 L-SRAM/ 32 L-SRAM/L-FLASH, 1M L-FLASH, 1M × 32 G-SRAM and optional 8k 32 G-SRAM and optional 8k × 32 dual-port local RAM 32 dual-port local RAM
•• Global shared-bus architecture with SRAM/PIOX resources and DSPs/Global shared-bus architecture with SRAM/PIOX resources and DSPs/host mastershost masters
•• I/O expansion and multiprocessor support via two PIOX and two LIOX I/O expansion and multiprocessor support via two PIOX and two LIOX daughter modulesdaughter modules
•• Two links used for on-board DSP communication and eight links avail-Two links used for on-board DSP communication and eight links avail-able on-edge connectorsable on-edge connectors
•• UECM-JTAG daughter module with pod convert TORNADO-40D to UECM-JTAG daughter module with pod convert TORNADO-40D to emulator for ’C4x/’C5xemulator for ’C4x/’C5x
•• Industry-standard debuggers, real-time OS, and software development Industry-standard debuggers, real-time OS, and software development toolstools
Product Description
TORNADO-40D is an advanced high-performance dual-DSP system and TORNADO-40D is an advanced high-performance dual-DSP system and ’C4x/’C5x emulator, which comprises of two TMS320C40 DSPs, up to 512k ’C4x/’C5x emulator, which comprises of two TMS320C40 DSPs, up to 512k × 32 of local L-SRAM/L-FLASH for each DSP, up to 1M 32 of local L-SRAM/L-FLASH for each DSP, up to 1M × 32 of global 32 of global G-SRAM, two parallel (PIOX), and two link (LIOX) I/O expansion inter-G-SRAM, two parallel (PIOX), and two link (LIOX) I/O expansion inter-faces. On-board global-shared-bus (GSB) architecture provides access to faces. On-board global-shared-bus (GSB) architecture provides access to G-SRAM/PIOX GSB resources from both on-board DSPs and programmable G-SRAM/PIOX GSB resources from both on-board DSPs and programmable host interface. High-speed host-to-SB data transfers are performed via ISA-host interface. High-speed host-to-SB data transfers are performed via ISA-bus UMB mapped-memory window. Optional 8k bus UMB mapped-memory window. Optional 8k × 32 dual-port RAM 32 dual-port RAM between the DSPs’ local buses is available for a delay-free DSP-to-DSP between the DSPs’ local buses is available for a delay-free DSP-to-DSP communication. Two links of each DSP are connected on-board, and eight communication. Two links of each DSP are connected on-board, and eight links are available externally. A variety of PIOX/LIOX-compatible A/D-D/A, links are available externally. A variety of PIOX/LIOX-compatible A/D-D/A, digital I/O, and DSP coprocessor daughter modules deliver ready-on solu-digital I/O, and DSP coprocessor daughter modules deliver ready-on solu-tions for multiple applications. The JTAG I/O ports or UECM-JTAG daugh-tions for multiple applications. The JTAG I/O ports or UECM-JTAG daugh-ter module are used for debugging of DSP software. The UECM-JTAG also ter module are used for debugging of DSP software. The UECM-JTAG also converts the TORNADO-40D into an emulator for external ’C4x/’C5x DSPs converts the TORNADO-40D into an emulator for external ’C4x/’C5x DSPs when used with the optional pod. TORNADO-40D is supported by the when used with the optional pod. TORNADO-40D is supported by the industry-standard real-time OS and software development tools.industry-standard real-time OS and software development tools.
3-272
MicroLAB Systems Ltd.
Development Hardware/Plug-In ISA Board/Emulator
Product Name: TORNADO-44 DSP System and �C4x/�C5x EmulatorPlatforms Supported: PC ISA Bus
Devices Supported: TMS320C4x, TMS320C5x
Features and Benefits
•• 50-MFLOPS TMS320C44 DSP with up to 512k 50-MFLOPS TMS320C44 DSP with up to 512k × 32 L-SRAM/L-FLASH 32 L-SRAM/L-FLASH and 1M and 1M × 32 G-SRAM 32 G-SRAM
•• Global-shared-bus architecture with SRAM/PIOX resources and DSP/Global-shared-bus architecture with SRAM/PIOX resources and DSP/host mastershost masters
•• I/O expansion and multiprocessor support via PIOX/LIOX daughter I/O expansion and multiprocessor support via PIOX/LIOX daughter modules and four linksmodules and four links
•• UECM-JTAG daughter module with pod convert TORNADO-44 to emu-UECM-JTAG daughter module with pod convert TORNADO-44 to emu-lator for ’C4x/’C5xlator for ’C4x/’C5x
•• Industry-standard debuggers, real-time OS, and software development Industry-standard debuggers, real-time OS, and software development toolstools
Product Description
TORNADO-44 is a high-performance, low-cost DSP system and ’C4x/’C5x TORNADO-44 is a high-performance, low-cost DSP system and ’C4x/’C5x emulator, which comprises of TMS320C44 DSP, up to 512k emulator, which comprises of TMS320C44 DSP, up to 512k × 32 of local 32 of local L-SRAM/L-FLASH, up to 1M L-SRAM/L-FLASH, up to 1M × 32 of global G-SRAM, parallel (PIOX) and 32 of global G-SRAM, parallel (PIOX) and link (LIOX) I/O expansion interfaces, and four multiprocessor link ports. link (LIOX) I/O expansion interfaces, and four multiprocessor link ports. On-board global shared-bus (GSB) architecture provides access to On-board global shared-bus (GSB) architecture provides access to G-SRAM/PIOX GSB resources from the on-board DSP and programmable G-SRAM/PIOX GSB resources from the on-board DSP and programmable host interface. High-speed host-to-SB data transfers are performed via ISA-host interface. High-speed host-to-SB data transfers are performed via ISA-bus UMB mapped-memory window. A variety of PIOX/LIOX-compatible bus UMB mapped-memory window. A variety of PIOX/LIOX-compatible A/D-D/A, digital I/O, and DSP coprocessor daughter modules deliver ready-A/D-D/A, digital I/O, and DSP coprocessor daughter modules deliver ready-on solutions for multiple single- and multiprocessor applications. The JTAG on solutions for multiple single- and multiprocessor applications. The JTAG I/O ports or UECM-JTAG daughter module are used for the debugging of I/O ports or UECM-JTAG daughter module are used for the debugging of DSP software. The UECM-JTAG also converts the TORNADO-44 into an DSP software. The UECM-JTAG also converts the TORNADO-44 into an emulator for external ’C4x/’C5x DSPs when used with the optional pod. emulator for external ’C4x/’C5x DSPs when used with the optional pod. TORNADO-44 is supported by the industry-standard real-time OS and soft-TORNADO-44 is supported by the industry-standard real-time OS and soft-ware development tools.ware development tools.
3-273
MicroLAB Systems Ltd.
Development Hardware/Plug-In ISA Board/Emulator
Product Name: TORNADO-44D Advanced Dual �C44 DSP System and �C4x/�C5x EmulatorPlatforms Supported: PC ISA Bus
Devices Supported: TMS320C4x, TMS320C5x
Features and Benefits
•• Two 50-MFLOPS TMS320C44 DSPs with up to 512k Two 50-MFLOPS TMS320C44 DSPs with up to 512k × 32 L-SRAM/ 32 L-SRAM/L-FLASH, 1M L-FLASH, 1M × 32 G-SRAM and optional 8k 32 G-SRAM and optional 8k × 32 dual-port local RAM 32 dual-port local RAM
•• Global-shared-bus architecture with SRAM/PIOX resources and DSPs/Global-shared-bus architecture with SRAM/PIOX resources and DSPs/host mastershost masters
•• I/O expansion and multiprocessor support via two PIOX and two LIOX I/O expansion and multiprocessor support via two PIOX and two LIOX daughter modulesdaughter modules
•• Two links used for on-board DSP communication and four links avail-Two links used for on-board DSP communication and four links avail-able on edge connectorsable on edge connectors
•• UECM-JTAG daughter module with pod convert TORNADO-44D to UECM-JTAG daughter module with pod convert TORNADO-44D to emulator for ’C4x/’C5xemulator for ’C4x/’C5x
•• Industry-standard debuggers, real-time OS, and software development Industry-standard debuggers, real-time OS, and software development toolstools
Product Description
TORNADO-44D is an advanced high-performance and low-cost dual-DSP TORNADO-44D is an advanced high-performance and low-cost dual-DSP system and ’C4x/’C5x emulator, which comprises of two TMS320C44 DSPs, system and ’C4x/’C5x emulator, which comprises of two TMS320C44 DSPs, up to 512k up to 512k × 32 of local L-SRAM/L-FLASH for each DSP, up to 1M 32 of local L-SRAM/L-FLASH for each DSP, up to 1M × 32 of 32 of global G-SRAM, two parallel (PIOX) and two link (LIOX) I/O expansion global G-SRAM, two parallel (PIOX) and two link (LIOX) I/O expansion interfaces. On-board global shared-bus (GSB) architecture provides access interfaces. On-board global shared-bus (GSB) architecture provides access to G-SRAM/PIOX GSB resources from both on-board DSPs and program-to G-SRAM/PIOX GSB resources from both on-board DSPs and program-mable host interface. High-speed host-to-SB data transfers are performed mable host interface. High-speed host-to-SB data transfers are performed via ISA-bus UMB mapped-memory window. Optional 8k via ISA-bus UMB mapped-memory window. Optional 8k × 32 dual-port 32 dual-port RAM between the DSPs local buses is available for a delay-free DSP-to-DSP RAM between the DSPs local buses is available for a delay-free DSP-to-DSP communication. Two links of each DSP are connected on-board, and four communication. Two links of each DSP are connected on-board, and four links are available externally. A variety of PIOX/LIOX-compatible A/D-D/A, links are available externally. A variety of PIOX/LIOX-compatible A/D-D/A, digital I/O, and DSP coprocessor daughter modules deliver ready-on solu-digital I/O, and DSP coprocessor daughter modules deliver ready-on solu-tions for multiple applications. The JTAG I/O ports or UECM-JTAG daugh-tions for multiple applications. The JTAG I/O ports or UECM-JTAG daugh-ter module are used for debugging of DSP software. The UECM-JTAG also ter module are used for debugging of DSP software. The UECM-JTAG also converts the TORNADO-44D into an emulator for external ’C4x/’C5x DSPs converts the TORNADO-44D into an emulator for external ’C4x/’C5x DSPs when used with the optional active buffer pod. TORNADO-44D is sup-when used with the optional active buffer pod. TORNADO-44D is sup-ported by the industry-standard real-time OS and software development ported by the industry-standard real-time OS and software development tools.tools.
3-274
MicroLAB Systems Ltd.
Development Hardware/Emulator
Product Name: UECM-JTAG Universal Emulation-Control Daughter Module for TORNADO-4x/5x DSP Systems and External �C4x/�C5x DSPs
Platforms Supported: TORNADO-4x, PC ISA Bus
Devices Supported: TMS320C4x, TMS320C5x
Features and Benefits
•• Installs as a daughter module on all TORNADO-4x/5x DSP systemsInstalls as a daughter module on all TORNADO-4x/5x DSP systems•• Emulates the on-board ’C40 DSPs of TORNADO-4x/5x DSP systemsEmulates the on-board ’C40 DSPs of TORNADO-4x/5x DSP systems•• Emulates external ’C4x/’C5x DSP via optional podEmulates external ’C4x/’C5x DSP via optional pod•• TI ’C4x/’C5x C source debuggers, Tartan ’C4x C/C++ debugger, and GO TI ’C4x/’C5x C source debuggers, Tartan ’C4x C/C++ debugger, and GO
DSP Code ComposerDSP Code Composer•• Small size and low costSmall size and low cost
Product Description
UECM-JTAG is a small-size, low-cost daughter module that installs on UECM-JTAG is a small-size, low-cost daughter module that installs on every TORNADO-4x/5x DSP system and delivers state-of-the-art software every TORNADO-4x/5x DSP system and delivers state-of-the-art software debugging facilities for the ’C4x/’C5x DSPs via the ’C4x/’C5x on-chip JTAG debugging facilities for the ’C4x/’C5x DSPs via the ’C4x/’C5x on-chip JTAG 1149.1 standard scan-path port. UECM-JTAG module emulates the on-1149.1 standard scan-path port. UECM-JTAG module emulates the on-board TORNADO-4x/5x DSPs and reduces the cost of debugging tools by board TORNADO-4x/5x DSPs and reduces the cost of debugging tools by eliminating the need of external ’C4x scan-path emulator. Multiple eliminating the need of external ’C4x scan-path emulator. Multiple TORNADO-4x/5x DSP systems as well as any other ’C4x/’C5x-based DSP TORNADO-4x/5x DSP systems as well as any other ’C4x/’C5x-based DSP systems with JTAG I/O ports can be daisy-chained in a single JTAG path systems with JTAG I/O ports can be daisy-chained in a single JTAG path using only one UECM-JTAG module. Optionally, the UECM-JTAG can emu-using only one UECM-JTAG module. Optionally, the UECM-JTAG can emu-late any external ’C4x/’C5x DSPs via the attached pod, which is compatible late any external ’C4x/’C5x DSPs via the attached pod, which is compatible with the MIRAGE-510D JTAG pod. This converts TORNADO-4x/5x DSP with the MIRAGE-510D JTAG pod. This converts TORNADO-4x/5x DSP systems into universal scan-path emulators for external ’C4x/’C5x DSPs. systems into universal scan-path emulators for external ’C4x/’C5x DSPs. UECM-JTAG module runs under the TI ’C4x/’C5x C source debuggers, Tar-UECM-JTAG module runs under the TI ’C4x/’C5x C source debuggers, Tar-tan ’C4x C/C++ debugger, and GO DSP ’C4x/’C5x Code Composer.tan ’C4x C/C++ debugger, and GO DSP ’C4x/’C5x Code Composer.
3-275
MicroLAB Systems Ltd.
Development Hardware/Multiprocessor Board
Product Name: TORNADO-PX/PXDP DSP Coprocessors for PIOX I/F of TORNADO-3x/4x DSP Systems
Platforms Supported: TORNADO-3x/4x, PIOX InterfaceDevices Supported: TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Compact PIOX daughter modules plugged into host PIOX I/F of Compact PIOX daughter modules plugged into host PIOX I/F of TORNADO-3x/4x DSP systemsTORNADO-3x/4x DSP systems
•• On-board ’C3x/’C4x/’C5x DSP and dual-port RAM or shared-memory On-board ’C3x/’C4x/’C5x DSP and dual-port RAM or shared-memory host PIOX I/Fhost PIOX I/F
•• Ready-on low-cost multiprocessor expansion for TORNADO-3x/4xReady-on low-cost multiprocessor expansion for TORNADO-3x/4x•• I/O expansion and DSP multiprocessor support via a variety of SIOX/I/O expansion and DSP multiprocessor support via a variety of SIOX/
LIOX daughter modulesLIOX daughter modules•• On-module MPSD or JTAG port for DSP software debuggingOn-module MPSD or JTAG port for DSP software debugging
Product Description
TORNADO-PX/PXDP series of DSP coprocessors for host parallel I/O expan-TORNADO-PX/PXDP series of DSP coprocessors for host parallel I/O expan-sionsion (PIOX) interface of TORNADO-3x/4x DSP systems are low-cost (PIOX) interface of TORNADO-3x/4x DSP systems are low-cost PIOX PIOX daughter modules for ready-on multiprocessor expansion of the daughter modules for ready-on multiprocessor expansion of the TORNADO-3x/4x. TORNADO-PX/PXDP PIOX coprocessors comprise of TORNADO-3x/4x. TORNADO-PX/PXDP PIOX coprocessors comprise of the on-board ’C3x/the on-board ’C3x/’C4x/’C5x DSP and either dual-port RAM (DPRAM) or ’C4x/’C5x DSP and either dual-port RAM (DPRAM) or shared-memory-basedshared-memory-based host PIOX interface. TORNADO-PXDP coprocessors host PIOX interface. TORNADO-PXDP coprocessors with DPRAM-host with DPRAM-host PIOX interface are recommended for a delay-free host-PIOX interface are recommended for a delay-free host-to-DSPto-DSP communication. TORNADO-PX coprocessors with shared-memory- communication. TORNADO-PX coprocessors with shared-memory-host PIOX interface are recommended for bulk data transfers via host PIOX host PIOX interface are recommended for bulk data transfers via host PIOX interface. The ’C3x/’C5x-based PIOX coprocessors provide the interface. The ’C3x/’C5x-based PIOX coprocessors provide the on-board on-board TORNADO-3x/5x-compatible serial I/O expansion (SIOX) interfaceTORNADO-3x/5x-compatible serial I/O expansion (SIOX) interface for a for a variety of compatible A/D-D/A, digital I/O, and coprocessor SIOX variety of compatible A/D-D/A, digital I/O, and coprocessor SIOX daughter daughter modules. The ’C4x-based PIOX coprocessors provide the on-boardmodules. The ’C4x-based PIOX coprocessors provide the on-board link link ports and TORNADO-4x compatible link I/O expansion (LIOX) interface for ports and TORNADO-4x compatible link I/O expansion (LIOX) interface for communication with other TORNADO-4x DSP systems and interfacing to a communication with other TORNADO-4x DSP systems and interfacing to a variety of LIOX A/D-D/A and digital I/O daughter modules.variety of LIOX A/D-D/A and digital I/O daughter modules.
3-276
MicroLAB Systems Ltd.
Development Hardware/Multiprocessor Board
Product Name: TORNADO-SX DSP Coprocessors for Host SIOX I/F of TORNADO-3x/5x DSP Systems and TORNADO-PX3x/PX5x PIOX Coprocessors
Platforms Supported: TORNADO-3x/5x/PX3x/PX5x SIOX InterfaceDevices Supported: TMS320C3x, TMS320C5x
Features and Benefits
•• Compact modules plugged into host SIOX I/F of TORNADO-3x/5x/Compact modules plugged into host SIOX I/F of TORNADO-3x/5x/PX3x/PX5xPX3x/PX5x
•• On-board ’C3x/’C5x DSP with SRAM/FLASH, EPROM/NVRAM/nvSRAM,On-board ’C3x/’C5x DSP with SRAM/FLASH, EPROM/NVRAM/nvSRAM, and RS-232C interfaceand RS-232C interface
•• Standalone operation with host SIOX and/or RS-232C interface and Standalone operation with host SIOX and/or RS-232C interface and software boot loadingsoftware boot loading
•• Two daughter SIOX I/F connectorsTwo daughter SIOX I/F connectors•• I/O expansion and DSP multiprocessor support via a variety of SIOX I/O expansion and DSP multiprocessor support via a variety of SIOX
daughter modulesdaughter modules
Product Description
TORNADO-SX multifunctional DSP coprocessors for host serial I/O expan-TORNADO-SX multifunctional DSP coprocessors for host serial I/O expan-sion (SIOX) interface of TORNADO-3x/5x DSP systems and TORNADO-sion (SIOX) interface of TORNADO-3x/5x DSP systems and TORNADO-PX3x/PX5x PIOX coprocessors are low-cost SIOX modules for ready-on PX3x/PX5x PIOX coprocessors are low-cost SIOX modules for ready-on multiprocessor expansion and analog/digital I/O data pre/post-processing. multiprocessor expansion and analog/digital I/O data pre/post-processing. Multiple TORNADO-SX coprocessors can be daisy-chained for multi-stage Multiple TORNADO-SX coprocessors can be daisy-chained for multi-stage pipelining of DSP computation. TORNADO-SX coprocessors can be also pipelining of DSP computation. TORNADO-SX coprocessors can be also used for standalone used for standalone DSP operation with RS-232C interface and two SIOX DSP operation with RS-232C interface and two SIOX interfaces. TORNADO-SXinterfaces. TORNADO-SX coprocessors comprise of the on-board coprocessors comprise of the on-board ’’C3x/C3x/’’C5x C5x DSP, SRAM/Flash EPROM NVRAM/nvSRAM, and high-speed RS-232C DSP, SRAM/Flash EPROM NVRAM/nvSRAM, and high-speed RS-232C interface. Two DSP’s on-chip serial ports can be configured either as host/interface. Two DSP’s on-chip serial ports can be configured either as host/daughter or daughter/daughter SIOX interfaces. Software downloading can daughter or daughter/daughter SIOX interfaces. Software downloading can be performed via the on-board MPSD (be performed via the on-board MPSD (’’C3x) or JTAG (C3x) or JTAG (’’C5x) emulation C5x) emulation port or via host SIOX/RS-232C interfaces using EPROM/DSP resident boot port or via host SIOX/RS-232C interfaces using EPROM/DSP resident boot loader. A variety of SIOX A/D-D/A, digital I/O, and coprocessor daughter loader. A variety of SIOX A/D-D/A, digital I/O, and coprocessor daughter modules can be installed to daughter SIOX connectors. RS-232C can be modules can be installed to daughter SIOX connectors. RS-232C can be used for interfacing to external modem/hardware.used for interfacing to external modem/hardware.
3-277
MicroLAB Systems Ltd.
Development Hardware/Data Acquisition Board
Product Name: Data Acquisition Daughter Modules for SIOX/PIOX/LIOX Interfaces of TORNADO DSP Systems and SIOX/PIOX Coprocessors
Platforms Supported: TORNADO SIOX/PIOX/LIOX Host Interface
Devices Supported: TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Compact SIOX/PIOX/LIOX daughter modules for TORNADO DSP sys-Compact SIOX/PIOX/LIOX daughter modules for TORNADO DSP sys-tems/coprocessorstems/coprocessors
•• Multichannel AD/DA modules with programmable sampling frequencyMultichannel AD/DA modules with programmable sampling frequency•• Professional/multimedia-quality audio modules and DAT interfacesProfessional/multimedia-quality audio modules and DAT interfaces•• Digital I/O modules with/without opto-isolation and prototyping mod-Digital I/O modules with/without opto-isolation and prototyping mod-
ulesules•• Low-cost, ready-on solution for instrumentation, multimedia, commu-Low-cost, ready-on solution for instrumentation, multimedia, commu-
nication, etc. applicationsnication, etc. applications
Product Description
The serial (SIOX), parallel (PIOX), and link (LIOX) I/O expansion inter-The serial (SIOX), parallel (PIOX), and link (LIOX) I/O expansion inter-faces of TORNADO DSP systems/coprocessors are supported by a variety faces of TORNADO DSP systems/coprocessors are supported by a variety of compatible data-acquisition daughter modules for ready-on low-cost of compatible data-acquisition daughter modules for ready-on low-cost solution for instrumentation, multimedia, communication, industrial con-solution for instrumentation, multimedia, communication, industrial con-trol, etc. applications. The instrumentation modules feature 12..18 bits res-trol, etc. applications. The instrumentation modules feature 12..18 bits res-olution and single/multiple I/O channels in a wide range of sampling olution and single/multiple I/O channels in a wide range of sampling frequencies. The audio modules include a variety of multimedia- and pro-frequencies. The audio modules include a variety of multimedia- and pro-fessional-quality programmable stereo CODECS and programmable AES/fessional-quality programmable stereo CODECS and programmable AES/EBU and S/PDIF DAT interfaces. Modules for communication and multime-EBU and S/PDIF DAT interfaces. Modules for communication and multime-dia applications feature low cost and programmability. Call MicroLAB Sys-dia applications feature low cost and programmability. Call MicroLAB Sys-tems Ltd. for the latest list of SIOX/PIOX/LIOX data acquisition daughter tems Ltd. for the latest list of SIOX/PIOX/LIOX data acquisition daughter modules available.modules available.
3-278
Mizar, Inc.2410 Luna Road Mizar EuropeCarrollton, TX 75006 USA Aztec Centre, Aztec West(214) 277-4600 or (800) 635-0800 Almondsbury, Bristol, BS12 4TD Fax: (214) 277-4666 United Kingdome-mail: [email protected] +44 (0) 1454 614584 www: http://www.mizarinc.com Fax: +44 (0) 1454 617731
Distributors:Kane Computing HSPUnited Kingdom France+44 (0) 1606 351006 +33 130573113 Fax:+44(0)1606 351007 Fax: +33 130435117
Company Background Mizar, Inc. is one of the leading firms offering high-performance digital signal processing Mizar, Inc. is one of the leading firms offering high-performance digital signal processing (DSP) platforms for real-time image-processing and other applications requiring multi-(DSP) platforms for real-time image-processing and other applications requiring multi-processor DSP architectures. Mizar offers products currently based on Texas Instru-processor DSP architectures. Mizar offers products currently based on Texas Instru-ments successful TMS320C40, ’C44 and ’C80 classes of digital signal processors for ments successful TMS320C40, ’C44 and ’C80 classes of digital signal processors for VME, ISA, and PCI bus. To speed the development process, a wide variety of support VME, ISA, and PCI bus. To speed the development process, a wide variety of support tools are available for the Mizar DSP engines.tools are available for the Mizar DSP engines.
Development Hardware/Multiprocessor Board
Product Name: MZ 7772 Octal �C40 DSP Engine (Quad Version Available)Platforms Supported: VMEbusDevices Supported: TMS320C40
Features and Benefits
•• Co-developed with Texas Instruments, Defense Systems and Electron-Co-developed with Texas Instruments, Defense Systems and Electron-ics Groupics Group
•• Eight ’C40 processors in a single VME slot utilizing TAB packagingEight ’C40 processors in a single VME slot utilizing TAB packaging•• Up to 4-MB zero-wait-state SRAM per processor and 8-MB global SRAMUp to 4-MB zero-wait-state SRAM per processor and 8-MB global SRAM•• 1-MB Flash memory, BIT included1-MB Flash memory, BIT included•• 25-MHz/32-bit wide Near-Global™ interface per ’C40 for memory or I/O 25-MHz/32-bit wide Near-Global™ interface per ’C40 for memory or I/O
expansionexpansion
Product Description
Mizar’s MZ 7772 is an eight-processor 6U DSP board for the VMEbus that Mizar’s MZ 7772 is an eight-processor 6U DSP board for the VMEbus that requires no daughterboards. Having no daughterboards means no need for requires no daughterboards. Having no daughterboards means no need for interprocessor or intraboard communication through a daughterboard con-interprocessor or intraboard communication through a daughterboard con-nector, for increased reliability and throughput. By using the state-of-the-nector, for increased reliability and throughput. By using the state-of-the-art tape-automated bonding (TAB) packaging technology, the MZ 7772 can art tape-automated bonding (TAB) packaging technology, the MZ 7772 can accommodate eight ’C40 processors on the motherboard. Each ’C40 is accommodate eight ’C40 processors on the motherboard. Each ’C40 is capable of processing 50 MFLOPS, giving the board an aggregate perfor-capable of processing 50 MFLOPS, giving the board an aggregate perfor-mance rating of 400 MFLOPS or 2.2 GOPS.mance rating of 400 MFLOPS or 2.2 GOPS.
3-279
Mizar, Inc.
Development Hardware/Multiprocessor Board
Product Name: MZ 7775 Quad �C40 Mil-Spec DSP Engine Platforms Supported: VMEbusDevices Supported: TMS320C40
Features and Benefits
•• Four SMJ320C40 40-MHz processors on-board with up to 2-MB zero-Four SMJ320C40 40-MHz processors on-board with up to 2-MB zero-wait-state SRAM per processorwait-state SRAM per processor
•• Designed and tested to IEEE 1101.2 and 1156.1 by Texas Instruments, Designed and tested to IEEE 1101.2 and 1156.1 by Texas Instruments, Defense Systems and Electronics Group in 1992Defense Systems and Electronics Group in 1992
•• Programmable Xilinx interface directly linked to ’C40 global memory-Programmable Xilinx interface directly linked to ’C40 global memory-VSB or custom protocolVSB or custom protocol
•• Power dissipation: < 15 WattsPower dissipation: < 15 Watts•• Optional configuration (MZ 7776) routes one comm port per ’C40 over Optional configuration (MZ 7776) routes one comm port per ’C40 over
P2P2
Product Description
Mizar’s MZ 7775 a 6U multiprocessor DSP board for the VMEbus, was Mizar’s MZ 7775 a 6U multiprocessor DSP board for the VMEbus, was designed to conform fully with military specifications. The board features designed to conform fully with military specifications. The board features four TI SMJ320C40 mil spec DSPs, each of which is capable of 40 MFLOPS. four TI SMJ320C40 mil spec DSPs, each of which is capable of 40 MFLOPS. In aggregate, the MZ 7775 can process 160 MFLOPS, or more than 800 In aggregate, the MZ 7775 can process 160 MFLOPS, or more than 800 MOPS. The MZ 7776 has four SMJ320C40 50-MHz processors.MOPS. The MZ 7776 has four SMJ320C40 50-MHz processors.
The MZ 7775’s high-performance parallel-signal-processing capabilities The MZ 7775’s high-performance parallel-signal-processing capabilities make it an ideal solution for military applications such as surveillance, guid-make it an ideal solution for military applications such as surveillance, guid-ance systems, and command and control systems.ance systems, and command and control systems.
To facilitate development efforts, the MZ 7775 comes with an extensive To facilitate development efforts, the MZ 7775 comes with an extensive selection of software support tools, including the Sun-based Mizar multi-selection of software support tools, including the Sun-based Mizar multi-user/multiboard DSP debugger, that allows a design team over a network to user/multiboard DSP debugger, that allows a design team over a network to develop and debug software running on one or more multichip boards develop and debug software running on one or more multichip boards simultaneously in one VME card cage.simultaneously in one VME card cage.
3-280
Mizar, Inc.
Development Hardware/Multiprocessor Board
Product Name: MZ 7778 Octal �C40 Mil-Spec DSP EnginePlatforms Supported: VMEbusDevices Supported: TMS320C40
Features and Benefits
•• Eight SMJ320C40 40-MHz processors on-board with up to 2-MB zero-Eight SMJ320C40 40-MHz processors on-board with up to 2-MB zero-wait-state SRAM per processorwait-state SRAM per processor
•• VME64 Master/Slave interfaceVME64 Master/Slave interface•• Programmable 32-bit Xilinx interface with access to P2, VRAM, and Programmable 32-bit Xilinx interface with access to P2, VRAM, and
’C40 global bus’C40 global bus•• Power dissipation: < 32 WattsPower dissipation: < 32 Watts
Product Description
Mizar’s MZ 7778, which was designed by Texas Instruments, Defense Sys-Mizar’s MZ 7778, which was designed by Texas Instruments, Defense Sys-tems Electronics Group (DSEG) in partnership with Mizar, is a single-slot tems Electronics Group (DSEG) in partnership with Mizar, is a single-slot 6U VMEbus board that delivers 320 MegaFLOPS (million floating point 6U VMEbus board that delivers 320 MegaFLOPS (million floating point operations per second). By taking advantage of the ’C40’s advanced CQFP operations per second). By taking advantage of the ’C40’s advanced CQFP (ceramic quad flat pack) packaging, Mizar is able to provide this unparal-(ceramic quad flat pack) packaging, Mizar is able to provide this unparal-leled logic integration for military and other hazardous applications that leled logic integration for military and other hazardous applications that require conformance to IEEE 1101.2 and 1156.1.require conformance to IEEE 1101.2 and 1156.1.
The massive power of its hardware, and the many software support The massive power of its hardware, and the many software support tools provided by Mizar (including Sun and HP/UX-based emulators) make tools provided by Mizar (including Sun and HP/UX-based emulators) make the MZ 7778 an outstanding platform for DSP applications for years to the MZ 7778 an outstanding platform for DSP applications for years to come.come.
MZ 7778 back viewMZ 7778 back view
MZ 7778 front viewMZ 7778 front view
3-281
Mizar, Inc.
Development Hardware/Multiprocessor Board
Product Name: MZ 4700 Single/Dual �C80 Parallel DSP Engine Platforms Supported: VMEbusDevices Supported: TMS320C80
Features and Benefits
•• Co-developed with Texas Instruments, Defense Systems and Electron-Co-developed with Texas Instruments, Defense Systems and Electron-ics Groupics Group
•• Single or dual independent 40-MHz TMS320C80 Multimedia Video Pro-Single or dual independent 40-MHz TMS320C80 Multimedia Video Pro-cessorscessors
•• High-speed daughterboard interface for custom I/OHigh-speed daughterboard interface for custom I/O•• Master/slave VME64 interface with interrupt supportMaster/slave VME64 interface with interrupt support•• Two IndustryPack expansion sitesTwo IndustryPack expansion sites
Product Description
Mizar’s MZ 4700 is a single- or dual-’C80 parallel DSP engine. It is the indus-Mizar’s MZ 4700 is a single- or dual-’C80 parallel DSP engine. It is the indus-try’s first single-slot, 6U VME board with two TMS320C80s for high-perfor-try’s first single-slot, 6U VME board with two TMS320C80s for high-perfor-mance and high-bandwidth image- and audio-processing applications. The mance and high-bandwidth image- and audio-processing applications. The architecture of the MZ 4700 offers a high degree of flexibility allowing for architecture of the MZ 4700 offers a high degree of flexibility allowing for parallel or independent processing by the two ’C80 chips. The board pro-parallel or independent processing by the two ’C80 chips. The board pro-vides a full master/slave interface with support of VME64, interrupts, local vides a full master/slave interface with support of VME64, interrupts, local and global memory, and expansion daughterboard interfaces for custom I/O.and global memory, and expansion daughterboard interfaces for custom I/O.
The MZ 4700 also has a comprehensive selection of software develop-The MZ 4700 also has a comprehensive selection of software develop-ment tools. The ANSI-compatible C/C++ compiler not only gives a high-ment tools. The ANSI-compatible C/C++ compiler not only gives a high-level language for single-threaded program development, but its parallel level language for single-threaded program development, but its parallel processing run-time library facilitates the writing of parallel programs processing run-time library facilitates the writing of parallel programs which use both ’C80 RISC and ADSP processors simultaneously. A parallel which use both ’C80 RISC and ADSP processors simultaneously. A parallel processor assembler/linker package and the TI XDS Emulator also eases processor assembler/linker package and the TI XDS Emulator also eases the development of parallel code.the development of parallel code.
3-282
Mizar, Inc.
Development Hardware/Multiprocessor Board
Product Name: MZ 7914 Dual/Quad �C44 ISA Board Platforms Supported: ISA BusDevices Supported: TMS320C44
Features and Benefits
•• Cost-effective parallel-processing engineCost-effective parallel-processing engine•• Ideal OEM platformIdeal OEM platform•• Basic design may be easily adapted for market areas requiring specific Basic design may be easily adapted for market areas requiring specific
I/OI/O•• Fully code-compatible with the TMS320C40Fully code-compatible with the TMS320C40•• ’C44 supports four enhanced communications ports’C44 supports four enhanced communications ports
Product Description
Mizar’s MZ 7914 Quad ’C44 engine provides up to four 50-MHz TMS320C44 Mizar’s MZ 7914 Quad ’C44 engine provides up to four 50-MHz TMS320C44 DSPs for use in an ISA-based PC environment. The ’C44 takes advantage of DSPs for use in an ISA-based PC environment. The ’C44 takes advantage of a cost-effective 304-lead Plastic Quad Flat Package (PQFP) to produce a a cost-effective 304-lead Plastic Quad Flat Package (PQFP) to produce a DSP that is code compatible with the established ’C40 DSP. Thus ’C44-DSP that is code compatible with the established ’C40 DSP. Thus ’C44-based boards, such as the MZ 7914, will be suitable for a wide range of com-based boards, such as the MZ 7914, will be suitable for a wide range of com-mercial applications including telecommunications and image processing.mercial applications including telecommunications and image processing.
The ’C44 has four communications ports that are similar to those of the The ’C44 has four communications ports that are similar to those of the ’C40, but support a direction control pin and a reset function to ease their ’C40, but support a direction control pin and a reset function to ease their use in parallel-processing system. The ’C44 also has a low-power mode for use in parallel-processing system. The ’C44 also has a low-power mode for use in embedded systems where power consumption can be critical. The use in embedded systems where power consumption can be critical. The board also has an on-board JTAG controller to support the standard TI board also has an on-board JTAG controller to support the standard TI Emulator.Emulator.
3-283
Mizar, Inc.
Development Hardware/Multiprocessor Board
Product Name: MZ 7928 Single �C80 PCI DSP EnginePlatforms Supported: PCI BusDevices Supported: TMS320C80
Features and Benefits
•• Single TMS320C80 processorSingle TMS320C80 processor•• Up to 32 MBytes of DRAMUp to 32 MBytes of DRAM•• Up to 6 MBytes of VRAMUp to 6 MBytes of VRAM•• High-speed FIFO-based PCI interfaceHigh-speed FIFO-based PCI interface•• Supports video daughterboardsSupports video daughterboards
Product Description
Mizar’s MZ 7928 is a single TMS320C80 Multimedia Video Processor digital Mizar’s MZ 7928 is a single TMS320C80 Multimedia Video Processor digital signal processing (DSP) board for the PCI bus in a full length, extended-signal processing (DSP) board for the PCI bus in a full length, extended-height AT-style form factor. Because of the multiprocessing capabilities of height AT-style form factor. Because of the multiprocessing capabilities of the ’C80, the MZ 7928 is particularly well suited to processor-intensive the ’C80, the MZ 7928 is particularly well suited to processor-intensive video and imaging applications, such as medical imaging, machine vision, video and imaging applications, such as medical imaging, machine vision, automatic inspection systems, and others.automatic inspection systems, and others.
With the 32-bit, 120-Mbps throughput of the PCI bus, a system with a With the 32-bit, 120-Mbps throughput of the PCI bus, a system with a PCI-based motherboard and plug-in peripheral cards is often able to PCI-based motherboard and plug-in peripheral cards is often able to replace much more expensive bus-based, backplane systems that require a replace much more expensive bus-based, backplane systems that require a complete card cage. The MZ 7928 gives PCI-based systems the multipro-complete card cage. The MZ 7928 gives PCI-based systems the multipro-cessing capabilities they need in high-end applications.cessing capabilities they need in high-end applications.
The MZ 7928’s PCI bus interface supports auto-configuration and full The MZ 7928’s PCI bus interface supports auto-configuration and full multi-master capabilities, allowing any PCI master peer-to-peer access to multi-master capabilities, allowing any PCI master peer-to-peer access to any PCI slave.any PCI slave.
3-284
Momentum Data Systems, Inc.1520 Nutmeg PlaceSuite 108Costa Mesa, CA 92626 USA(714) 557-6884Fax: (714) 557-6969e-mail: [email protected]: http://www.mds.com
Company Background Momentum Data Systems was founded in 1987. The company specializes in DSP devel-Momentum Data Systems was founded in 1987. The company specializes in DSP devel-opment tools and applications of DSP technology. Consulting and custom board design opment tools and applications of DSP technology. Consulting and custom board design are available as well as the standard software and hardware products.are available as well as the standard software and hardware products.
Application Software
Product Name: DSPworksPlatforms Supported: PC, Sun, HP700 Series, IBM RS/6000Devices Supported: TMS320C1x, TMS320C2x, TMS320C2xx, TMS320C3x, TMS320C4x,
TMS320C5x, TMS320C54x
Features and Benefits
•• Data acquisitionData acquisition•• Signal generationSignal generation•• Signal analysisSignal analysis•• Waveform synthesisWaveform synthesis•• Real-time oscilloscope and spectrum analyzerReal-time oscilloscope and spectrum analyzer
Product Description
DSPworks is a multi-platform general-purpose signal-processing applica-DSPworks is a multi-platform general-purpose signal-processing applica-tion which is designed to provide powerful signal-processing features. The tion which is designed to provide powerful signal-processing features. The system is completely menu driven and easy-to-use. Because of DSPworks’ system is completely menu driven and easy-to-use. Because of DSPworks’ intuitive characteristics, the learning curve is minimized. DSPworks pro-intuitive characteristics, the learning curve is minimized. DSPworks pro-vides a complete library of built-in DSP algorithms for a variety of data- and vides a complete library of built-in DSP algorithms for a variety of data- and signal-analysis operations.signal-analysis operations.
3-285
Momentum Data Systems, Inc.
Application Software for Digital Filter Design
Product Name: QEDesign1000 / QEDesign 2000Platforms Supported: PC, Sun, HP700 Series, IBM RS/6000Devices Supported: The code generators for QEDesign support TMS320C1x, TMS320C2x, TMS320C2xx,
TMS320C3x, TMS320C4x, TMS320C5x, TMS320C54x
Features and Benefits
•• Extensive error checkingExtensive error checking•• Use of 64-bit floating point for all calculationsUse of 64-bit floating point for all calculations•• Large number of filter typesLarge number of filter types•• Coefficient quantization variable from 8 to 32 bitsCoefficient quantization variable from 8 to 32 bits•• QEDesign 2000 has features targeted for ASIC designQEDesign 2000 has features targeted for ASIC design
Product Description
QEDesign1000/2000 is an easy-to use, multi-platform advanced digital-fil-QEDesign1000/2000 is an easy-to use, multi-platform advanced digital-fil-ter design package. It is completely menu driven and user intuitive, afford-ter design package. It is completely menu driven and user intuitive, afford-ing almost a non-existent start-up and learning curve. QEDesign performs ing almost a non-existent start-up and learning curve. QEDesign performs complex mathematical computations for filter design, provides superior complex mathematical computations for filter design, provides superior graphical displays, and generates comprehensive design reports.graphical displays, and generates comprehensive design reports.
3-286
Momentum Data Systems, Inc.
Application Software for Digital Filter Design
Product Name: Multirate Filter DesignPlatforms Supported: PC, Sun, HP700 Series, IBM RS/6000Devices Supported: TMS320C1x, TMS320C2x, TMS320C2xx, TMS320C3x, TMS320C4x,
TMS320C5x, TMS320C54x
Features and Benefits
•• Analysis of possible decimation factorsAnalysis of possible decimation factors•• Suggested decimation factorSuggested decimation factor•• Prime-number analysis of decimation factorPrime-number analysis of decimation factor•• Analysis of multi-stage options for each decimation factorAnalysis of multi-stage options for each decimation factor•• Calculates computational efficiency gainCalculates computational efficiency gain
Product Description
The Multirate Filter Design system uses multirate signal-processing tech-The Multirate Filter Design system uses multirate signal-processing tech-niques to design a variety of FIR filters and makes this highly-specialized niques to design a variety of FIR filters and makes this highly-specialized and time-consuming filter-design technique readily available to the DSP and time-consuming filter-design technique readily available to the DSP engineer. The system provides fully-automated design of multi-stage engineer. The system provides fully-automated design of multi-stage polyphase filters. This eliminates the tedious and difficult process of speci-polyphase filters. This eliminates the tedious and difficult process of speci-fying and computing a multi-stage multirate filter design. The system pro-fying and computing a multi-stage multirate filter design. The system pro-vides C Code generation for all supported filter types, thus making the vides C Code generation for all supported filter types, thus making the implementation of the filter a relatively simple task.implementation of the filter a relatively simple task.
3-287
Momentum Data Systems, Inc.
Application Software for Digital Filter Design
Product Name: Sample Rate ConversionPlatforms Supported: PC, Sun, HP700 Series, IBM RS/6000Devices Supported: TMS320C1x, TMS320C2x, TMS320C2xx, TMS320C3x, TMS320C4x,
TMS320C5x, TMS320C54x
Features and Benefits
•• Analysis of possible decimation factorsAnalysis of possible decimation factors•• Suggested decimation factorSuggested decimation factor•• Prime-number analysis of decimation factorPrime-number analysis of decimation factor•• Analysis of multi-stage options for each decimation factorAnalysis of multi-stage options for each decimation factor•• Calculates computational efficiency gainCalculates computational efficiency gain
Product Description
The Sample Rate Conversion system provides fully-automated design of The Sample Rate Conversion system provides fully-automated design of multi-stage polyphase filters which are required to achieve the change of multi-stage polyphase filters which are required to achieve the change of sampling rate. This eliminates the tedious and difficult process of specify-sampling rate. This eliminates the tedious and difficult process of specify-ing and computing a multi-stage Sample Rate Conversion design. The sys-ing and computing a multi-stage Sample Rate Conversion design. The sys-tem provides complete C Code generation for the decimation, tem provides complete C Code generation for the decimation, interpolation, and filtering, thus making the implementation of the the sam-interpolation, and filtering, thus making the implementation of the the sam-ple-rate change a relatively simple task.ple-rate change a relatively simple task.
3-288
Momentum Data Systems, Inc.
Application Software for Digital Filter Design
Product Name: Quadrature Mirror Filter BankPlatforms Supported: PC, Sun, HP700 Series, IBM RS/6000Devices Supported: TMS320C1x, TMS320C2x, TMS320C2xx, TMS320C3x, TMS320C4x,
TMS320C5x, TMS320C54x
Features and Benefits
•• Elliptic allpass designElliptic allpass design•• Linear phase PR designLinear phase PR design•• Paraunitary PR designParaunitary PR design•• Cosine-modulated filter banksCosine-modulated filter banks•• Maximum of 64 channelsMaximum of 64 channels
Product Description
The Quadrature Mirror Filter Bank system provides FIR PR design (spec-The Quadrature Mirror Filter Bank system provides FIR PR design (spec-tral factorization) as well as the Johnson’s Optimization design. The follow-tral factorization) as well as the Johnson’s Optimization design. The follow-ing plots are provided for each design: 1) Analysis banks, 2) Synthesis ing plots are provided for each design: 1) Analysis banks, 2) Synthesis banks, 3) Overall distortion, 4) Aliasing components. Filter coefficients are banks, 3) Overall distortion, 4) Aliasing components. Filter coefficients are written to a file.written to a file.
3-289
Momentum Data Systems, Inc.
Development Hardware/Plug-In ISA Board
Product Name: Condor-51Platforms Supported: PCDevices Supported: TMS320C5x
Features and Benefits
•• Texas Instruments TMS320C51 processor (50-MIPS peak perfor-Texas Instruments TMS320C51 processor (50-MIPS peak perfor-mance, 16-bit fixed-point architecture, single-cycle 16 mance, 16-bit fixed-point architecture, single-cycle 16 × 16-bit multi- 16-bit multi-ply/add)ply/add)
•• Connector site for stackable daughter cards (memory, analog I/O)Connector site for stackable daughter cards (memory, analog I/O)•• 128 kwords of fast SRAM (64k program memory, 64k data memory)128 kwords of fast SRAM (64k program memory, 64k data memory)•• New proposed standard bus for connecting I/O modules (compatible New proposed standard bus for connecting I/O modules (compatible
with HotHaus modules)with HotHaus modules)•• Modules supported: Momentum’s 16-bit stereo audio module, HotHaus Modules supported: Momentum’s 16-bit stereo audio module, HotHaus
telephony moduletelephony module
Product Description
The Condor-51 is a DSP board for the ISA bus. The board features a Texas The Condor-51 is a DSP board for the ISA bus. The board features a Texas Instruments TMS320C51 processor, 128 kwords of RAM, and a connector Instruments TMS320C51 processor, 128 kwords of RAM, and a connector site for a daughter card. It is designed to be used for developing applica-site for a daughter card. It is designed to be used for developing applica-tions based on the ’C5x family of DSPs from Texas Instruments. It may also tions based on the ’C5x family of DSPs from Texas Instruments. It may also be useful in low-volume OEM situations. The TI ’C5x DSPs are 16-bit fixed-be useful in low-volume OEM situations. The TI ’C5x DSPs are 16-bit fixed-point Harvard architecture processors. They have bit-manipulation instruc-point Harvard architecture processors. They have bit-manipulation instruc-tions for control applications and fast multiply and add instructions for DSP tions for control applications and fast multiply and add instructions for DSP applications. The Condor-51 daughter-card site accepts memory, proces-applications. The Condor-51 daughter-card site accepts memory, proces-sor, and I/O expansion boards. These boards may be stacked as needed to sor, and I/O expansion boards. These boards may be stacked as needed to get combinations of functions. The daughter card uses a proposed standard get combinations of functions. The daughter card uses a proposed standard for ’C5x modules. The daughter site will accept HotHaus telephony mod-for ’C5x modules. The daughter site will accept HotHaus telephony mod-ules as they use the same stackable bus arrangement.ules as they use the same stackable bus arrangement.
3-290
MultiDSP Inc.4865 Linaro Dr. Cypress, CA 90630, U.S.A. (714) 527-8086 Fax: (714) 527-8287email: [email protected]
Company Background MultiDSP Inc. is a leading provider of low-cost, most-efficient, high-quality digital signal MultiDSP Inc. is a leading provider of low-cost, most-efficient, high-quality digital signal processing software and hardware. Applications supported include multimedia (JPEG, processing software and hardware. Applications supported include multimedia (JPEG, MPEG) video compression/decompression, adaptive signal processing system design MPEG) video compression/decompression, adaptive signal processing system design and modeling, digital filter design and processing, real-time data acquisition, and analy-and modeling, digital filter design and processing, real-time data acquisition, and analy-sis. MultiDSP focuses on developing tools for professional design engineers in the area sis. MultiDSP focuses on developing tools for professional design engineers in the area of multimedia and digital signal processing.of multimedia and digital signal processing.
Algorithm Development Software/PC
Product Name: JPEG LibraryPlatforms Supported: Windows Devices Supported: TMS320C3x
Features and Benefits
•• Fastest C-language JPEG baseline library supporting 8-bit grayscale Fastest C-language JPEG baseline library supporting 8-bit grayscale and 24-bit color imagesand 24-bit color images
•• Three user-selectable quantization tables and data reduction transfor-Three user-selectable quantization tables and data reduction transfor-mation formats, 1:1:1, or 2:1:1, or 4:1:1 for desired compression ratiomation formats, 1:1:1, or 2:1:1, or 4:1:1 for desired compression ratio
•• Fully compliant with the JPEG-8-R8 baseline specsFully compliant with the JPEG-8-R8 baseline specs•• Interleaved and noninterleaved data formatsInterleaved and noninterleaved data formats
Product Description
MultiDSP’s JPEG software is the fastest, most efficient, and easy-to-use C-MultiDSP’s JPEG software is the fastest, most efficient, and easy-to-use C-language JPEG baseline library. It contains the following features: RGB-to-language JPEG baseline library. It contains the following features: RGB-to-YCbCr color transformation, forward discrete cosine transform, quantiza-YCbCr color transformation, forward discrete cosine transform, quantiza-tion, Huffman variable-word-length encoder, encoder and decoder C-call-tion, Huffman variable-word-length encoder, encoder and decoder C-call-able library DLL functions. Both source and object codes are available. able library DLL functions. Both source and object codes are available. Special customer design such as TMS320C3x code is also available.Special customer design such as TMS320C3x code is also available.
3-291
MultiDSP Inc.
Application Software
Product Name: ASP 2.0Platforms Supported: Windows
Devices Supported: TMS320C3x
Features and Benefits
•• 21 different adaptive filter algorithms21 different adaptive filter algorithms•• Four design modules: Prediction, Modeling, Multi-Channel, and Multi-Four design modules: Prediction, Modeling, Multi-Channel, and Multi-
DimensionDimension•• From fixed-point (8-bit) to floating-point (64-bit) arithmetic for com-From fixed-point (8-bit) to floating-point (64-bit) arithmetic for com-
putationputation•• Architectures: transversal, lattice-ladder, ARMA, and arraysArchitectures: transversal, lattice-ladder, ARMA, and arrays•• Many output plots for easy analyzing designsMany output plots for easy analyzing designs
Product Description
MultiDSP’s Adaptive Signal Processing (ASP) is a low-cost, most-efficient, MultiDSP’s Adaptive Signal Processing (ASP) is a low-cost, most-efficient, and easy-to-use window-based tool. It integrates 21 different adaptive filter and easy-to-use window-based tool. It integrates 21 different adaptive filter algorithms: five LMSs, three lattice LMSs, three transversal fast Kalmans, algorithms: five LMSs, three lattice LMSs, three transversal fast Kalmans, three fast a posteriori error sequential techniques, and four QR rotations. It three fast a posteriori error sequential techniques, and four QR rotations. It provides four different design modules: Prediction, Modeling, Multi-Chan-provides four different design modules: Prediction, Modeling, Multi-Chan-nel, and Multi-Dimension. ASP accepts user-provided input data sequences nel, and Multi-Dimension. ASP accepts user-provided input data sequences and outputs both time and frequency domain plots of input sequence, and outputs both time and frequency domain plots of input sequence, adaptive filter, and filter output sequence. The error sequence is provided adaptive filter, and filter output sequence. The error sequence is provided in both linear and log (dB) scale.in both linear and log (dB) scale.
3-292
MultiDSP Inc.
Algorithm Development Software/PC
Product Name: DSPlus 2.0Platforms Supported: Windows
Devices Supported: TMS320C2x, TMS320C3x, TMS320C5x
Features and Benefits
•• Real-time data acquisition, processing, and analysis with TMS320C3x/Real-time data acquisition, processing, and analysis with TMS320C3x/’C5x accelerator boards’C5x accelerator boards
•• Signal generator: sinusoidal, triangular, square, noise, windowsSignal generator: sinusoidal, triangular, square, noise, windows•• Processing: decimation, interpolation, convolution, autocorrelation, Processing: decimation, interpolation, convolution, autocorrelation,
crosscorrelationcrosscorrelation•• Transform: discrete cosine transform, fast Fourier transform, average Transform: discrete cosine transform, fast Fourier transform, average
FFTsFFTs
Product Description
MultiDSP’s DSPlus is a low-cost, most efficient, and easy-to-use window-MultiDSP’s DSPlus is a low-cost, most efficient, and easy-to-use window-based data acquisition tool for signal processing and analysis. It provides based data acquisition tool for signal processing and analysis. It provides three methods to get data sequences. First, it acquires signals via data three methods to get data sequences. First, it acquires signals via data acquisition boards. Second, it can import data files from outside sources. acquisition boards. Second, it can import data files from outside sources. Third, it self-synthesis desired waveform. These data sequences can be fil-Third, it self-synthesis desired waveform. These data sequences can be fil-tered with filter coefficients file provided by DigiFilter. The processing and tered with filter coefficients file provided by DigiFilter. The processing and analysis can be performed either by PC or DSP Accelerator.analysis can be performed either by PC or DSP Accelerator.
3-293
MultiDSP Inc.
Application Software
Product Name: DigiFilter 2.0Platforms Supported: Windows
Devices Supported: TMS320C2x, TMS320C3x, TMS320C5x
Features and Benefits
•• Filter design and real-time processing with TMS320C3x/’C5x boards in Filter design and real-time processing with TMS320C3x/’C5x boards in one single packageone single package
•• Coefficients scaled for cascade/parallel, direct form I and II and quanti-Coefficients scaled for cascade/parallel, direct form I and II and quanti-zation from 8-bit to 32-bitzation from 8-bit to 32-bit
•• IIR design via bilinear transformation and impulse invariant methodsIIR design via bilinear transformation and impulse invariant methods•• FIR design via windows and equiripple approximation with D/A com-FIR design via windows and equiripple approximation with D/A com-
pensation pensation
Product Description
MultiDSP’s DigiFilter is a low-cost, most efficient, and easy-to-use window-MultiDSP’s DigiFilter is a low-cost, most efficient, and easy-to-use window-based digital filter design and processing tool. It provides lowpass, high-based digital filter design and processing tool. It provides lowpass, high-pass, bandpass, and bandstop filter design. It also offers multi-band filters, pass, bandpass, and bandstop filter design. It also offers multi-band filters, Hilbert transformer and differentiator via FIR design. Users are allowed to Hilbert transformer and differentiator via FIR design. Users are allowed to view their design in real-time processing (DSP board with external oscillo-view their design in real-time processing (DSP board with external oscillo-scope) and simulation (PC display) simultaneously.scope) and simulation (PC display) simultaneously.
3-294
Nova Engineering, Inc.5 Circle Freeway DriveCincinnati, OH 45246(513) 860-3456 or (800) 341-NOVA (6682)Fax: (513) 860-3535e-mail: [email protected]: http://www.novaengr.com
Company Background Nova Engineering, Inc. provides design, analysis, simulation, and hardware and software Nova Engineering, Inc. provides design, analysis, simulation, and hardware and software development for a wide array of electronic systems, including radio communications development for a wide array of electronic systems, including radio communications equipment and advanced signal-processing systems. Corporate capability includes sys-equipment and advanced signal-processing systems. Corporate capability includes sys-tem engineering, RF development to 6 GHz, and digital hardware and software for a tem engineering, RF development to 6 GHz, and digital hardware and software for a wide range of processors and operating systems. Nova has particular expertise in the wide range of processors and operating systems. Nova has particular expertise in the development of hardware and software for embedded signal-processing systems using development of hardware and software for embedded signal-processing systems using the TMS320C3x, TMS320C4x, and TMS320C5x processors.the TMS320C3x, TMS320C4x, and TMS320C5x processors.
Development Hardware/Plug-In Module/PC/104
Product Name: Starburst 104C31Platforms Supported: PC, MacDevices Supported: TMS320C31
Features and Benefits
•• PC/104 form factor (3.6" PC/104 form factor (3.6" × 3.8") for compact embedded systems 3.8") for compact embedded systems•• TMS320C31 40 MHz (optional 50 or 60 MHz) optimized for DSPTMS320C31 40 MHz (optional 50 or 60 MHz) optimized for DSP•• Four A/D channels - 10-bit, 2-µs conversion rateFour A/D channels - 10-bit, 2-µs conversion rate•• Two D/A channels - one 10-bit, one 8-bit - 2-µs conversion rateTwo D/A channels - one 10-bit, one 8-bit - 2-µs conversion rate•• 512-kbytes SRAM, 512-kbytes FLASH, and 2-kbytes dual-port RAM512-kbytes SRAM, 512-kbytes FLASH, and 2-kbytes dual-port RAM
Product Description
The Starburst 104C31 PC/104 module, optimized for baseband signal pro-The Starburst 104C31 PC/104 module, optimized for baseband signal pro-cessing, contains a 40-MHz (50- or 60-MHz optional) TMS320C31 and an cessing, contains a 40-MHz (50- or 60-MHz optional) TMS320C31 and an analog I/O section which includes four 10-bit A/D channels (500 kHz), one analog I/O section which includes four 10-bit A/D channels (500 kHz), one 10-bit D/A channel, and one 8-bit D/A channel. 128 kwords (32-bits wide) 10-bit D/A channel, and one 8-bit D/A channel. 128 kwords (32-bits wide) of zero-wait-state static RAM and 512 kbytes of on-board FLASH memory of zero-wait-state static RAM and 512 kbytes of on-board FLASH memory provide a mechanism for boot-loading user application code to program provide a mechanism for boot-loading user application code to program memory. A serial communications controller provides two independent memory. A serial communications controller provides two independent serial channels that support a variety of industry-standard synchronous serial channels that support a variety of industry-standard synchronous and asynchronous communication protocols. Digital I/O is also contained and asynchronous communication protocols. Digital I/O is also contained on the Starburst 104C31 which is accessible from the host processor. A on the Starburst 104C31 which is accessible from the host processor. A high-speed synchronous serial port operates at up to 11.5 Mbps while two high-speed synchronous serial port operates at up to 11.5 Mbps while two universal sync/asynchronous serial ports operate at up to 4.1 Mbps with universal sync/asynchronous serial ports operate at up to 4.1 Mbps with modem-control signals.modem-control signals.
3-295
Nova Engineering, Inc.
Development Hardware/Plug-In Module/PC/104
Product Name: Starburst 104C5xPlatforms Supported: PC, MacDevices Supported: TMS320C5x
Features and Benefits
•• PC/104 form factor (3.6" PC/104 form factor (3.6" × 3.8") for compact embedded systems 3.8") for compact embedded systems•• TMS320C5x 40 MHz (optional 57 or 80 MHz) optimized for DSPTMS320C5x 40 MHz (optional 57 or 80 MHz) optimized for DSP•• Four A/D channels - 10-bit, 2-µs conversion rateFour A/D channels - 10-bit, 2-µs conversion rate•• Two D/A channels - one 10-bit, one 8-bit - 2-µs conversion rateTwo D/A channels - one 10-bit, one 8-bit - 2-µs conversion rate•• 256-kbytes SRAM, 256-kbytes FLASH, and 2-kbytes dual-port RAM256-kbytes SRAM, 256-kbytes FLASH, and 2-kbytes dual-port RAM
Product Description
The Starburst 104C5x PC/104 module, optimized for baseband signal pro-The Starburst 104C5x PC/104 module, optimized for baseband signal pro-cessing, contains a 40-MHz (57 or 80-MHz optional) TMS320C5x and an cessing, contains a 40-MHz (57 or 80-MHz optional) TMS320C5x and an analog I/O section which includes four 10-bit A/D channels (500 kHz), one analog I/O section which includes four 10-bit A/D channels (500 kHz), one 10-bit D/A channel, and one 8-bit D/A channel. 128 kwords (16-bits wide) 10-bit D/A channel, and one 8-bit D/A channel. 128 kwords (16-bits wide) of zero-wait-state static RAM and 12 kwords of on-board FLASH memory of zero-wait-state static RAM and 12 kwords of on-board FLASH memory provide a mechanism for boot-loading user application code to program provide a mechanism for boot-loading user application code to program memory. A serial communications controller provides two independent memory. A serial communications controller provides two independent serial channels that support a variety of industry-standard synchronous serial channels that support a variety of industry-standard synchronous and asynchronous communication protocols. Digital I/O is also contained and asynchronous communication protocols. Digital I/O is also contained on the Starburst 104C5x which is accessible from the host processor. A on the Starburst 104C5x which is accessible from the host processor. A high-speed synchronous serial port operates at up to 11.5 Mbps while two high-speed synchronous serial port operates at up to 11.5 Mbps while two universal sync/asynchronous serial ports operate at up to 4.1 Mbps with universal sync/asynchronous serial ports operate at up to 4.1 Mbps with modem-control signals.modem-control signals.
3-296
Numerix Ltd.157 Sileby RoadBarrow-on-SoarLeics, LE12 8LWUK+44 (0)1509 413195e-mail: [email protected]: http://www.compulink.co.uk/~numerix/
Company Background Numerix is a supplier of real-time digital signal processing (DSP) software and solutions Numerix is a supplier of real-time digital signal processing (DSP) software and solutions for spectrum analysis, imaging, communications, control systems, and many other for spectrum analysis, imaging, communications, control systems, and many other areas.areas.
Algorithm Development Software
Product Name: SigLibPlatforms Supported: IBM PC/AT, UNIX Workstations (including Sun)Devices Supported: TMS320C3x, TMS320C4x
Product Description
SigLib is an ANSI C Source DSP library that has been compiled to run on SigLib is an ANSI C Source DSP library that has been compiled to run on the TMS320 floating-point DSPs in many real-time systems. SigLib contains the TMS320 floating-point DSPs in many real-time systems. SigLib contains over 200 base functions and over 80 example programs, comprising more over 200 base functions and over 80 example programs, comprising more than 18,000 lines of code. The full C source code for the library is supplied. than 18,000 lines of code. The full C source code for the library is supplied. The SigLib package also includes DFilter, an FIR and IIR digital filter design The SigLib package also includes DFilter, an FIR and IIR digital filter design program, and WinBuf, a Windows graphical front end for display of process program, and WinBuf, a Windows graphical front end for display of process results. The algorithm can be simulated on the development system using results. The algorithm can be simulated on the development system using the complex array of signal-generation functions, then the C code can be the complex array of signal-generation functions, then the C code can be recompiled to run on the chosen DSP, thus the SigLib library drastically recompiled to run on the chosen DSP, thus the SigLib library drastically reduces the time to market for a DSP product.reduces the time to market for a DSP product.
Applications of SigLib include room response analysis, audio effects, Applications of SigLib include room response analysis, audio effects, telecommunications, analysis and active control of sound and vibration (for telecommunications, analysis and active control of sound and vibration (for example drill string), system simulation, and medical imaging.example drill string), system simulation, and medical imaging.
All SigLib functionality is fully documented and there are several appli-All SigLib functionality is fully documented and there are several appli-cations notes showing how the library has been used in real-time systems. cations notes showing how the library has been used in real-time systems. Registered users of SigLib get one year’s free upgrade and maintenance.Registered users of SigLib get one year’s free upgrade and maintenance.
The main functional blocks of SigLib are: spectrum analysis, windowing The main functional blocks of SigLib are: spectrum analysis, windowing (real and complex), filtering (fixed and adaptive coefficient), convolution, (real and complex), filtering (fixed and adaptive coefficient), convolution, correlation, imaging, signal generation, communications, modulation, sta-correlation, imaging, signal generation, communications, modulation, sta-tistical and regression analysis, digital effects, vector manipulation, control, tistical and regression analysis, digital effects, vector manipulation, control, complex numbers, graphics, and data-stream disk I/O functions.complex numbers, graphics, and data-stream disk I/O functions.
3-297
ORINCON Technologies, Inc.9363 Towne Centre DriveSan Diego, CA 92121(619) 455-50251 (800) 4-RIPPENFax: (619) 455-5026e-mail: [email protected]: http://www.dspnet.com
Company BackgroundORINCON is a 23-year-old research and development company specializing in digital ORINCON is a 23-year-old research and development company specializing in digital signal processing applications for defense and government agencies. ORINCON has signal processing applications for defense and government agencies. ORINCON has developed products that increase application productivity by a factor of 5x–10x, and developed products that increase application productivity by a factor of 5x–10x, and has used these products on many projects. ORINCON’s expertise in DSP applications has used these products on many projects. ORINCON’s expertise in DSP applications has helped it to develop practical productivity tools that have proven benefit. The Com-has helped it to develop practical productivity tools that have proven benefit. The Com-
pany’s RIPPENpany’s RIPPEN®® product is the result of over 20 man-years of development, and has product is the result of over 20 man-years of development, and has been sold to government, military, and commercial customers for over four years.been sold to government, military, and commercial customers for over four years.
Application Software/Visual Programming
Product Name: RIPPENPlatforms Supported: PC, Sun, Mac, PowerMac, Embedded VMEDevices Supported: �C4x
Features and Benefits
•• Graphical programming for real-time multiprocessor applicationsGraphical programming for real-time multiprocessor applications•• Productivity increase of 5x–10xProductivity increase of 5x–10x•• Support for Mizar MZ 7772 Quad and Octal ’C40 VME BoardsSupport for Mizar MZ 7772 Quad and Octal ’C40 VME Boards•• Easily ported to other ’C40 platformsEasily ported to other ’C40 platforms
Product Description
RIPPEN is a graphical programming environment for development of real-RIPPEN is a graphical programming environment for development of real-time, multiprocessor applications. RIPPEN consists of a graphical develop-time, multiprocessor applications. RIPPEN consists of a graphical develop-ment environment and an optimized run-time environment, and is specifi-ment environment and an optimized run-time environment, and is specifi-cally adapted to a particular platform.cally adapted to a particular platform.
RIPPEN enables the application developer to construct a processing RIPPEN enables the application developer to construct a processing sequence as a “Flowgraph,” consisting of icons representing processing sequence as a “Flowgraph,” consisting of icons representing processing functions. These functions are selected from a menu of available routines, functions. These functions are selected from a menu of available routines, each of which is optimized for the platform on which the user is running. each of which is optimized for the platform on which the user is running. RIPPEN promotes code re-use through its object oriented design and mod-RIPPEN promotes code re-use through its object oriented design and mod-ular processing approach.ular processing approach.
Currently, RIPPEN is available for use on the Mizar MZ 7772-4 and Currently, RIPPEN is available for use on the Mizar MZ 7772-4 and MZ 7772-8 ’C40 boards. The Sinectonalysis vector library of optimized ’C40 MZ 7772-8 ’C40 boards. The Sinectonalysis vector library of optimized ’C40 code is used for RIPPEN’s vector operations. RIPPEN is portable to other code is used for RIPPEN’s vector operations. RIPPEN is portable to other ’C40 platforms.’C40 platforms.
3-298
Orsys GmbHAm Stadtgraben 188677 MarkdorfGermany+49 (0) 7544 73962Fax: +49 (0) 7544 73952
Company Background The company, Orsys GmbH, is specialized in extremely time-critical solutions for signal The company, Orsys GmbH, is specialized in extremely time-critical solutions for signal
processing. Beside the „micro lineprocessing. Beside the „micro line®®“ embedded-DSP board family, Orsys offers innova-“ embedded-DSP board family, Orsys offers innova-tive hardware and software development services for customer-specific applications tive hardware and software development services for customer-specific applications based on newest DSP and FPGA technologies. Orsys, offering customer consultation, based on newest DSP and FPGA technologies. Orsys, offering customer consultation, use of state-of-the-art technologies for achievement of high-performance and low-use of state-of-the-art technologies for achievement of high-performance and low-power consumption solutions and use of rigorous design-to-low-cost strategies.power consumption solutions and use of rigorous design-to-low-cost strategies.
Development Hardware/Standalone Board
Product Name: �micro-line� Embedded DSP Board Family��C31Platforms Supported: DOSDevices Supported: TMS320C31
Features and Benefits
•• Pocket-size embedded DSP boards for customer-specific prototyping Pocket-size embedded DSP boards for customer-specific prototyping and OEM applicationsand OEM applications
•• Little power consumption and well usable for battery-powered applica-Little power consumption and well usable for battery-powered applica-tionstions
•• Compatible to all standard TI development toolsCompatible to all standard TI development tools•• Standard peripheral hardware devices connectable without additional Standard peripheral hardware devices connectable without additional
glue logicglue logic•• Peripheral boards like A/D and D/A converters, communication sys-Peripheral boards like A/D and D/A converters, communication sys-
tems, etc. availabletems, etc. available
Product Description
•• micro-line C31CPU hardwaremicro-line C31CPU hardware
The flexible micro-line C31CPU board is our standard DSP-board with a The flexible micro-line C31CPU board is our standard DSP-board with a TMS320C31 signal processor. It offers operating speeds up to 60 MHz.TMS320C31 signal processor. It offers operating speeds up to 60 MHz.
Boards are available in a zero-wait-state RAM size of 32 kwords (128 Boards are available in a zero-wait-state RAM size of 32 kwords (128 kbytes) with a Flash-EPROM size of 128 kbytes or a zero-wait-state RAM kbytes) with a Flash-EPROM size of 128 kbytes or a zero-wait-state RAM size of 128k words (512k bytes) with a Flash-EPROM size of 512k-bytes size of 128k words (512k bytes) with a Flash-EPROM size of 512k-bytes version. All processor functions are user available without any restrictions.version. All processor functions are user available without any restrictions.
In order to enable direct bus connection between two or more boards, In order to enable direct bus connection between two or more boards, or bus connection of one or more boards to any host computer, a parallel or bus connection of one or more boards to any host computer, a parallel host port is realized.host port is realized.•• File System SoftwareFile System Software
The Flash-EPROM of each micro-line board contains an unerasable file sys-The Flash-EPROM of each micro-line board contains an unerasable file sys-tem software which can manage up to 63 resident user programs onboard. tem software which can manage up to 63 resident user programs onboard. By connecting the RS-232 port of the board to the RS-232 port of a devel-By connecting the RS-232 port of the board to the RS-232 port of a devel-opment PC, the user can operate with the eight instructions on the PC.opment PC, the user can operate with the eight instructions on the PC.
3-299
Orsys GmbH
Development Hardware/Standalone Board
Product Name: �micro-line� Embedded DSP Board Family��C32Platforms Supported: DOSDevices Supported: TMS320C32
Features and Benefits
•• Pocket-size embedded DSP boards for customer-specific prototyping Pocket-size embedded DSP boards for customer-specific prototyping and OEM applicationsand OEM applications
•• Little power consumption and well usable for battery-powered applica-Little power consumption and well usable for battery-powered applica-tionstions
•• Compatible to all standard TI development toolsCompatible to all standard TI development tools•• Standard peripheral hardware devices connectable without additional Standard peripheral hardware devices connectable without additional
glue logicglue logic•• Peripheral boards like A/D and D/A converters, communication sys-Peripheral boards like A/D and D/A converters, communication sys-
tems, etc. availabletems, etc. available
Product Description
•• micro-line C32CPU hardwaremicro-line C32CPU hardware
The C32CPU board is a low-cost, low-power DSP-board working with a The C32CPU board is a low-cost, low-power DSP-board working with a TMS320C32 signal processor. It offers operating speeds up to 60 MHz (80 TMS320C32 signal processor. It offers operating speeds up to 60 MHz (80 MHz).MHz).
Two kinds of external SRAM can be selected. The first kind is a high-Two kinds of external SRAM can be selected. The first kind is a high-speed RAM with zero-wait states, high-speed and higher-power consump-speed RAM with zero-wait states, high-speed and higher-power consump-tion. Possible RAM sizes are 32k words (128k bytes) and 128k words (512k tion. Possible RAM sizes are 32k words (128k bytes) and 128k words (512k bytes) or a double low-power RAM with wait states, lower speed, and lower bytes) or a double low-power RAM with wait states, lower speed, and lower power consumption. Possible RAM sizes are 8k words (32k bytes), 32k power consumption. Possible RAM sizes are 8k words (32k bytes), 32k words (128k bytes), 128k words (512k bytes), and 512k words (2 Mbytes). words (128k bytes), 128k words (512k bytes), and 512k words (2 Mbytes). Available Flash-EPROM alternatives are 128k bytes or 512k bytes.Available Flash-EPROM alternatives are 128k bytes or 512k bytes.
All processor functions are user available without any restrictions.All processor functions are user available without any restrictions.•• File System SoftwareFile System Software
The Flash-EPROM of each micro-line board contains an unerasable file sys-The Flash-EPROM of each micro-line board contains an unerasable file sys-tem software which can manage up to 63 resident user programs onboard. tem software which can manage up to 63 resident user programs onboard. By connecting the RS-232 port of the board to the RS-232 port of a devel-By connecting the RS-232 port of the board to the RS-232 port of a devel-opment PC, the user can operate with the eight instructions on the PC.opment PC, the user can operate with the eight instructions on the PC.
3-300
Orsys GmbH
Development Hardware/Standalone Board
Product Name: �micro-line� Embedded DSP Board Family��C44Platforms Supported: DOSDevices Supported: TMS320C44
Features and Benefits
•• Pocket-size embedded-DSP boards for customer-specific prototyping Pocket-size embedded-DSP boards for customer-specific prototyping and OEM applicationsand OEM applications
•• Little power consumption and well usable for battery-powered applica-Little power consumption and well usable for battery-powered applica-tionstions
•• Compatible to all standard TI development toolsCompatible to all standard TI development tools•• Standard peripheral hardware devices connectable without additional Standard peripheral hardware devices connectable without additional
glue logicglue logic•• Peripheral boards like A/D and D/A converters, communication sys-Peripheral boards like A/D and D/A converters, communication sys-
tems, etc. availabletems, etc. available
Product Description
•• micro-line C44CPU hardwaremicro-line C44CPU hardware
The C44CPU board is a high-performance, dual-bus DSP board working The C44CPU board is a high-performance, dual-bus DSP board working with a TMS320C44 signal processor. It offers operating speeds up to 60 with a TMS320C44 signal processor. It offers operating speeds up to 60 MHz (80 MHz).MHz (80 MHz).
Boards are available in a zero-wait-state RAM size of 2 Boards are available in a zero-wait-state RAM size of 2 × 32 kwords 32 kwords (256k bytes) with a Flash-EPROM size of 128k bytes or a zero-wait-state (256k bytes) with a Flash-EPROM size of 128k bytes or a zero-wait-state RAM size of 2 RAM size of 2 × 128 kwords (1 Mbyte) with a Flash-EPROM size of 512k- 128 kwords (1 Mbyte) with a Flash-EPROM size of 512k-bytes version.bytes version.
All processor functions are user available without any restrictions. All All processor functions are user available without any restrictions. All necessary extension control lines are generated onboard.necessary extension control lines are generated onboard.
Due to the TMS320C44 dual-bus architecture, it is easily possible to Due to the TMS320C44 dual-bus architecture, it is easily possible to realize a shared memory multiprocessor system with up to eight C44CPU realize a shared memory multiprocessor system with up to eight C44CPU boards.boards.
Board dimensions are 98 Board dimensions are 98 × 66 mm (3.86 66 mm (3.86 × 2.60 in). 2.60 in).•• File System SoftwareFile System Software
The Flash-EPROM of each micro-line board contains an unerasable file sys-The Flash-EPROM of each micro-line board contains an unerasable file sys-tem software which can manage up to 63 resident user programs onboard.tem software which can manage up to 63 resident user programs onboard.
3-301
Pacific Cyber/Metrix Inc. (PC/M Inc.)6693 Sierra LaneDublin, CA 94568 USA(510) 829-8700Fax: (510) 829-9796e-mail: [email protected]
Company Background PC/M has been a leading supplier of real-time computing systems since 1975, and has PC/M has been a leading supplier of real-time computing systems since 1975, and has produced Texas Instruments-based floating-point DSP systems longer than any other produced Texas Instruments-based floating-point DSP systems longer than any other company. PC/M supplies a large range of multiprocessor DSP systems for both the company. PC/M supplies a large range of multiprocessor DSP systems for both the VMEbus and IBM-PC, employing TI TMS320C3x and TMS320C4x processors.VMEbus and IBM-PC, employing TI TMS320C3x and TMS320C4x processors.
Development Hardware/Multiprocessor Board/VMEbus
Product Name: DSP-444Platforms Supported: UNIX, OS-9, Lynx, and all real-time kernels, plus Sun workstations and DOS/Windows
for development and run-time controlDevices Supported: TMS320C40
Features and Benefits
•• Four TMS320C40 processors in data-flow architectureFour TMS320C40 processors in data-flow architecture•• 4-Mbyte private static RAM for each processor4-Mbyte private static RAM for each processor•• Additional 4 Mbytes of shared RAMAdditional 4 Mbytes of shared RAM•• Two 50-Mbyte/s 36-bit FIFO-buffered front-panel ports for fast data I/OTwo 50-Mbyte/s 36-bit FIFO-buffered front-panel ports for fast data I/O•• Eight 20-Mbyte/s 8-bit FIFO-buffered ’C40 comm ports on front panelEight 20-Mbyte/s 8-bit FIFO-buffered ’C40 comm ports on front panel
Product Description
The quad-processor DSP-444 is a TMS320C40-based high-speed signal-pro-The quad-processor DSP-444 is a TMS320C40-based high-speed signal-pro-cessing board for advanced applications requiring both fast computations cessing board for advanced applications requiring both fast computations and high-speed data transport into and through the processing system. and high-speed data transport into and through the processing system. The board employs four TI TMS320C40 processors, large blocks of no-wait The board employs four TI TMS320C40 processors, large blocks of no-wait static RAM for each processor, and several high-speed mechanisms for fast static RAM for each processor, and several high-speed mechanisms for fast data movement.data movement.
Each TMS320C40 processor on the DSP-444 has two independent pri-Each TMS320C40 processor on the DSP-444 has two independent pri-vate RAM blocks, plus access to a large block of on-board local RAM shared vate RAM blocks, plus access to a large block of on-board local RAM shared by all processors.by all processors.
All DSP devices are interconnected through high-speed parallel chan-All DSP devices are interconnected through high-speed parallel chan-nels to enable fast data movement between processors. Two processors are nels to enable fast data movement between processors. Two processors are also connected to 36-bit front-panel parallel ports to provide for connection also connected to 36-bit front-panel parallel ports to provide for connection to DSP devices on other boards, and for fast data I/O. These FIFO channels to DSP devices on other boards, and for fast data I/O. These FIFO channels move data at sustained rates up to 50 Mbps each.move data at sustained rates up to 50 Mbps each.
The board also provides a fully-registered master/slave VMEbus inter-The board also provides a fully-registered master/slave VMEbus inter-face that supports the industry-standard VME64 block-transfer protocols, face that supports the industry-standard VME64 block-transfer protocols, supporting 50-Mbps transfers.supporting 50-Mbps transfers.
Total performance exceeds 200 MFLOPS and 100 MIPS. Total simulta-Total performance exceeds 200 MFLOPS and 100 MIPS. Total simulta-neous data movement capability exceeds 300 Mbps, sustained.neous data movement capability exceeds 300 Mbps, sustained.
3-302
Pacific Cyber/Metrix Inc.
Development Hardware/Multiprocessor Board/VMEbus
Product Name: DSP-449Platforms Supported: UNIX, OS-9, Lynx, and all real-time kernels, plus Sun workstations and DOS/Windows
for development and run-time controlDevices Supported: TMS320C40
Features and Benefits
•• Two TMS320C40 processors integrated with a 9124 vector processorTwo TMS320C40 processors integrated with a 9124 vector processor•• Ten independent no-wait static RAM blocksTen independent no-wait static RAM blocks•• Shared RAM bank at each 9124 port for low-overhead interprocessor Shared RAM bank at each 9124 port for low-overhead interprocessor
data exchangedata exchange•• Two 50-Mbps 36-bit FIFO-buffered front-panel ports for fast data I/OTwo 50-Mbps 36-bit FIFO-buffered front-panel ports for fast data I/O•• Four 20-Mbps 8-bit FIFO-buffered ’C40 comm ports on front panelFour 20-Mbps 8-bit FIFO-buffered ’C40 comm ports on front panel
Product Description
The DSP-449 combines two Texas Instruments TMS320C40 scalar DSP The DSP-449 combines two Texas Instruments TMS320C40 scalar DSP processors with the LH9124 block floating-point vector processor to form a processors with the LH9124 block floating-point vector processor to form a high-performance signal-processing system especially suitable for high-high-performance signal-processing system especially suitable for high-speed FFT-based DSP applications.speed FFT-based DSP applications.
The vector and scalar processors are interconnected through shared The vector and scalar processors are interconnected through shared memory to minimize data movement while performing array operations memory to minimize data movement while performing array operations with both processor types. The 9124 can perform FFT operations more with both processor types. The 9124 can perform FFT operations more than ten times faster than scalar processors, and the TMS320C40 units can than ten times faster than scalar processors, and the TMS320C40 units can perform search, decision-making, and branching routines concurrently perform search, decision-making, and branching routines concurrently with 9124 vector operations. 9124 input, output, and coefficient memory with 9124 vector operations. 9124 input, output, and coefficient memory are all accessible by the TMS320C40 processors.are all accessible by the TMS320C40 processors.
The 9124 is a 500-MOPS vector processing device, optimized for FFT The 9124 is a 500-MOPS vector processing device, optimized for FFT operations. Each TMS320C40 can execute 50-MFLOPS scalar operations operations. Each TMS320C40 can execute 50-MFLOPS scalar operations on 9124 input and output data, and can also perform real-time data-depen-on 9124 input and output data, and can also perform real-time data-depen-dent control of 9124 algorithms.dent control of 9124 algorithms.
FIFO-buffered 36-bit parallel ports on the DSP-449 front panel make it FIFO-buffered 36-bit parallel ports on the DSP-449 front panel make it easy to route user data into and out of the board.easy to route user data into and out of the board.
The DSP-449 is supported with comprehensive software development The DSP-449 is supported with comprehensive software development tools and function libraries for both the TMS320C40 and 9124 processors. tools and function libraries for both the TMS320C40 and 9124 processors. A front-panel connector provides for attachment of DSP-emulation equip-A front-panel connector provides for attachment of DSP-emulation equip-ment.ment.
3-303
Pacific Cyber/Metrix Inc.
Development Hardware/Multiprocessor Board/VMEbus
Product Name: VSP-91Platforms Supported: UNIX, OS-9, Lynx, and all real-time kernels, plus Sun workstations and DOS/Windows
for development and run-time controlDevices Supported: TMS320C40
Features and Benefits
•• Single TMS320C40 processors integrated with a 9124 vector processorSingle TMS320C40 processors integrated with a 9124 vector processor•• Eight large, independent no-wait static RAM blocksEight large, independent no-wait static RAM blocks•• Two RAM banks at each 9124 port to eliminate memory-fill delaysTwo RAM banks at each 9124 port to eliminate memory-fill delays•• Two 320-Mbps 64-bit FIFO-buffered front-panel ports for fast data I/OTwo 320-Mbps 64-bit FIFO-buffered front-panel ports for fast data I/O•• Four 20-Mbps 8-bit FIFO-buffered ’C40 comm ports on front panelFour 20-Mbps 8-bit FIFO-buffered ’C40 comm ports on front panel
Product Description
The VSP-91 combines the Texas Instruments TMS320C40 scalar DSP pro-The VSP-91 combines the Texas Instruments TMS320C40 scalar DSP pro-cessor with the LH9124 block floating-point vector processor in an expand-cessor with the LH9124 block floating-point vector processor in an expand-able unified stucture for performing intensive math operations on high-able unified stucture for performing intensive math operations on high-speed data streams. The VSP-91 employs flexible data-flow architecture to speed data streams. The VSP-91 employs flexible data-flow architecture to maximize its ability to process and move real-time data streams into and maximize its ability to process and move real-time data streams into and through the system.through the system.
A variety of inteconnection mechanisms between the two processors A variety of inteconnection mechanisms between the two processors and their several memory blocks are provided so that user data can be and their several memory blocks are provided so that user data can be routed through the system in the most advantageous manner. 9124 input/routed through the system in the most advantageous manner. 9124 input/output memory and coefficient memory are all accessible by the output memory and coefficient memory are all accessible by the TMS320C40 in real-time.TMS320C40 in real-time.
The TMS320C40 scalar processor can execute 50-MFLOPS scalar oper-The TMS320C40 scalar processor can execute 50-MFLOPS scalar oper-ations on 9124 input and output data, and can also perform real-time data-ations on 9124 input and output data, and can also perform real-time data-dependent control of 9124 algorithms.dependent control of 9124 algorithms.
The 9124 processor on the VSP-91can operate in either recursive or The 9124 processor on the VSP-91can operate in either recursive or cascaded modes. FIFO-buffered parallel ports on the VSP-91 front panel cascaded modes. FIFO-buffered parallel ports on the VSP-91 front panel make it especially simple to route user data into and out of the board, and make it especially simple to route user data into and out of the board, and to cascade multiple VSP-91 boards together for even higher performance, to cascade multiple VSP-91 boards together for even higher performance, where required.where required.
The input and output ports on the VSP-91 employ 100-pin front-panel The input and output ports on the VSP-91 employ 100-pin front-panel connectors, and can move 64-bit complex (real/imaginary) data into and connectors, and can move 64-bit complex (real/imaginary) data into and out of the board at sustained rates as high as 40 Mwords/sec. The four out of the board at sustained rates as high as 40 Mwords/sec. The four FIFO-buffered ’C40 comm ports on the front panel can each move data to FIFO-buffered ’C40 comm ports on the front panel can each move data to and from the TMS320C40 processor at sustained rates up to 20 Mbps.and from the TMS320C40 processor at sustained rates up to 20 Mbps.
The VSP-91 is supported by a complete set of software development The VSP-91 is supported by a complete set of software development tools and pre-programmed libraries for both the 9124 and TMS320C40 pro-tools and pre-programmed libraries for both the 9124 and TMS320C40 pro-cessors, to speed development of high-performance DSP applications. A cessors, to speed development of high-performance DSP applications. A front-panel connector provides for attachment of DSP emulation equipment.front-panel connector provides for attachment of DSP emulation equipment.
3-304
Pacific Cyber/Metrix Inc.
Development Hardware/Multiprocessor Board/VMEbus
Product Name: DSP-428Platforms Supported: UNIX, OS-9, Lynx, and all real-time kernels, plus Sun workstations and DOS/Windows
for development and run-time controlDevices Supported: TMS320C40
Features and Benefits
•• Two TMS320C40 processors integrated with the Datacube MAXbusTwo TMS320C40 processors integrated with the Datacube MAXbus•• Supports P3–P10 MAXbus connectors, plus 10- and 20-Mpixel/sec Supports P3–P10 MAXbus connectors, plus 10- and 20-Mpixel/sec
transferstransfers•• 4-MBytes private static RAM for each processor, plus 4 MBytes of 4-MBytes private static RAM for each processor, plus 4 MBytes of
shared RAM shared RAM •• Two 50-Mbps 36-bit FIFO-buffered front-panel ports for fast auxiliary Two 50-Mbps 36-bit FIFO-buffered front-panel ports for fast auxiliary
I/OI/O•• Eight 20-Mbps 8-bit FIFO-buffered ’C40 comm ports on front panelEight 20-Mbps 8-bit FIFO-buffered ’C40 comm ports on front panel
Product Description
The DSP-428 integrates a shared-memory-based MAXbus video interface The DSP-428 integrates a shared-memory-based MAXbus video interface with two TMS320C40 DSP processors (expandable to four or more) to form with two TMS320C40 DSP processors (expandable to four or more) to form a powerful real-time video-signal-processing structure. When combined a powerful real-time video-signal-processing structure. When combined with MAXbus-based image acquisition and processing hardware from with MAXbus-based image acquisition and processing hardware from Datacube, PC/M, and others, the result is a comprehensive real-time image-Datacube, PC/M, and others, the result is a comprehensive real-time image-processing system for demanding applications that require highly flexible processing system for demanding applications that require highly flexible and adaptable image detection, filtering, identification, and analysis opera-and adaptable image detection, filtering, identification, and analysis opera-tions.tions.
The DSP-428 is a superset of the standard DSP-442 multiprocessor The DSP-428 is a superset of the standard DSP-442 multiprocessor DSP board. The DSP/MAX video interface circuitry has been tightly inte-DSP board. The DSP/MAX video interface circuitry has been tightly inte-grated with the DSP-442 foundation to form a single-slot 6U VMEbus mod-grated with the DSP-442 foundation to form a single-slot 6U VMEbus mod-ule with unique video-signal-processing capabilities.ule with unique video-signal-processing capabilities.
The DSP-428 supports both the 10-MHz and 20-MHz Datacube pixel-The DSP-428 supports both the 10-MHz and 20-MHz Datacube pixel-clock systems, and is capable of 8-, 16-, or 24-bits/pixel image acquisition clock systems, and is capable of 8-, 16-, or 24-bits/pixel image acquisition and analysis. Frame size can be as small as 1and analysis. Frame size can be as small as 1 × 1 pixels or as large as 1024 1 pixels or as large as 1024 × 1024. Two simultaneous regions of interest (ROIs) can be defined and con-1024. Two simultaneous regions of interest (ROIs) can be defined and con-tinuously updated. Frame sequencing and selective frame acquisition are tinuously updated. Frame sequencing and selective frame acquisition are also supported. The DSP processor network can be expanded on-board or also supported. The DSP processor network can be expanded on-board or by connecting other DSP-444 or DSP-442 boards through the high-speed by connecting other DSP-444 or DSP-442 boards through the high-speed parallel ports on the front panel.parallel ports on the front panel.
Datacube’s ImageFlow software environment is supported, and two C Datacube’s ImageFlow software environment is supported, and two C function libraries are provided to simplify use of the image-processing function libraries are provided to simplify use of the image-processing mechanisms built into the DSP-428.mechanisms built into the DSP-428.
3-305
Pacific Cyber/Metrix Inc.
Development Hardware/Multiprocessor Board/ISA Bus
Product Name: DSPC-44Platforms Supported: DOS/Windows, plus Sun workstations for development and run-time control
Devices Supported: TMS320C40
Features and Benefits
•• Four TMS320C40 processors in data-flow architectureFour TMS320C40 processors in data-flow architecture•• 4-MByte private static RAM for each processor4-MByte private static RAM for each processor•• Additional 4 MBytes of shared RAMAdditional 4 MBytes of shared RAM•• Two 50-Mbps 36-bit FIFO-buffered parallel ports for fast data I/OTwo 50-Mbps 36-bit FIFO-buffered parallel ports for fast data I/O•• Eight 20-Mbps 8-bit FIFO-buffered ’C40 comm ports Eight 20-Mbps 8-bit FIFO-buffered ’C40 comm ports
Product Description
The quad-processor DSPC-44 is a TMS320C40-based high-speed signal-The quad-processor DSPC-44 is a TMS320C40-based high-speed signal-processing board for advanced applications requiring both fast computa-processing board for advanced applications requiring both fast computa-tions and high-speed data transport into and through the processing sys-tions and high-speed data transport into and through the processing sys-tem. The board employs four TI TMS320C40 processors, large blocks of no-tem. The board employs four TI TMS320C40 processors, large blocks of no-wait static RAM for each processor, and several high-speed mechanisms for wait static RAM for each processor, and several high-speed mechanisms for fast data movement.fast data movement.
Each TMS320C40 processor on the DSPC-44 has two independent pri-Each TMS320C40 processor on the DSPC-44 has two independent pri-vate RAM blocks, plus access to a large block of on-board local RAM shared vate RAM blocks, plus access to a large block of on-board local RAM shared by all processors.by all processors.
All DSP devices are interconnected through high-speed parallel chan-All DSP devices are interconnected through high-speed parallel chan-nels to enable fast data movement between processors. Two processors are nels to enable fast data movement between processors. Two processors are also connected to 36-bit parallel ports (one on the front panel) to provide also connected to 36-bit parallel ports (one on the front panel) to provide for connection to DSP devices on other boards, and for fast data I/O. These for connection to DSP devices on other boards, and for fast data I/O. These FIFO channels move data at sustained rates up to 50 Mbps each.FIFO channels move data at sustained rates up to 50 Mbps each.
The data-flow architecture employed in the DSPC-44 provides many The data-flow architecture employed in the DSPC-44 provides many dedicated high-speed data channels independent of the system bus. These dedicated high-speed data channels independent of the system bus. These channels support fast computations on continuous streams of high-speed channels support fast computations on continuous streams of high-speed data, while leaving the ISA bus for slower operations, such as the user data, while leaving the ISA bus for slower operations, such as the user interface, system control, and interprocessor message passing.interface, system control, and interprocessor message passing.
Total performance exceeds 200 MFLOPS and 100 MIPS. Total simulta-Total performance exceeds 200 MFLOPS and 100 MIPS. Total simulta-neous data movement capability exceeds 200 Mbps, sustained.neous data movement capability exceeds 200 Mbps, sustained.
The DSPC-44 is supported by a complete set of software development The DSPC-44 is supported by a complete set of software development tools and DSP-specific function libraries to speed development of complex tools and DSP-specific function libraries to speed development of complex user applications. A front-panel connector provides for attachment of DSP-user applications. A front-panel connector provides for attachment of DSP-emulation equipment.emulation equipment.
3-306
Pacific Cyber/Metrix Inc.
Development Hardware/Multiprocessor Board/ISA Bus
Product Name: DSPC-49Platforms Supported: DOS/Windows, plus Sun workstations for development and run-time control
Devices Supported: TMS320C40
Features and Benefits
•• Two TMS320C40 processors integrated with a 9124 vector processorTwo TMS320C40 processors integrated with a 9124 vector processor•• Ten independent no-wait static RAM blocksTen independent no-wait static RAM blocks•• Shared-RAM bank at each 9124 port for low-overhead interprocessor Shared-RAM bank at each 9124 port for low-overhead interprocessor
data exchangedata exchange•• Two 50-Mbps 36-bit FIFO-buffered parallel ports for fast data I/OTwo 50-Mbps 36-bit FIFO-buffered parallel ports for fast data I/O•• Four 20-Mbps 8-bit FIFO-buffered ’C40 comm portsFour 20-Mbps 8-bit FIFO-buffered ’C40 comm ports
Product Description
The DSPC-49 combines two Texas Instruments TMS320C40 scalar DSP The DSPC-49 combines two Texas Instruments TMS320C40 scalar DSP processors with the LH9124 block floating-point vector processor to form a processors with the LH9124 block floating-point vector processor to form a high-performance signal-processing system especially suitable for high-high-performance signal-processing system especially suitable for high-speed FFT-based DSP applications in an IBM-PC environment.speed FFT-based DSP applications in an IBM-PC environment.
The vector and scalar processors are interconnected through shared The vector and scalar processors are interconnected through shared memory to minimize data movement while performing array operations memory to minimize data movement while performing array operations with both processor types. The DSPC-49 can perform FFT operations more with both processor types. The DSPC-49 can perform FFT operations more than ten times faster than scalar processor boards, as well as perform than ten times faster than scalar processor boards, as well as perform search, decision-making, and branching routines concurrently with vector search, decision-making, and branching routines concurrently with vector operations. 9124 input, output, and coefficient memory are all accessible by operations. 9124 input, output, and coefficient memory are all accessible by the TMS320C40 processors.the TMS320C40 processors.
Each TMS320C40 can execute 50-MFLOPS scalar operations on 9124 Each TMS320C40 can execute 50-MFLOPS scalar operations on 9124 input and output data, and can also perform real-time data-dependent con-input and output data, and can also perform real-time data-dependent con-trol of 9124 algorithms.trol of 9124 algorithms.
FIFO-buffered 36-bit parallel ports on the DSPC-49 (one on the front FIFO-buffered 36-bit parallel ports on the DSPC-49 (one on the front panel) make it easy to route user data into and out of the board.panel) make it easy to route user data into and out of the board.
The DSPC-49 is supported with comprehensive software development The DSPC-49 is supported with comprehensive software development tools and function libraries for both the TMS320C40 and 9124 processors. tools and function libraries for both the TMS320C40 and 9124 processors. A front-panel connector provides for attachment of DSP emulation equip-A front-panel connector provides for attachment of DSP emulation equip-ment.ment.
3-307
Pentek, Inc.55 Walnut Street VSystemsNorwood, NJ 07648 USA Elisabethstraße 30(201) 767-7100 D-80796 MuenchenFax: (201) 767-3994 Germanye-mail: [email protected]
Company Background Pentek’s mission is to continually provide its customers with leading edge, integrated, Pentek’s mission is to continually provide its customers with leading edge, integrated, off-the-shelf solutions to demanding applications in data acquisition, digital signal pro-off-the-shelf solutions to demanding applications in data acquisition, digital signal pro-cessing, and signal analysis.cessing, and signal analysis.
We support an aggressive new product development cycle to meet market demands We support an aggressive new product development cycle to meet market demands as we design the next generation of DSP products.as we design the next generation of DSP products.
Pentek’s dedicated staff of service representatives will help you solve the most chal-Pentek’s dedicated staff of service representatives will help you solve the most chal-lenging DSP problems. Our specialists are there to assist you—whether it’s a hardware, lenging DSP problems. Our specialists are there to assist you—whether it’s a hardware, software, or an applications question. Since we have full knowledge of systems design, software, or an applications question. Since we have full knowledge of systems design, we can aid with all aspects of your development cycle. No other DSP board vendor can we can aid with all aspects of your development cycle. No other DSP board vendor can provide such seasoned, in-depth technical know-how in data acquisition, digital signal provide such seasoned, in-depth technical know-how in data acquisition, digital signal processing, and signal analysis.processing, and signal analysis.
Development Hardware/Plug-In Board/VMEbus
Product Name: Model 4285 Octal �C40 Digital Signal Processor Board for VMEbusPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C40
Features and Benefits
•• 1-MB local and global SRAM for each ’C401-MB local and global SRAM for each ’C40•• 2-MB high-speed VME spooler SRAM2-MB high-speed VME spooler SRAM•• VME64 master/slave interfaceVME64 master/slave interface•• MIX and PMC/PCI mezzanine interfacesMIX and PMC/PCI mezzanine interfaces•• 2.2 Giga-ops and 400 MFLOPS in one slot2.2 Giga-ops and 400 MFLOPS in one slot
Product Description
The Model 4285 has a scalable architecture up to eight 50-/60-MHz ’C40 The Model 4285 has a scalable architecture up to eight 50-/60-MHz ’C40 DSPs providing 400 MFLOPS, 8 MB of local SRAM, and 8 MB of global DSPs providing 400 MFLOPS, 8 MB of local SRAM, and 8 MB of global SRAM. Each ’C40 has full simultaneous zero-wait access to both local and SRAM. Each ’C40 has full simultaneous zero-wait access to both local and global SRAMs. In addition, each ’C40 can access a 1-MB board global SRAM global SRAMs. In addition, each ’C40 can access a 1-MB board global SRAM with one wait-state access. A VME64 interface provides bus master access with one wait-state access. A VME64 interface provides bus master access for all eight ’C40s and slave access to the board global SRAM. An optional for all eight ’C40s and slave access to the board global SRAM. An optional MIX mezzanine interface allows access to any three of Pentek’s 26 MIX MIX mezzanine interface allows access to any three of Pentek’s 26 MIX mezzanine modules including A/D, D/A, SCSI, digital receivers, and PCM mezzanine modules including A/D, D/A, SCSI, digital receivers, and PCM telecom interfaces. An optional PMC interface supports up to two PCI bus telecom interfaces. An optional PMC interface supports up to two PCI bus mezzanine modules. Other features include optional VSB interface, eight mezzanine modules. Other features include optional VSB interface, eight 20-MByte/sec front panel communication ports, 512-kB Flash memory per 20-MByte/sec front panel communication ports, 512-kB Flash memory per processor, an XDS510 emulator connector, and a suite of comprehensive processor, an XDS510 emulator connector, and a suite of comprehensive software development tools for MS-DOS, Sun UNIX, and HP UNIX worksta-software development tools for MS-DOS, Sun UNIX, and HP UNIX worksta-tions.tions.
3-308
Pentek, Inc.
Development Hardware/Plug-In Board/VMEbus
Product Name: Model 4270 Quad �C40 Digital Signal Processor Board for VMEbusPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstations
Devices Supported: TMS320C40
Features and Benefits
•• 200 MFLOPS and 1.1 Giga-ops processing power200 MFLOPS and 1.1 Giga-ops processing power•• 4-MB global SRAM shared by all processors4-MB global SRAM shared by all processors•• 1-MB local SRAM per processor1-MB local SRAM per processor•• 32-kB EPROM per processor32-kB EPROM per processor•• 12 front panel communication ports12 front panel communication ports
Product Description
The Model 4270 features four 40-/50-MHz ’C40 DSPs providing 200 The Model 4270 features four 40-/50-MHz ’C40 DSPs providing 200 MFLOPS, 4 MB of local SRAM, and 4 MB of global SRAM. Each ’C40 has full MFLOPS, 4 MB of local SRAM, and 4 MB of global SRAM. Each ’C40 has full simultaneous zero-wait access to both local and global SRAMs. In addition, simultaneous zero-wait access to both local and global SRAMs. In addition, each ’C40 can access a neighboring global SRAM with one-wait-state each ’C40 can access a neighboring global SRAM with one-wait-state access. Global SRAM is also memory mapped into the VMEbus and into the access. Global SRAM is also memory mapped into the VMEbus and into the MIX mezzanine bus. The MIX bus provides a private 32-bit path to any of MIX mezzanine bus. The MIX bus provides a private 32-bit path to any of Pentek’s 26 MIX mezzanine modules including A/D, D/A, SCSI, digital Pentek’s 26 MIX mezzanine modules including A/D, D/A, SCSI, digital receivers, and PCM telecom interfaces. Other features include 12 20-receivers, and PCM telecom interfaces. Other features include 12 20-MByte/sec front-panel communications ports, four 32-kB EPROMs, an MByte/sec front-panel communications ports, four 32-kB EPROMs, an XDS510 emulator connector, and a suite of comprehensive software devel-XDS510 emulator connector, and a suite of comprehensive software devel-opment tools for MS-DOS, SUN UNIX, and HP UNIX workstations.opment tools for MS-DOS, SUN UNIX, and HP UNIX workstations.
3-309
Pentek, Inc.
Development Hardware/Plug-In Board/VMEbus
Product Name: Model 4269 is a Dual �C40 Digital Signal Processor Board for VMEbus Platforms Supported: MS-DOS, Sun UNIX, HP UNIX workstations
Devices Supported: TMS320C40
Features and Benefits
•• 100-MFLOPs and 550 Mega-ops processing power100-MFLOPs and 550 Mega-ops processing power•• 2-MB global SRAM shared by all processors2-MB global SRAM shared by all processors•• 1-MB local SRAM per processor1-MB local SRAM per processor•• 32-kB EPROM per processor32-kB EPROM per processor•• Six front panel communication portsSix front panel communication ports
Product Description
The Model 4269 features dual 40-/50-MHz ’C40 DSPs providing The Model 4269 features dual 40-/50-MHz ’C40 DSPs providing 100 MFLOPS, 2 MB of local SRAM, and 2 MB of global SRAM. Each ’C40 has 100 MFLOPS, 2 MB of local SRAM, and 2 MB of global SRAM. Each ’C40 has full simultaneous zero-wait access to both local and global SRAMs. In addi-full simultaneous zero-wait access to both local and global SRAMs. In addi-tion, each ’C40 can access a neighboring global SRAM with one-wait-state tion, each ’C40 can access a neighboring global SRAM with one-wait-state access. Global SRAM is also memory mapped into the VMEbus and into the access. Global SRAM is also memory mapped into the VMEbus and into the MIX mezzanine bus. The MIX bus provides a private 32-bit path to any of MIX mezzanine bus. The MIX bus provides a private 32-bit path to any of Pentek’s 26 MIX mezzanine modules including A/D, D/A, SCSI, digital Pentek’s 26 MIX mezzanine modules including A/D, D/A, SCSI, digital receivers, and PCM telecom interfaces. Other features include six 20-receivers, and PCM telecom interfaces. Other features include six 20-MByte/sec front-panel communications ports, two 32-kB EPROMs, an MByte/sec front-panel communications ports, two 32-kB EPROMs, an XDS510 emulator connector, and a suite of comprehensive software devel-XDS510 emulator connector, and a suite of comprehensive software devel-opment tools for MS-DOS, SUN UNIX, and HP UNIX workstations.opment tools for MS-DOS, SUN UNIX, and HP UNIX workstations.
3-310
Pentek, Inc.
Development Hardware/Plug-In Board/VMEbus
Product Name: Model 4284 �C40 Digital Signal Processor Board for VMEbus Platforms Supported: MS-DOS, Sun UNIX, HP UNIX workstations
Devices Supported: TMS320C40
Features and Benefits
•• 50-MFLOP peak processing power50-MFLOP peak processing power•• 4-, 8-, or 16-MB dual-access memory4-, 8-, or 16-MB dual-access memory•• 2-MB SRAM expandable to 4 MB2-MB SRAM expandable to 4 MB•• 32-kB EPROM for system firmware32-kB EPROM for system firmware•• Accepts up to three MIX expansion modulesAccepts up to three MIX expansion modules
Product Description
The Model 4284 includes a 50-MHz TMS320C40 DSP providing 50 The Model 4284 includes a 50-MHz TMS320C40 DSP providing 50 MFLOPS, a VMEbus master and slave interface, up to 16 MB of dual-port MFLOPS, a VMEbus master and slave interface, up to 16 MB of dual-port global DRAM; 2 MB of zero-wait local SRAM, and 2 MB of zero-wait global global DRAM; 2 MB of zero-wait local SRAM, and 2 MB of zero-wait global SRAM. DRAM is also memory mapped into the VMEbus and into the MIX SRAM. DRAM is also memory mapped into the VMEbus and into the MIX mezzanine bus. The MIX bus provides a private 32-bit path to any of mezzanine bus. The MIX bus provides a private 32-bit path to any of Pentek’s 26 MIX mezzanine modules including A/D, D/A, SCSI, digital Pentek’s 26 MIX mezzanine modules including A/D, D/A, SCSI, digital receivers, and PCM telecom interfaces. Other features include six 20-receivers, and PCM telecom interfaces. Other features include six 20-MByte/sec front-panel communications ports, a 32-kB EPROM, an XDS510 MByte/sec front-panel communications ports, a 32-kB EPROM, an XDS510 emulator connector, and a suite of comprehensive software development emulator connector, and a suite of comprehensive software development tools for MS-DOS, SUN UNIX, and HP UNIX workstations.tools for MS-DOS, SUN UNIX, and HP UNIX workstations.
3-311
Pentek, Inc.
Development Hardware/Plug-In Board/VMEbus
Product Name: Model 4257/00 Dual �C40 Digital Signal Processor Board for VMEbusPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstations
Devices Supported: TMS320C40
Features and Benefits
•• 100-MFLOP peak processing power100-MFLOP peak processing power•• 1-, 2-, or 3-MB global SRAM1-, 2-, or 3-MB global SRAM•• 1-MB local SRAM per processor1-MB local SRAM per processor•• 32-kB EPROM per processor32-kB EPROM per processor•• 10 front panel communication ports10 front panel communication ports
Product Description
The Model 4257/00 is a dual-TMS320C40 DSP board providing 100 The Model 4257/00 is a dual-TMS320C40 DSP board providing 100 MFLOPS, 2 MB of global SRAM shared by both ’C40s, and 2 MB of local MFLOPS, 2 MB of global SRAM shared by both ’C40s, and 2 MB of local SRAM. A 40-MHz supervisory processor with high-speed DMA controller SRAM. A 40-MHz supervisory processor with high-speed DMA controller plus a 4-MB buffer SRAM support VME master/slave and VSB Master inter-plus a 4-MB buffer SRAM support VME master/slave and VSB Master inter-faces. The unit also includes ten 20-MByte/sec front panel communication faces. The unit also includes ten 20-MByte/sec front panel communication ports, two RS-232C serial ports, an XDS Emulator connector, and MIX mez-ports, two RS-232C serial ports, an XDS Emulator connector, and MIX mez-zanine connector for up to two MIX Modules.zanine connector for up to two MIX Modules.
3-312
Pentek, Inc.
Development Hardware/Plug-In Board/VMEbus
Product Name: Model 4254/00 �C40 Digital Signal Processor Board for VMEbusPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstations
Devices Supported: TMS320C40
Features and Benefits
•• 50-MFLOP peak processing power50-MFLOP peak processing power•• 1-MB local SRAM1-MB local SRAM•• 1-MB global SRAM 1-MB global SRAM •• 32-kB EPROM per processor32-kB EPROM per processor•• 5 front panel communication ports5 front panel communication ports
Product Description
The Model 4254/00 is a single TMS320C40 DSP board providing 50 The Model 4254/00 is a single TMS320C40 DSP board providing 50 MFLOPS, 1 MB of global and local SRAM. A 40-MHz supervisory processor MFLOPS, 1 MB of global and local SRAM. A 40-MHz supervisory processor with high-speed DMA controller plus a 4-MB buffer SRAM support VME with high-speed DMA controller plus a 4-MB buffer SRAM support VME master/slave and VSB master interfaces. The unit also includes five 20-master/slave and VSB master interfaces. The unit also includes five 20-MByte/sec front panel communication ports, two RS-232C serial ports, an MByte/sec front panel communication ports, two RS-232C serial ports, an XDS Emulator connector, and MIX mezzanine connector for up to two MIX XDS Emulator connector, and MIX mezzanine connector for up to two MIX Modules.Modules.
3-313
Pentek, Inc.
Development Hardware/Plug-In Board/VMEbus
Product Name: Model 4283 TMS320C30 Digital Signal Processor Board for VMEbusPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstations
Devices Supported: TMS320C30
Features and Benefits
•• 40-MFLOP peak processing power40-MFLOP peak processing power•• 1-, 4-, or 8-MB dual-access DRAM1-, 4-, or 8-MB dual-access DRAM•• 256-kB SRAM256-kB SRAM•• 128-kB EPROM for system firmware128-kB EPROM for system firmware•• Two front panel serial I/O portsTwo front panel serial I/O ports
Product Description
The Model 4283 includes a 40-MHz TMS320C40 DSP providing 40 The Model 4283 includes a 40-MHz TMS320C40 DSP providing 40 MFLOPS, a VMEbus master and slave interface, up to 8 MB of dual-port MFLOPS, a VMEbus master and slave interface, up to 8 MB of dual-port global DRAM; 256 kB of zero-wait SRAM, and 128 kB of EPROM. DRAM is global DRAM; 256 kB of zero-wait SRAM, and 128 kB of EPROM. DRAM is also memory mapped as a slave resource on the VMEbus. The MIX bus pro-also memory mapped as a slave resource on the VMEbus. The MIX bus pro-vides a private 32-bit path to any of Pentek’s 26 MIX mezzanine modules vides a private 32-bit path to any of Pentek’s 26 MIX mezzanine modules including A/D, D/A, SCSI, digital receivers, and PCM telecom interfaces. including A/D, D/A, SCSI, digital receivers, and PCM telecom interfaces. Other features include an XDS500 emulator connector, and a suite of com-Other features include an XDS500 emulator connector, and a suite of com-prehensive software development tools for MS-DOS, SUN UNIX, and HP prehensive software development tools for MS-DOS, SUN UNIX, and HP UNIX workstations.UNIX workstations.
3-314
Pentek, Inc.
Development Hardware/Plug-In Board/VMEbus
Product Name: Model 4280 Dual �C31 DSP Board with Four-Channel Analog I/O for VMEbusPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstations
Devices Supported: TMS320C31
Features and Benefits
•• 100-MFLOP peak processing power100-MFLOP peak processing power•• 256 kB–1 MB shared global SRAM256 kB–1 MB shared global SRAM•• 256 kB–1 MB local SRAM per processor256 kB–1 MB local SRAM per processor•• 2 channel, 16-bit delta-sigma A/Ds and D/As per processor2 channel, 16-bit delta-sigma A/Ds and D/As per processor•• One slot solution to analog in/analog out DSP applicationsOne slot solution to analog in/analog out DSP applications
Product Description
The Model 4280 includes two 40-MHz TMS320C31 DSPs providing 80 The Model 4280 includes two 40-MHz TMS320C31 DSPs providing 80 MFLOPS, and a 1-MB global SRAM shared by both ’C31s and memory MFLOPS, and a 1-MB global SRAM shared by both ’C31s and memory mapped into VMEbus. Each ’C31 also has a private zero-wait 1-MB SRAM mapped into VMEbus. Each ’C31 also has a private zero-wait 1-MB SRAM and a 32-kB EPROM. Four channels of 16-bit sigma-delta A/D and D/A con-and a 32-kB EPROM. Four channels of 16-bit sigma-delta A/D and D/A con-version provide CD-quality, fully-filtered analog I/O at up to 48-kHz sample version provide CD-quality, fully-filtered analog I/O at up to 48-kHz sample rates. Other features include an XDS emulator connectors, and a suite of rates. Other features include an XDS emulator connectors, and a suite of comprehensive software development tools for MS-DOS, SUN UNIX, and comprehensive software development tools for MS-DOS, SUN UNIX, and HP UNIX workstations.HP UNIX workstations.
3-315
Pentek, Inc.
Development Hardware/Plug-In Board/VMEbus
Product Name: Model 4247/00 �C30 Digital Signal Processor Board for VMEbusPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstations
Devices Supported: TMS320C30
Features and Benefits
•• 80-MFLOP peak processing power80-MFLOP peak processing power•• 256-kB primary bus SRAM per processor256-kB primary bus SRAM per processor•• 32-kB expansion bus SRAM per processor32-kB expansion bus SRAM per processor•• Two front panel serial I/O ports per processorTwo front panel serial I/O ports per processor
Product Description
The Model 4247/00 is a dual ’C30 co-processor MIX expansion module. The Model 4247/00 is a dual ’C30 co-processor MIX expansion module. When stacked on a Model 4283 ’C30 processors’ VMEbus baseboard, the When stacked on a Model 4283 ’C30 processors’ VMEbus baseboard, the 4247/00 provides the processing power of two additional ’C30s. When 4247/00 provides the processing power of two additional ’C30s. When stacked on a Model 4283 ’C30 processors’ VMEbus baseboard, the 4247/00 stacked on a Model 4283 ’C30 processors’ VMEbus baseboard, the 4247/00 provides the processing power of two additional ’C30s. When stacked on a provides the processing power of two additional ’C30s. When stacked on a MIX baseboard, the 4247/00 becomes a VME board. In all cases, the proces-MIX baseboard, the 4247/00 becomes a VME board. In all cases, the proces-sors on the baseboard act as the system executive, moving data and pro-sors on the baseboard act as the system executive, moving data and pro-grams between co-processor modules and the VMEbus.grams between co-processor modules and the VMEbus.
3-316
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4241 Parallel I/O MIX ModulePlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Parallel 32-bit data with 4-bit addressesParallel 32-bit data with 4-bit addresses•• Targets successive data blocks to specific processor boards for parallel Targets successive data blocks to specific processor boards for parallel
FFT or multiband digital FIR filter calculationFFT or multiband digital FIR filter calculation•• Subsystems can cascade two or three modules for decimating filtering Subsystems can cascade two or three modules for decimating filtering
or data reductionor data reduction•• 1–16k FIFO buffers the 32-bit input data1–16k FIFO buffers the 32-bit input data
Product Description
Using a full 32-bit parallel data word for both input and output, the 4241 Using a full 32-bit parallel data word for both input and output, the 4241 serves as a slave device to transfer digital data from their front panel con-serves as a slave device to transfer digital data from their front panel con-nectors to one or more subsystem processors via the MIXbus. Although nectors to one or more subsystem processors via the MIXbus. Although often combined with other MIX modules, up to three digital I/O modules often combined with other MIX modules, up to three digital I/O modules may be used in a subsystem for applications such as decimating filters of may be used in a subsystem for applications such as decimating filters of data reduction, where the output of one I/O feeds the input of another. data reduction, where the output of one I/O feeds the input of another. Each model nests on a MIX baseboard, so that together they occupy only a Each model nests on a MIX baseboard, so that together they occupy only a single-card cage slot.single-card cage slot.
3-317
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4242 18-Bit A/D and D/A Converter MIX ModulePlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• 18-bit resolution for both A/D and D/A18-bit resolution for both A/D and D/A•• Conversion rates programmable from 153 Hz to 200 kHzConversion rates programmable from 153 Hz to 200 kHz•• Input low pass anti-aliasing filters and output smoothing filters pro-Input low pass anti-aliasing filters and output smoothing filters pro-
grammable for 1 kHz to 20 kHzgrammable for 1 kHz to 20 kHz
Product Description
Model 4242 is a single-channel 18-bit A/D and D/A converter MIX module Model 4242 is a single-channel 18-bit A/D and D/A converter MIX module with input and output low pass filters. It provides a complete interface with input and output low pass filters. It provides a complete interface between the analog world and digital signal processing.between the analog world and digital signal processing.
3-318
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4243 Two-Channel 18-Bit A/D and D/A Converter MIX ModulePlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• 18-bit resolution for both A/D and D/A18-bit resolution for both A/D and D/A•• Conversion rates programmable from 153 Hz to 200 kHzConversion rates programmable from 153 Hz to 200 kHz•• Input low-pass anti-aliasing filters and output smoothing filters pro-Input low-pass anti-aliasing filters and output smoothing filters pro-
grammable for 1 kHz to 20 kHzgrammable for 1 kHz to 20 kHz
Product Description
Model 4243 is a dual-channel 18-bit A/D and D/A converter MIX module Model 4243 is a dual-channel 18-bit A/D and D/A converter MIX module with input and output low pass filters. It provides a complete interface with input and output low pass filters. It provides a complete interface between the analog world and digital signal processing.between the analog world and digital signal processing.
3-319
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4245 32-Channel 16-Bit A/D Converter MIX Module Platforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• 16-bit A/D converter and sample and hold programmable to 400 kHz16-bit A/D converter and sample and hold programmable to 400 kHz•• 32 instrumentation amplifiers with differential inputs and variable gain 32 instrumentation amplifiers with differential inputs and variable gain
and impedanceand impedance•• 1k- to 16k-sample FIFO to buffer A/D output for MIX interface1k- to 16k-sample FIFO to buffer A/D output for MIX interface
Product Description
Model 4245 is ideal for multichannel instrumentation applications. Signals Model 4245 is ideal for multichannel instrumentation applications. Signals on 32-input channels are multiplexed and digitized sequentially to 16-bit on 32-input channels are multiplexed and digitized sequentially to 16-bit accuracy. If three of these modules are stacked on a MIX baseboard, 96 accuracy. If three of these modules are stacked on a MIX baseboard, 96 data acquisition channels are available.data acquisition channels are available.
3-320
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4246 10-MHz 12-Bit A/D Converter MIX ModulePlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• High-speed conversion to 10-MHz rate with 12-bit accuracyHigh-speed conversion to 10-MHz rate with 12-bit accuracy•• Low noise and distortion plus overload detectionLow noise and distortion plus overload detection•• Programmable control of buffer block length and sample rateProgrammable control of buffer block length and sample rate•• Buffers collect blocks of 64k contiguous samples for processingBuffers collect blocks of 64k contiguous samples for processing
Product Description
As high-speed A/D front ends for wideband signal processing, the model As high-speed A/D front ends for wideband signal processing, the model 4246 provides a fast, direct link between the signal and the powerful DSP 4246 provides a fast, direct link between the signal and the powerful DSP resources of Pentek’s MIX subsystems. With the 64k-sample on-board resources of Pentek’s MIX subsystems. With the 64k-sample on-board memory, signals can either be captured in noncontiguous blocks or buff-memory, signals can either be captured in noncontiguous blocks or buff-ered for real-time transfer without loss of data.ered for real-time transfer without loss of data.
3-321
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4248 32-Channel 12-Bit or 14-Bit A/D ConverterPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• 32 input channels digitized to 12 or 14 bits at 125 kHz32 input channels digitized to 12 or 14 bits at 125 kHz•• 32 parallel sample and hold amplifiers32 parallel sample and hold amplifiers•• Simultaneous sampling of all channels without phase shiftsSimultaneous sampling of all channels without phase shifts•• Software-programmable sampling rate and number of active channelsSoftware-programmable sampling rate and number of active channels
Product Description
Featuring 32 individual sample and hold amplifiers at the front end and a Featuring 32 individual sample and hold amplifiers at the front end and a high-speed 12- or 14-bit A/D converter, model 4248 is ideal for multichan-high-speed 12- or 14-bit A/D converter, model 4248 is ideal for multichan-nel applications where phase relationships between input channels must be nel applications where phase relationships between input channels must be maintained during conversion. The sampling rate is software programmable maintained during conversion. The sampling rate is software programmable to 125 kHz with 32 channels active, and the number of channels is software to 125 kHz with 32 channels active, and the number of channels is software configurable from 1 to 32.configurable from 1 to 32.
3-322
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4249 1-MHz 12-Bit A/D and D/A Converter MIX ModulePlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Input signal conditioned by 400-kHz low-pass anti-aliasing filterInput signal conditioned by 400-kHz low-pass anti-aliasing filter•• Analog output filter smoothes out sampling components of D/A conver-Analog output filter smoothes out sampling components of D/A conver-
sionsion•• FIFOs for efficient data transfers of 1k–16k-sample blocksFIFOs for efficient data transfers of 1k–16k-sample blocks
Product Description
Designed as complete analog I/O interfaces, model 4249 provides both A/D Designed as complete analog I/O interfaces, model 4249 provides both A/D conversions of input signals as well as D/A conversion for analog output sig-conversions of input signals as well as D/A conversion for analog output sig-nals. Both A/D and D/A converters exhibit 12-bit resolution with conversion nals. Both A/D and D/A converters exhibit 12-bit resolution with conversion rates as high as 1 MHz.rates as high as 1 MHz.
3-323
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4250 1-MHz 12-Bit A/D Converter MIX ModulePlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• High-speed, high-resolution analog inputHigh-speed, high-resolution analog input•• Input signal conditioned by 400-kHz low-pass anti-aliasing filterInput signal conditioned by 400-kHz low-pass anti-aliasing filter•• FIFOs for efficient data transfers of 1k–16k-sample blocksFIFOs for efficient data transfers of 1k–16k-sample blocks
Product Description
The A/D converter exhibits 12-bit resolution with conversion rates as high The A/D converter exhibits 12-bit resolution with conversion rates as high as 1 MHz.as 1 MHz.
3-324
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4252 16-Channel 16-Bit A/D and D/A Converter MIX ModulePlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Simultaneous sampling up to 48 kHz per channelSimultaneous sampling up to 48 kHz per channel•• 16-bit 80-dB dynamic range16-bit 80-dB dynamic range•• Input anti-aliasing and output smoothing filtersInput anti-aliasing and output smoothing filters•• Software-programmable attenuation, gain, compression, and sampling Software-programmable attenuation, gain, compression, and sampling
raterate•• Ideal for digital radioIdeal for digital radio
Product Description
Although effective in a variety of applications, the 4252 is specifically Although effective in a variety of applications, the 4252 is specifically designed for digital audio use, providing 16 individual analog inputs and designed for digital audio use, providing 16 individual analog inputs and outputs with 16-bit resolution.outputs with 16-bit resolution.
3-325
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4253 32-Channel 12-Bit D/A Converter MIX ModulePlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• 100-kHz, 12-bit conversion each channel100-kHz, 12-bit conversion each channel•• Low distortion and cross talkLow distortion and cross talk•• Active output channels and sample rate are software programmableActive output channels and sample rate are software programmable•• Up to 8k Up to 8k × 16 FIFO for efficient MIX bus block transfers 16 FIFO for efficient MIX bus block transfers
Product Description
Model 4253 produces as many as 32 separate analog outputs from digital Model 4253 produces as many as 32 separate analog outputs from digital data. These analog signals, available at front panel connectors, may be used data. These analog signals, available at front panel connectors, may be used to test cross talk in multichannel telecommunications systems or to excite to test cross talk in multichannel telecommunications systems or to excite a structure at multiple locations for modal analysis. Multiple acoustic sig-a structure at multiple locations for modal analysis. Multiple acoustic sig-nals may be produced to test sonar hydrophones or a variety of signals to nals may be produced to test sonar hydrophones or a variety of signals to drive process-control servos.drive process-control servos.
3-326
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4255 SCSI Interface MIX ModulePlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• NCR 53C700 2-MIPS SCSI processorNCR 53C700 2-MIPS SCSI processor•• 5-Mbps data transfer rate 5-Mbps data transfer rate •• FTL high-level programming languageFTL high-level programming language•• Full-duplex RS-232-C serial portFull-duplex RS-232-C serial port•• 64k 64k × 32 dual-access SRAM 32 dual-access SRAM
Product Description
The 4255 is a complete SCSI interface for high-speed data streaming, high-The 4255 is a complete SCSI interface for high-speed data streaming, high-capacity memory buffering, and fast communication to other SCSI sub-capacity memory buffering, and fast communication to other SCSI sub-systems. Direct connection to low-cost, high-capacity disk drives is sup-systems. Direct connection to low-cost, high-capacity disk drives is sup-ported with software device drivers. Since all transfers take place locally ported with software device drivers. Since all transfers take place locally over the MIXbus, full host bus bandwidth is maintained.over the MIXbus, full host bus bandwidth is maintained.
3-327
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4261 10-MHz, 12-Bit or 5-MHz, 14-Bit A/D Converter BoardPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• 12-bit accuracy at 10 MHz or 14-bit accuracy at 5 MHz12-bit accuracy at 10 MHz or 14-bit accuracy at 5 MHz•• 2M-, 8M-, or 32M-sample buffer memory2M-, 8M-, or 32M-sample buffer memory•• Programmable sample rateProgrammable sample rate•• Parallel digital output interfaceParallel digital output interface
Product Description
The Model 4261 is a wideband, high-performance A/D converter board for The Model 4261 is a wideband, high-performance A/D converter board for VMEbus. It may be used wither as a front end for Pentek’s VMEbus MIX VMEbus. It may be used wither as a front end for Pentek’s VMEbus MIX subsystems or as a standalone general-purpose data acquisition board for subsystems or as a standalone general-purpose data acquisition board for VMEbus.VMEbus.
3-328
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4263 2-Channel, 12-Bit, 20-MHz A/D Converter VME BoardPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Two-channel A/D with integral sample and holdTwo-channel A/D with integral sample and hold•• 12-bit accuracy at 20 MHz12-bit accuracy at 20 MHz•• 4M-sample buffer memory per channel4M-sample buffer memory per channel•• Programmable sample rateProgrammable sample rate•• VMEbus memory mapped for easy access and DMA capabilityVMEbus memory mapped for easy access and DMA capability
Product Description
The 4263 provides two complete A/D conversion channels with integral The 4263 provides two complete A/D conversion channels with integral sample and hold capable of 12-bit resolution at a maximum sampling rate of sample and hold capable of 12-bit resolution at a maximum sampling rate of 20 MHz. Each channel has a 4-Msample data acquisition memory ideal for 20 MHz. Each channel has a 4-Msample data acquisition memory ideal for capturing transient events.capturing transient events.
3-329
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4265 16-Channel, 16-Bit A/D and D/A Converter VME BoardPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Sigma delta data convertersSigma delta data converters•• 16 A/D and 16 D/A channels16 A/D and 16 D/A channels•• Integral anit-aliasing filtersIntegral anit-aliasing filters•• Eight ’C40 communication portsEight ’C40 communication ports•• Single-slot 6U VME boardSingle-slot 6U VME board
Product Description
The 4265 is a 16-channel A/D and D/A converter for digital audio applica-The 4265 is a 16-channel A/D and D/A converter for digital audio applica-tions. Sampled input and output data are tailored to directly interface with tions. Sampled input and output data are tailored to directly interface with the communications ports of the TI ’C40 DSP. Front panel communication the communications ports of the TI ’C40 DSP. Front panel communication ports connect directly to all of Pentek’s ’C40 DSP products.ports connect directly to all of Pentek’s ’C40 DSP products.
3-330
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4273 Time Code Reader MIX ModulePlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Generates and decodes many standard time code formatsGenerates and decodes many standard time code formats•• Accepts carrier frequencies to 10 kHzAccepts carrier frequencies to 10 kHz•• On-board TMS320E25 DSPOn-board TMS320E25 DSP•• Time information on visual display, serial port, carrier modulation, or Time information on visual display, serial port, carrier modulation, or
over the MIX interfaceover the MIX interface
Product Description
The 4273 is a general-purpose time code reader MIX module for VMEbus The 4273 is a general-purpose time code reader MIX module for VMEbus and embedded systems. They accept carrier frequencies up to 10 kHz and and embedded systems. They accept carrier frequencies up to 10 kHz and generate and decode many time-code formats, including IRIG B, 2137, XR3, generate and decode many time-code formats, including IRIG B, 2137, XR3, and NASA 36. This module works in forward or reverse directions and gen-and NASA 36. This module works in forward or reverse directions and gen-erate/decode time formats from 1/4erate/decode time formats from 1/4× to 4 to 4× time. The time information is time. The time information is available on a visual display, through the on-board RS-232 port, carrier available on a visual display, through the on-board RS-232 port, carrier modulation, and over the MIX interface. Pulse interval is programmable modulation, and over the MIX interface. Pulse interval is programmable with 1 PPS standard.with 1 PPS standard.
3-331
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4274 10-MHz, 14-Bit A/D Converter MIX ModulePlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• 14-bit converter with integral sample and hold14-bit converter with integral sample and hold•• 10-MHz maximum conversion rate10-MHz maximum conversion rate•• ’C40 DSP communications port output’C40 DSP communications port output•• 512k-sample, high-speed data buffer memory512k-sample, high-speed data buffer memory•• Internal or external sampling clockInternal or external sampling clock
Product Description
The high-performance A/D converter MIX modules with extremely deep The high-performance A/D converter MIX modules with extremely deep on-board memory serve as ideal front ends for wideband data acquisition on-board memory serve as ideal front ends for wideband data acquisition and signal analysis systems. The dual output capability, using either the 32-and signal analysis systems. The dual output capability, using either the 32-bit MIX bus or the 8-bit ’C40 front panel communication port, supports bit MIX bus or the 8-bit ’C40 front panel communication port, supports many different signal processing requirements and architectures.many different signal processing requirements and architectures.
3-332
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 4275 32-Channel, 16-Bit A/D Converter MIX ModulePlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Up to 100-kHz sampling rate with all 32 channels activeUp to 100-kHz sampling rate with all 32 channels active•• 16-bit resolution with 90-dB signal-to-noise performance16-bit resolution with 90-dB signal-to-noise performance•• Integral linear phase, anti-aliasing filtersIntegral linear phase, anti-aliasing filters•• Ideal for high-performance multichannel systemsIdeal for high-performance multichannel systems
Product Description
The Model 4275 MIX modules feature 32 individual delta sigma A/D con-The Model 4275 MIX modules feature 32 individual delta sigma A/D con-verters with 16-bit resolution, sampling rates to 100 kHz and built in signal verters with 16-bit resolution, sampling rates to 100 kHz and built in signal conditioning.conditioning.
3-333
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 6102 8-Channel, 300-kHz, 16-Bit A/D and D/A Converter VME BoardPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• 8 analog I/O channels8 analog I/O channels•• 16-bit accuracy with simultaneous sampling16-bit accuracy with simultaneous sampling•• Differential inputsDifferential inputs•• VME, MIX, and ’C40 communication port interfacesVME, MIX, and ’C40 communication port interfaces•• Internal or external sampling clocks to 300 kHzInternal or external sampling clocks to 300 kHz•• FIFO buffering for each A/D and D/A channelFIFO buffering for each A/D and D/A channel
Product Description
The Model 6102 is a high-performance, 8-channel A/D and D/A converter The Model 6102 is a high-performance, 8-channel A/D and D/A converter for VMEbus data acquisition, control, and DSP applications. It offers differ-for VMEbus data acquisition, control, and DSP applications. It offers differ-ential inputs, 15-bit accuracy, and sampling frequencies to 300 kHz. Digi-ential inputs, 15-bit accuracy, and sampling frequencies to 300 kHz. Digi-tized data is stored in independent 1k-sample FIFOs, while 16k-sample tized data is stored in independent 1k-sample FIFOs, while 16k-sample FIFO depth is optionally available. As a full-depth MIX module, the 6102 FIFO depth is optionally available. As a full-depth MIX module, the 6102 may be attached directly to a DSP processor. This 32-bit bus allows up to may be attached directly to a DSP processor. This 32-bit bus allows up to three MIX modules to be attached to a MIX baseboard, such as the Pentek three MIX modules to be attached to a MIX baseboard, such as the Pentek 428x series of DSP MIX baseboards, or the Pentek 4200 series of MIX-to-428x series of DSP MIX baseboards, or the Pentek 4200 series of MIX-to-VME baseboards.VME baseboards.
3-334
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 6105 & Model 6106 8-Channel, 2-MHz 12-/14-Bit A/D Converter VME BoardPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• 8 channels with 12-bit or 14-bit accuracy8 channels with 12-bit or 14-bit accuracy•• Differential inputsDifferential inputs•• VME and ’C40 communication port interfacesVME and ’C40 communication port interfaces•• Internal or external sampling clocks to 2 MHzInternal or external sampling clocks to 2 MHz•• FIFO buffering for each converter outputFIFO buffering for each converter output
Product Description
The Models 6105 and 6106 are high-performance, 8-channel, A/D convert-The Models 6105 and 6106 are high-performance, 8-channel, A/D convert-ers for VMEbus data acquisition, control, and DSP applications. Both mod-ers for VMEbus data acquisition, control, and DSP applications. Both mod-els offer differential inputs. Model 6105 offers 12-bit accuracy while Model els offer differential inputs. Model 6105 offers 12-bit accuracy while Model 6106 offers 14-bit accuracy. Otherwise the two models are identical.6106 offers 14-bit accuracy. Otherwise the two models are identical.
Maximum sampling frequency is 2 MHz. Digitized data is stored in eight Maximum sampling frequency is 2 MHz. Digitized data is stored in eight independent 1k-sample FIFOs while 16k-sample FIFO depth is optionally independent 1k-sample FIFOs while 16k-sample FIFO depth is optionally available.available.
3-335
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 6402 2-Channel, 300-kHz A/D Converter VME Board Platforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Front end for the Pentek digital receiversFront end for the Pentek digital receivers•• Front end for the Pentek buffer memoryFront end for the Pentek buffer memory•• 16-bit accuracy16-bit accuracy•• Internal or external sampling clock to 300 kHzInternal or external sampling clock to 300 kHz
Product Description
Among other uses, the 6402 is intended for use as a front end for the Among other uses, the 6402 is intended for use as a front end for the Pentek digital receivers, and it is also compatible with the Pentek Buffer Pentek digital receivers, and it is also compatible with the Pentek Buffer Memory. Connections between the 6402 and these receivers are made with Memory. Connections between the 6402 and these receivers are made with standard 80 conductor 0.025 in. pitch flat ribbon cable using mating con-standard 80 conductor 0.025 in. pitch flat ribbon cable using mating con-nectors supplied. Cables are also available from Pentek.nectors supplied. Cables are also available from Pentek.
3-336
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 6410 2-Channel, 10-MHz, 14-Bit A/D Converter VME BoardPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Front end for the Pentek digital receiversFront end for the Pentek digital receivers•• Front end for the Pentek buffer memoryFront end for the Pentek buffer memory•• 14-bit accuracy14-bit accuracy•• Front panel TTL outputs compatible with Pentek receiversFront panel TTL outputs compatible with Pentek receivers•• Shielded construction reduces noiseShielded construction reduces noise•• Internal or external sampling clock to 10 MHzInternal or external sampling clock to 10 MHz
Product Description
Among other uses, the 6410 is intended for use as a front end for the Among other uses, the 6410 is intended for use as a front end for the Pentek digital receivers, and it is also compatible with the Pentek buffer Pentek digital receivers, and it is also compatible with the Pentek buffer memory. Connections between the 6410 and these receivers are made with memory. Connections between the 6410 and these receivers are made with standard 80 conductor 0.025 in. pitch flat ribbon cabling using mating con-standard 80 conductor 0.025 in. pitch flat ribbon cabling using mating con-nectors supplied. Cables are also available from Pentek.nectors supplied. Cables are also available from Pentek.
3-337
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 6425 12-MHz, 12-Bit A/D Converter VME BoardPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Front end for the Pentek digital receiversFront end for the Pentek digital receivers•• Programmable RF gain and preselect filtersProgrammable RF gain and preselect filters•• Front panel TTL or ECL outputFront panel TTL or ECL output•• Internal or external sampling clock to 25 MHzInternal or external sampling clock to 25 MHz
Product Description
The Model 6425 is a single-slot VMEbus board. It includes variable gain The Model 6425 is a single-slot VMEbus board. It includes variable gain amplification, signal conditioning, and high-speed A/D conversion at sam-amplification, signal conditioning, and high-speed A/D conversion at sam-pling rates up to 25 MHz. Also included is a preselector to reject out of pling rates up to 25 MHz. Also included is a preselector to reject out of band signals which would otherwise reduce dynamic range.band signals which would otherwise reduce dynamic range.
Among other uses, the 6425 is intended for use as a front end for the Among other uses, the 6425 is intended for use as a front end for the Pentek digital receivers. Connections are made via flat ribbon cable avail-Pentek digital receivers. Connections are made via flat ribbon cable avail-able from Pentek.able from Pentek.
3-338
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 6441 2-Channel, 41-MHz, 12-Bit A/D Converter VME BoardPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Front end for the Pentek digital receiversFront end for the Pentek digital receivers•• Front end for Pentek Buffer MemoryFront end for Pentek Buffer Memory•• 14-bit accuracy14-bit accuracy•• Front panel TTL or ECL outputs compatible with Pentek receiversFront panel TTL or ECL outputs compatible with Pentek receivers•• Shielded construction reduces noiseShielded construction reduces noise•• Internal or external sampling clock to 41 MHzInternal or external sampling clock to 41 MHz
Product Description
The Model 6441 is a dual-channel high-speed, 12-bit A/D converter which The Model 6441 is a dual-channel high-speed, 12-bit A/D converter which operates at sampling rates up to 41 MHz. It is a single-slot VMEbus board, operates at sampling rates up to 41 MHz. It is a single-slot VMEbus board, and includes signal conditioning and clock generation circuitry. The two and includes signal conditioning and clock generation circuitry. The two channels are identical and operate from the same sample clock.channels are identical and operate from the same sample clock.
Among other uses, the 6441 is intended for use as a front end for the Among other uses, the 6441 is intended for use as a front end for the Pentek digital receivers, and it is also compatible with the Pentek buffer Pentek digital receivers, and it is also compatible with the Pentek buffer memory. Connections between the 6410 and these receivers are made with memory. Connections between the 6410 and these receivers are made with standard 80 conductor 0.025 in. pitch flat ribbon cable using mating con-standard 80 conductor 0.025 in. pitch flat ribbon cable using mating con-nectors suplied. Cables are also available from Pentek.nectors suplied. Cables are also available from Pentek.
3-339
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 6472 2-Channel, 70-MHz, 10-Bit A/D Converter VME BoardPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Front end for the Pentek digital receiversFront end for the Pentek digital receivers•• Front panel ECL outputs compatible with Pentek receiversFront panel ECL outputs compatible with Pentek receivers•• Shielded construction reduces noiseShielded construction reduces noise•• Internal or external sampling clock to 70 MHzInternal or external sampling clock to 70 MHz
Product Description
The Model 6472 is a dual-channel, high-speed, 10-bit A/D converter which The Model 6472 is a dual-channel, high-speed, 10-bit A/D converter which operates at sampling rates up to 70 MHz. It is a single-slot VMEbus board, operates at sampling rates up to 70 MHz. It is a single-slot VMEbus board, and includes signal conditioning and clock-generation circuitry. The two and includes signal conditioning and clock-generation circuitry. The two channels are identical and operate from the same sample clock.channels are identical and operate from the same sample clock.
Among other uses, the 6410 is intended for use as a front end for the Among other uses, the 6410 is intended for use as a front end for the Pentek digital receivers, and it is also compatible with the Pentek buffer Pentek digital receivers, and it is also compatible with the Pentek buffer memory. Connections between the 6410 and these receivers are made with memory. Connections between the 6410 and these receivers are made with standard 68 conductor 0.025 in. pitch flat ribbon cable using mating con-standard 68 conductor 0.025 in. pitch flat ribbon cable using mating con-nectors suplied. Cables are also available from Pentek.nectors suplied. Cables are also available from Pentek.
3-340
Pentek, Inc.
Development Hardware/Data Acquisition Board
Product Name: Model 6470 70-MHz, 10-Bit A/D Converter VME BoardPlatforms Supported: MS-DOS, Sun UNIX, HP UNIX workstationsDevices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Front end for the Pentek digital receiversFront end for the Pentek digital receivers•• Front end for the Pentek buffer memoryFront end for the Pentek buffer memory•• 14-bit accuracy14-bit accuracy•• Front panel parallel TTL or ECL outputFront panel parallel TTL or ECL output•• Internal or external sampling clock to 70 MHzInternal or external sampling clock to 70 MHz
Product Description
The Model 6470 is a single-slot VMEbus board. It includes variable gain The Model 6470 is a single-slot VMEbus board. It includes variable gain amplification, signal conditioning, and high-speed A/D conversion at sam-amplification, signal conditioning, and high-speed A/D conversion at sam-pling rates up to 70 MHz. Also included is a preselector to reject out of pling rates up to 70 MHz. Also included is a preselector to reject out of band signals which would otherwise reduce dynamic range.band signals which would otherwise reduce dynamic range.
Among other uses, the 6470 is intended for use as a front end for the Among other uses, the 6470 is intended for use as a front end for the Pentek digital receivers, and it is also compatible with the Pentek buffer Pentek digital receivers, and it is also compatible with the Pentek buffer memory. Connections between the 6410 and these receivers are made via a memory. Connections between the 6410 and these receivers are made via a flat ribbon cable available from Pentek.flat ribbon cable available from Pentek.
3-341
Pentek, Inc.
Application Software
Product Name: SwiftTools Multiprocessing C Language Software Development EnvironmentPlatforms Supported: Model 4918 MS-DOS, Model 4928 Sun UNIX and HP UNIX workstations
Devices Supported: TMS320C3x and TMS320C4x
Features and Benefits
•• Powerful debugging resourcePowerful debugging resource•• View and analyze your data in a windowed environmentView and analyze your data in a windowed environment•• Ease DSP code development and debugging tasksEase DSP code development and debugging tasks
Product Description
SwiftTools gives you an extremely powerful debugging resource for com-SwiftTools gives you an extremely powerful debugging resource for com-plex interprocessor tasks. In conjunction with an array of third-party soft-plex interprocessor tasks. In conjunction with an array of third-party soft-ware, you can view and analyze your data in windowed environment. ware, you can view and analyze your data in windowed environment. SwiftTools provides a complete ’C3x and ’C4x DSP software development SwiftTools provides a complete ’C3x and ’C4x DSP software development environment for PC/AT, Sun UNIX, and HP UNIX workstations for all of environment for PC/AT, Sun UNIX, and HP UNIX workstations for all of Pentek’s products. SwiftTools supports any number of DSP processors on Pentek’s products. SwiftTools supports any number of DSP processors on multiple boards or multple card cages. SwiftNet driver support includes multiple boards or multple card cages. SwiftNet driver support includes bus adapters, embedded board-level host boards, and extended multi-user, bus adapters, embedded board-level host boards, and extended multi-user, multi-cage Ethernet systems. SwiftTools is a mouse and menu-driven sys-multi-cage Ethernet systems. SwiftTools is a mouse and menu-driven sys-tem which includes a full-featured text editor. An automated MAKE func-tem which includes a full-featured text editor. An automated MAKE func-tion performs compile, assemble, and link operations tailored to each DSP tion performs compile, assemble, and link operations tailored to each DSP target. An interactive source-level, symbolic debugger supports loading, target. An interactive source-level, symbolic debugger supports loading, single-stepping, breakpoints, and a host of productivity enhancement fea-single-stepping, breakpoints, and a host of productivity enhancement fea-tures. Third-party products:tures. Third-party products:•• SPOX Real-Time Operating System from Spectron MicrosystemsSPOX Real-Time Operating System from Spectron Microsystems•• SPW (Signal Processing WorkSystem by Cadence/Alta Group) Support SPW (Signal Processing WorkSystem by Cadence/Alta Group) Support
Package Package •• MultiProx™ Multi-Processor ’C40 Development Support PackageMultiProx™ Multi-Processor ’C40 Development Support Package•• Tartan C and C++ CompilersTartan C and C++ Compilers•• Tartan FasTar Math Routines and FloTar Floating-Point LibraryTartan FasTar Math Routines and FloTar Floating-Point Library•• Tartan SigTar DSP Library and VecTar Matrix and Vector Math LibraryTartan SigTar DSP Library and VecTar Matrix and Vector Math Library
3-342
PHYTEC Meßtechnik GmbHRobert-Koch-Str. 39
55129 Mainz
Germany
+06131-95883-0
Fax: 06131-95883-33
e-mail: [email protected]
Company Background The company PHYTEC Meßtechnik GmbH, founded in 1987 by Dipl.-Phys. K. A. Neu-The company PHYTEC Meßtechnik GmbH, founded in 1987 by Dipl.-Phys. K. A. Neu-becker, is a leading provider of microcontroller-based applications in Germany.becker, is a leading provider of microcontroller-based applications in Germany.
PHYTEC has 45 employees whose main focus is the development, production, and PHYTEC has 45 employees whose main focus is the development, production, and distribution of microcontroller hardware and software used in measuring technology, distribution of microcontroller hardware and software used in measuring technology, regulation and control technology, industrial image processing, controller networks, and regulation and control technology, industrial image processing, controller networks, and customer-specific developments for special hardware and software applications.customer-specific developments for special hardware and software applications.
High-Speed Fixed-Point DSP Board in Card Size
Product Name: miniMODUL-DSP-C5xPlatforms Supported: PC
Devices Supported: TMS320C50
Features and Benefits
•• Fixed-point DSP TMS320C50 with 40-MIPS/25-ns instruction cycleFixed-point DSP TMS320C50 with 40-MIPS/25-ns instruction cycle•• Check-card size (55 Check-card size (55 × 85 85 × 10 mm) 10 mm)•• 9k 9k × 16-bit SARAM and 1056 words DARAM on-chip memory for data 16-bit SARAM and 1056 words DARAM on-chip memory for data
and codeand code•• 256k 256k × 16-bit data memory, accessible without wait-states 16-bit data memory, accessible without wait-states•• 256k 256k × 16-bit code flash memory, in-system programmable 16-bit code flash memory, in-system programmable•• Periphery: two synchronous serial-ports, RS-232 port, 16-bit timer/Periphery: two synchronous serial-ports, RS-232 port, 16-bit timer/
counter, five interrupt-pins, wait-state generator, watchdog timercounter, five interrupt-pins, wait-state generator, watchdog timer•• 8-bit I/O port (output registered)8-bit I/O port (output registered)•• 16-bit I/O bus with four predefined/16-bit I/O bus with four predefined/CSCS signals signals•• All necessary signals are carried through the module border; allowing All necessary signals are carried through the module border; allowing
for add-on in other circuitsfor add-on in other circuits
Product Description
The miniMODUL-DSP-C5x is a high-speed low-cost digital-signal-processor-The miniMODUL-DSP-C5x is a high-speed low-cost digital-signal-processor-module that can be used in applications, where a maximum of processing-module that can be used in applications, where a maximum of processing-power within minimal space is needed.power within minimal space is needed.
The core is the TI fixed-point DSP TMS320C50 with an 80-MHz clock, The core is the TI fixed-point DSP TMS320C50 with an 80-MHz clock, which yields 40 MIPS by 25-ns instruction-cycle time. The board structure which yields 40 MIPS by 25-ns instruction-cycle time. The board structure takes into consideration the advanced Harvard architecture by using on-takes into consideration the advanced Harvard architecture by using on-chip-RAM as code-memory and miniMODUL-RAM as external data-mem-chip-RAM as code-memory and miniMODUL-RAM as external data-mem-ory. The program-code is loaded from flash memory (maximum 256k ory. The program-code is loaded from flash memory (maximum 256k × 16, 16, 32k segmented), or from PC via printerport (bootloader) for software 32k segmented), or from PC via printerport (bootloader) for software development, into DSP on-chip-RAM and is executed in it.development, into DSP on-chip-RAM and is executed in it.
3-343
Portescap157, Rue Jardinière Dr. Liviu Kreindler
CH 2301 La Chaux-de-Fonds Al Timisul de Sus, BI D-16, Ap 7
Switzerland Bucharest Romania
+41 39 256.111 Phone/Fax: +40 1 77 8 66 66
Fax: +41 39 256.590 e-mail: amotion @ tag.vsat.ro
e-mail: Portescap @ ping.ch
Company BackgroundPortescap is a medium-size international company producing motion system components. Portescap is a medium-size international company producing motion system components. It offers design engineers a development platform for the design of motion systems and the It offers design engineers a development platform for the design of motion systems and the selection of the corresponding components such as motors, controllers, and mechanical selection of the corresponding components such as motors, controllers, and mechanical transmission. The tools allow to modelisation and simulation of the dynamic system bahav-transmission. The tools allow to modelisation and simulation of the dynamic system bahav-ior and permit the subsequent real-time system implementation. Portescap offers a world-ior and permit the subsequent real-time system implementation. Portescap offers a world-wide support through it’s international sales and marketing network.wide support through it’s international sales and marketing network.
Software/Hardware Development
Product Name: S.O.A.P. Motion Software, MCT31 Motion Development BoardPlatforms Supported: MS Windows
Devices Supported: TMS320C25, TMS320C31
Features and Benefits
•• Evaluation of motion-system architectures and suitability of selected Evaluation of motion-system architectures and suitability of selected hardwarehardware
•• Dynamic simulation of the defined motion-system structuresDynamic simulation of the defined motion-system structures•• Modelisation of complex and non-linear loadsModelisation of complex and non-linear loads•• Evaluation of digital loop, including D/A and A/D resolution, offset, Evaluation of digital loop, including D/A and A/D resolution, offset,
sampling time, and various transmission typessampling time, and various transmission types•• PID, PID feedforward, state space control, expert tuning toolboxPID, PID feedforward, state space control, expert tuning toolbox•• Trapezoidal, triangular, parabolic, or S curve profilesTrapezoidal, triangular, parabolic, or S curve profiles•• Motion capture through real system data acquisition and code generationMotion capture through real system data acquisition and code generation
Product Description
The influence of A/D and D/A resolution and offsets The influence of A/D and D/A resolution and offsets and different sampling rates (down to 100 microsec-and different sampling rates (down to 100 microsec-onds) are taken into account for the evaluation of the onds) are taken into account for the evaluation of the control algorithms. The software with the caption of control algorithms. The software with the caption of the selection screen for a positioning loop including the the selection screen for a positioning loop including the opened screen for the entry of controller resolution, opened screen for the entry of controller resolution, sampling time, and PID parameters.sampling time, and PID parameters.
3-344
Poznan University of Technology Institute of Electronics and Telecommunication
Piotrowo 3A
60 965 Poznan
Poland
+ 48 61 782 745
Fax: + 48 61 782 572
e-mail: [email protected]
WWW: http://www.et.put.poznan.pl
Company Background The Institute of Electronics and Telecommunication (formerly the Institute of Electron-The Institute of Electronics and Telecommunication (formerly the Institute of Electron-ics) was established at Poznan University of Technology, Poland in 1974 as a part of the ics) was established at Poznan University of Technology, Poland in 1974 as a part of the Department of Electrical Engineering. The scientific staff of the Institute is engaged in Department of Electrical Engineering. The scientific staff of the Institute is engaged in teaching and research in the field of telecommunications, signal processing, microelec-teaching and research in the field of telecommunications, signal processing, microelec-tronic circuits and systems, and metrology. The Institute enables students to obtain a tronic circuits and systems, and metrology. The Institute enables students to obtain a M.Sc. degree in telecommunication after five years of study.M.Sc. degree in telecommunication after five years of study.
Application Software
Product Name: TMS SystemPlatforms Supported: PC
Devices Supported: TMS320C1x
Features and Benefits
•• Realization of digital filtersRealization of digital filters•• Processor simulationProcessor simulation•• Signal spectrum analysisSignal spectrum analysis•• Visualization of resultsVisualization of results
Product Description
TMS System is an educational software package which includes realizations TMS System is an educational software package which includes realizations of illustrative digital filters and other typical DSP applications in communi-of illustrative digital filters and other typical DSP applications in communi-cations (modem V.22, simulation of communication channels). This soft-cations (modem V.22, simulation of communication channels). This soft-ware is connected to the processor simulator and realizes also the ware is connected to the processor simulator and realizes also the spectrum analysis and helps to visualize the results.spectrum analysis and helps to visualize the results.
3-345
Poznan University of TechnologyInstitute of Electronics and Telecommunication
Application Software and Algorithm
Product Name: DTMF Detection SoftwarePlatforms Supported: PC
Devices Supported: TMS320C1x, TMS320C2x, TMS320C5x
Features and Benefits
•• Variable block size according to the DTMF frequency to be detectedVariable block size according to the DTMF frequency to be detected•• Basic analysis time: 10 msBasic analysis time: 10 ms•• Sampling frequency: 8 kHzSampling frequency: 8 kHz•• Analysis of 32 channels using ’C5xAnalysis of 32 channels using ’C5x
Product Description
The DTMF (dual-tone multi-frequency signaling) detection software uses The DTMF (dual-tone multi-frequency signaling) detection software uses the wavelet transform-based modification of the Goertzel algorithm. To the wavelet transform-based modification of the Goertzel algorithm. To reduce the size of the analyzed blocks of samples, the varying block size is reduce the size of the analyzed blocks of samples, the varying block size is used which differs for each of the DTMF frequencies. An algorithm is used which differs for each of the DTMF frequencies. An algorithm is based on the proposed improved method for the detection of DTMF sig-based on the proposed improved method for the detection of DTMF sig-nals. Using a nonuniform Goertzel filter bank gives the possibility to reduce nals. Using a nonuniform Goertzel filter bank gives the possibility to reduce the number of block samples down to 85. The basic time of signal recogni-the number of block samples down to 85. The basic time of signal recogni-tion is then slightly more than 10 ms only. The algorithm has been imple-tion is then slightly more than 10 ms only. The algorithm has been imple-mented in digital signal processor TMS320C5x. A single processor can be mented in digital signal processor TMS320C5x. A single processor can be used to receive DTMF signals from 32 PCM channels.used to receive DTMF signals from 32 PCM channels.
3-346
Precision Digital Images6742 185th Ave NESuite 100Redmond, WA 98052(800) 678-6505(206) 882-0218Fax: (206) 867-9177e-mail: [email protected]: http://www.precisionimages.com
Company Background Precision Digital Images (PDI) is committed to excellence in advanced imaging and is a Precision Digital Images (PDI) is committed to excellence in advanced imaging and is a leading provider of image capture and processing solutions to OEMs, system integrators leading provider of image capture and processing solutions to OEMs, system integrators and end users. As a recognized leader in the imaging industry and with extensive expe-and end users. As a recognized leader in the imaging industry and with extensive expe-rience in DSP programming, PDI is able to offer a highly-integrated ’C80-based commer-rience in DSP programming, PDI is able to offer a highly-integrated ’C80-based commer-cial product that includes image capture, display and comprehensive software support.cial product that includes image capture, display and comprehensive software support.
Development Hardware/Plug-In PCI Board
Product Name: Precision MXPlatforms Supported: Windows NTDevices Supported: TMS320C80
Features and Benefits
•• Single-board, single-slot integrated capture, processing and display Single-board, single-slot integrated capture, processing and display solution.solution.
•• High-speed data transfer across the PCI bus for real-time imaging.High-speed data transfer across the PCI bus for real-time imaging.•• High-fidelity color video capture.High-fidelity color video capture.•• Overlay capability for high-resolution, single-monitor display.Overlay capability for high-resolution, single-monitor display.•• Fully compatible with Texas Instruments ’C8x software development Fully compatible with Texas Instruments ’C8x software development
tools.tools.
Product Description
Precision MX provides an integrated capture, processing, and display solu-Precision MX provides an integrated capture, processing, and display solu-tion for PCI bus-based PC platforms. Harnessing the power of the tion for PCI bus-based PC platforms. Harnessing the power of the TMS320C80, Precision MX performs intensive image-processing tasks in TMS320C80, Precision MX performs intensive image-processing tasks in real-time and brings workstation performance to the desktop.real-time and brings workstation performance to the desktop.
Precision MX provides real-time imaging with burst transfer rates of up Precision MX provides real-time imaging with burst transfer rates of up to 132 MBytes/second over the PCI bus. In addition, bus master support givesto 132 MBytes/second over the PCI bus. In addition, bus master support gives Precision MX the ability to send large images across the PCI to the host PC. Precision MX the ability to send large images across the PCI to the host PC. The Precision MX also boasts a high-fidelity color capture module, expand-The Precision MX also boasts a high-fidelity color capture module, expand-able on-board memory, and overlay capability for high-resolution, single able on-board memory, and overlay capability for high-resolution, single monitor display.monitor display.
PDI offers mature software and supportPDI offers mature software and support for its ’C80-based products. for its ’C80-based products. The Precision MX package includes a Windows NT driver, a programmer’s The Precision MX package includes a Windows NT driver, a programmer’s API, a ’C80 image processing library, sample API, a ’C80 image processing library, sample applications and compatibility applications and compatibility with Texas Instruments software developmentwith Texas Instruments software development tools. tools.
3-347
Primary Image Ltd.Millbank House 101 Southall Lane171-185 Ewell Road Maitland, FL 32751Surbiton USASurrey KT6 6AP (407) 667 4818United Kingdom Fax: (407) 667 4819+44 (0) 181 339 9669Fax: +44 (0) 181 339 9091e-mail: [email protected]
Company Background Founded in 1978, Primary Image is recognized as one of the major suppliers of image- Founded in 1978, Primary Image is recognized as one of the major suppliers of image- processing, image generation, and graphics technology for commercial and military processing, image generation, and graphics technology for commercial and military applications. Primary Image develops and manufactures a range of products in the applications. Primary Image develops and manufactures a range of products in the imaging and graphics field, in addition to carrying out custom hardware and software imaging and graphics field, in addition to carrying out custom hardware and software design for special applications.design for special applications.
Development Hardware/Plug-In ISA Board
Product Name: P10Platforms Supported: PCDevices Supported: TMS320C8x
Features and Benefits
•• High-performance real-time image generationHigh-performance real-time image generation•• Scalable solution—add cards to increase performanceScalable solution—add cards to increase performance•• Use up to 16 ’C80s in parallelUse up to 16 ’C80s in parallel•• PC-based solutionPC-based solution•• Designed for training and simulation applicationsDesigned for training and simulation applications
Product Description
P10 is the first textured-image generator for PCs which genuinely performs P10 is the first textured-image generator for PCs which genuinely performs in exacting real-time applications. P10 features full-screen image genera-in exacting real-time applications. P10 features full-screen image genera-tion from a 3-D database model with perspective texturing, anti-aliasing, tion from a 3-D database model with perspective texturing, anti-aliasing, and 30-Hz frame rates. P10 is designed for applications which have previ-and 30-Hz frame rates. P10 is designed for applications which have previ-ously used large-scale, complex, and expensive image generators. The scal-ously used large-scale, complex, and expensive image generators. The scal-able architecture of P10 allows the user to add boards and memory at any able architecture of P10 allows the user to add boards and memory at any time to increase performance levels as required.time to increase performance levels as required.
3-348
Prodrive B.V.Horsten 2
5612 AX Eindhoven
The Netherlands
+31 40 2461268
Fax: +31 40 2462362
Company Background Prodrive B.V. originated from the department of Electromechanics and Power Electron-Prodrive B.V. originated from the department of Electromechanics and Power Electron-ics of the University of Technology in Eindhoven in 1993. ics of the University of Technology in Eindhoven in 1993.
The main activity of Prodrive is the development of user-defined applications by The main activity of Prodrive is the development of user-defined applications by which modern technologies like digital signal processors and power electronics are which modern technologies like digital signal processors and power electronics are being used. The Prodrive activities incorporate both hard- and software design.being used. The Prodrive activities incorporate both hard- and software design.
Prodrive succesfully finished several DSP-based projects for national and interna-Prodrive succesfully finished several DSP-based projects for national and interna-tional companies.tional companies.
Development Hardware/TIM Module
Product Name: PDT40Platforms Supported: PC, VMEbus, others
Devices Supported: TMS320C40
Features and Benefits
PDT40 modules can be purchased in a variety of configurations. The mod-PDT40 modules can be purchased in a variety of configurations. The mod-ule can be equiped with a 40-, 50-, or 60-MHz processor and with several ule can be equiped with a 40-, 50-, or 60-MHz processor and with several combinations of global and local memory. The PDT40 is standard provided combinations of global and local memory. The PDT40 is standard provided with a Global Bus Connector. The boot-mode and on-board programming of with a Global Bus Connector. The boot-mode and on-board programming of the Flash ROM can be selected by jumper settings.the Flash ROM can be selected by jumper settings.
Product Description
The PDT40, developed by Prodrive B.V., is a DSP module that conforms to The PDT40, developed by Prodrive B.V., is a DSP module that conforms to the Texas Instruments TIM-40 specifications, and incorporates a the Texas Instruments TIM-40 specifications, and incorporates a TMS320C40 floating-point DSP.TMS320C40 floating-point DSP.
The PDT40 module can be equipped with a maximum 8 MB of memory, The PDT40 module can be equipped with a maximum 8 MB of memory, divided into local and global memory. Due to the use of SRAM, the memory divided into local and global memory. Due to the use of SRAM, the memory can be accessed without wait states. With its six communication ports of 20 can be accessed without wait states. With its six communication ports of 20 Mbps each, the PDT40 is well suited for parallel-processing applications Mbps each, the PDT40 is well suited for parallel-processing applications and high-speed communication with peripherals.and high-speed communication with peripherals.
As standard, the module is fitted with a serial ROM that is used for con-As standard, the module is fitted with a serial ROM that is used for con-figurtion purposes. It is possible, however, to replace this with an “in-sys-figurtion purposes. It is possible, however, to replace this with an “in-sys-tem programmable” 32-KB Flash ROM, that can be used for storage of boot tem programmable” 32-KB Flash ROM, that can be used for storage of boot software.software.
If required, Prodrive can develop alternative modules on user specifica-If required, Prodrive can develop alternative modules on user specifica-tion.tion.
3-349
S.E.E.D.Mr. M. MaraniViale Roma 88/A54100 Massa (MS)Italy(+39) 585 792990Fax: (+39) 585 792989e-mail: [email protected]
Company Background S.E.E.D. is an association of electronic engineers and computer science specialists, S.E.E.D. is an association of electronic engineers and computer science specialists, founded in 1993 and based in Massa, Italy. S.E.E.D. operates in the DSP field giving con-founded in 1993 and based in Massa, Italy. S.E.E.D. operates in the DSP field giving con-sulting about specific applications of the customers and is able to design or integrate sulting about specific applications of the customers and is able to design or integrate systems on request. S.E.E.D. has developed its own DSP-based hardware and software systems on request. S.E.E.D. has developed its own DSP-based hardware and software platforms. S.E.E.D. is able to provide and support custom turn-key system solutions in platforms. S.E.E.D. is able to provide and support custom turn-key system solutions in the following fields: real-time applications design, digital signal processing with a con-the following fields: real-time applications design, digital signal processing with a con-centration in digital audio.centration in digital audio.
Development Hardware/Plug-In ISA Board
Product Name: SEEboarD TI25-50 (code H001-94-C25-100)Platforms Supported: MS-Windows 3.1, MS-DOS 5.0
Devices Supported: TMS320C2x
Features and Benefits
•• Dual-port PC interface (4 kwords) zero-wait stateDual-port PC interface (4 kwords) zero-wait state•• 64-kwords data and 64-kwords program memory zero-wait state64-kwords data and 64-kwords program memory zero-wait state•• Programmable stereo A/D-D/A converterProgrammable stereo A/D-D/A converter•• External TMS serial and 8-bit parallel I/O portsExternal TMS serial and 8-bit parallel I/O ports•• DSK debugger compatibility, DLL for Windows 3.1DSK debugger compatibility, DLL for Windows 3.1
Product Description
TMS320C25/’C50-based board with TMS320C25/’C50-based board with CS4248 stereo Codec for audio-band sig-CS4248 stereo Codec for audio-band sig-nal processing (filtering, equalization, nal processing (filtering, equalization, etc.). This board has a dual-port interface etc.). This board has a dual-port interface to the PC, and two external connectors to the PC, and two external connectors (audio analog I/O, TMS serial port, 8-bit (audio analog I/O, TMS serial port, 8-bit parallel I/O port). Configuring a few parallel I/O port). Configuring a few jumpers and replacing the on-board jumpers and replacing the on-board TMS320C25/’C50 with a TMS320C26, it’s TMS320C25/’C50 with a TMS320C26, it’s possible to use all the DSK software, possible to use all the DSK software, loader, debugger. Software to use loader, debugger. Software to use SEEboarD TI25-50 under Windows 3.1 SEEboarD TI25-50 under Windows 3.1 environment has been developed. System environment has been developed. System requirements include PC 386 or better, requirements include PC 386 or better, MS-Windows 3.1, and MS-DOS 5.0.MS-Windows 3.1, and MS-DOS 5.0.
HostI\O
Space
HOST80x86
RAM64k bytes
AD 1848
Dual PortRAM
TMS320C25 Data Bus
L
R
RAM64k bytes
Program Bus
Control
Data Bus
3-350
S.E.E.D.
Development Hardware/Plug-In ISA Board
Product Name: SEEboarD TI31-33 (code H005-95-C31-100)Platforms Supported: MS-Windows 3.1, MS-DOS 5.0
Devices Supported: TMS320C31
Features and Benefits
•• Dual-port PC interface (4 kwords, 16 bits)Dual-port PC interface (4 kwords, 16 bits)•• External TMS serial and 8-bit parallel I/O portsExternal TMS serial and 8-bit parallel I/O ports•• On-board digital transmitter and receiver (CS8402, CS8412)On-board digital transmitter and receiver (CS8402, CS8412)•• 32-kwords (32-bits) RAM memory32-kwords (32-bits) RAM memory•• MPSD debugger connectorMPSD debugger connector
Product Description
TMS320C31/’C33-based TMS320C31/’C33-based board with CS8402 digital board with CS8402 digital transmitter and CS8412 digi-transmitter and CS8412 digi-tal receiver. This board has a tal receiver. This board has a dual-port interface to the PC, dual-port interface to the PC, and two external connectors and two external connectors (audio digital I/O, TMS serial (audio digital I/O, TMS serial port). Software to use SEE-port). Software to use SEE-boarD TI31-33 by Windows boarD TI31-33 by Windows 3.1 is developing. System 3.1 is developing. System requirements include PC 386 requirements include PC 386 or better, MS-Windows 3.1, or better, MS-Windows 3.1, and MS-DOS 5.0.and MS-DOS 5.0.
HostI\O
Space
HOST80x86
Dual PortRAM
TMS320C31Data Bus
RAM32kword
AES/EBU RECEIVER
CS8412
SERIAL PORT
AES/EBU TRANSMITTER
CS8402
DIGITAL IN
DIGITAL OUT
JTAG
CONNECTOR
3-351
S.E.E.D.
Development Hardware/Daughter Board
Product Name: SBD25-CY/IC (code H002-95-SBD-100)Platforms Supported: PCDevices Supported: TMS320C25, TMS320C26
Features and Benefits
•• Management of Cyclops AXP port signalsManagement of Cyclops AXP port signals•• 4-kwords dual-port memory4-kwords dual-port memory
Product Description
General-purpose, dual-ported General-purpose, dual-ported interface between SEEboarD interface between SEEboarD TI25-50 and Ariel Cyclops board.TI25-50 and Ariel Cyclops board.
This interface has been This interface has been developed to use the Cyclops developed to use the Cyclops board as a floating-point DSP board as a floating-point DSP processing board with the Har-processing board with the Har-vest-MCH8 multichannel syn-vest-MCH8 multichannel syn-chronous acquisition system. chronous acquisition system. System requirements include System requirements include SEEboarD TI25-50 and Ariel SEEboarD TI25-50 and Ariel Cyclops board.Cyclops board.
4 kwordsDual Port
MemoryMapping
& Selection
Logic
MemoryMapping
& Selection
Logic
Address Buffer
DataBuffer Cyclops Data bus
Cyclops Addres Bus
SEEboarD TI25-50 Data Bus
SEEboarD TI25-50 Address Bus
SEEboarD TI25-50Signal Control Logic
Cyclops Signal Control Logic
3-352
S.E.E.D.
Development Hardware/Data Acquisition
Product Name: HARVEST-MCH8 (code H001-95-SBD-100)Platforms Supported: PC
Devices Supported: TMS320C2x
Features and Benefits
•• PGA 100 programmable-gain amplifier on each input channelPGA 100 programmable-gain amplifier on each input channel•• High-performance anti-alias programmable filter on each inputHigh-performance anti-alias programmable filter on each input•• 16-bit linear A/D-D/A converters, 160 kHz maximum conversion rate16-bit linear A/D-D/A converters, 160 kHz maximum conversion rate•• Internal and external triggering, synchronous acquisition on inputsInternal and external triggering, synchronous acquisition on inputs•• Software inputs and outputs routing selectable via PC hostSoftware inputs and outputs routing selectable via PC host
Product Description
It is well known that in many applications, such as those of active control of It is well known that in many applications, such as those of active control of acoustic vibration and analysis of biomedical processes, the signals are acoustic vibration and analysis of biomedical processes, the signals are strictly connected and the processing algorithms must use data simulta-strictly connected and the processing algorithms must use data simulta-neously sampled. Moreover, the signals have to be exactly time-referred to neously sampled. Moreover, the signals have to be exactly time-referred to the signal source; thus, particular care has to be devoted to minimize the the signal source; thus, particular care has to be devoted to minimize the phase-delay jitter of conditioning circuits. To satisfy these and other phase-delay jitter of conditioning circuits. To satisfy these and other requirements, the modular programmable system “Harvest-MCH8” has requirements, the modular programmable system “Harvest-MCH8” has been developed, which can perform a simultaneous acquisition of eight been developed, which can perform a simultaneous acquisition of eight channels. The system is standalone and contains inside both A/D and D/A channels. The system is standalone and contains inside both A/D and D/A converters and signal-conditioning circuits; it uses as host a IBM or IBM-converters and signal-conditioning circuits; it uses as host a IBM or IBM-compatible PC with inside the general-purpose SEEboarD TI25-50 as inter-compatible PC with inside the general-purpose SEEboarD TI25-50 as inter-face. The system also can use another board, based on floating-point DSP, face. The system also can use another board, based on floating-point DSP, for running complex algorithms or for processing.for running complex algorithms or for processing.
Using a SEEboarD TI25-50 with the TMS320C26, it’s possible to use all Using a SEEboarD TI25-50 with the TMS320C26, it’s possible to use all the DSK software, loader, debugger. System requirements include PC 386 the DSK software, loader, debugger. System requirements include PC 386 or better, MS-Windows 3.1, and MS-DOS 5.0.or better, MS-Windows 3.1, and MS-DOS 5.0.
Bus PC IBM
InterfaceModule
DSP Processor Card
SEEboarD TI25-50 Controller Card
Optional
Power card
2 channel I\O card
2 channel I\O card
A\D - D\A card
InOut
InOut
}}
Ch. 1
Ch. 2
3-353
S.E.E.D.
Development Hardware/RS-232 Board
Product Name: OAK/S (code H001-93-C26-100)Platforms Supported: MS-Windows 3.1, MS-DOS 5.0
Devices Supported: TMS320C26
Features and Benefits
•• DSK compatibleDSK compatible•• 32-kwords program and 32-kwords data RAM zero-wait state32-kwords program and 32-kwords data RAM zero-wait state•• 4-kwords EPROM zero-wait state4-kwords EPROM zero-wait state•• Expansion connectorExpansion connector
Product Description
A TMS320C26-based board, with stereo audio interface, PC connectable via A TMS320C26-based board, with stereo audio interface, PC connectable via RS-232 serial port. DSK software compatible. System requirements include RS-232 serial port. DSK software compatible. System requirements include PC 386 or better, MS-Windows 3.1, and MS-DOS 5.0.PC 386 or better, MS-Windows 3.1, and MS-DOS 5.0.
RAM32k bytes
DOUBLE AD 16 BIT
CONVERTER
Program Bus
TMS320C26Data Bus
L R
L
R
RAM32k bytes
STEREO D/ACONVERTER
TMS57014
CONTROL & TIMING GENERATION
CONTROL BUS
CONTROL BUS
CONTROL BUS
3-354
S.E.E.D.
Development Hardware/HIFI Interface for SEEboarD
Product Name: SAAI M16/PH23 (code H002-94-TSI-100)Platforms Supported: PC
Devices Supported: TMS320C25, TMS320C26, TMS320C30, TMS320C50
Features and Benefits
•• Double A/D converter 16-bitDouble A/D converter 16-bit•• Connector for TI DSP standard serial portConnector for TI DSP standard serial port•• Stereo D/A converter 16-bitStereo D/A converter 16-bit•• 32-, 44.1-, or 48-KHz sampling frequency32-, 44.1-, or 48-KHz sampling frequency•• Line and mic analog input, line and headphone analog outputLine and mic analog input, line and headphone analog output
Product Description
Hi-Fi stereo conversion group, 16 bits. It works with a Texas Instruments Hi-Fi stereo conversion group, 16 bits. It works with a Texas Instruments DSP standard serial port (TMS320Cxx). System requirements include DSP standard serial port (TMS320Cxx). System requirements include driver board with Texas Instruments DSP standard serial port driver board with Texas Instruments DSP standard serial port (TMS320Cxx).(TMS320Cxx).
3-355
S.E.E.D.
Development Hardware/HIFI Interface for SEEboarD
Product Name: SADI AD78/CS02 (code H003-94-TSI-100)Platforms Supported: PC
Devices Supported: TMS320C25, TMS320C26, TMS320C30, TMS320C50
Features and Benefits
•• Double A/D converter 16-/18-bitsDouble A/D converter 16-/18-bits•• Stereo D/A converter 16-/18-bitStereo D/A converter 16-/18-bit•• AES/EBU or S/PDIF transmitterAES/EBU or S/PDIF transmitter•• Connector for TI DSP standard serial portConnector for TI DSP standard serial port
Product Description
Stereo data digital aquisition group, with a AES/EBU digital transmitter on Stereo data digital aquisition group, with a AES/EBU digital transmitter on board. It works with a Texas Instruments DSP standard serial port board. It works with a Texas Instruments DSP standard serial port (TMS320Cxx). System requirements include driver board with Texas (TMS320Cxx). System requirements include driver board with Texas Instruments DSP standard serial port (TMS320Cxx).Instruments DSP standard serial port (TMS320Cxx).
3-356
S.E.E.D.
Development Hardware/Daughter Board
Product Name: SBD25-31/EC (code H004-95-SBD-100)Platforms Supported: PCDevices Supported: TMS320C25, TMS320C31
Features and Benefits
•• 4-kwords dual-port one-wait-state between the SEEboarD TI25-50 and 4-kwords dual-port one-wait-state between the SEEboarD TI25-50 and ’C31’C31
•• Expansion slot for ’C31 address/data bus, including serial portExpansion slot for ’C31 address/data bus, including serial port•• 74-ns instruction cycle74-ns instruction cycle•• Minimum 128-kwords (32-bit) ’C31 local RAM zero wait statesMinimum 128-kwords (32-bit) ’C31 local RAM zero wait states
Product Description
SBD25-31/C is an expansion card for the SEEboarD TI25-50. This expan-SBD25-31/C is an expansion card for the SEEboarD TI25-50. This expan-sion allows the SEEboarD TI25-50 based on the fixed-point DSP sion allows the SEEboarD TI25-50 based on the fixed-point DSP TMS320C25 to implement floating-point calculations, using the TMS320C25 to implement floating-point calculations, using the TMS320C31 as coprocessor.TMS320C31 as coprocessor.
Using the SEEboarD TI25-50 with the TMS320C26, it’s possible to use Using the SEEboarD TI25-50 with the TMS320C26, it’s possible to use all the DSK software, loader, debugger. System requirements include aall the DSK software, loader, debugger. System requirements include aSEEboarD TI25-50.SEEboarD TI25-50.
4 kwordsDual Port
RAM
MemoryMapping
& Selection
Logic
MemoryMapping
& Selection
Logic
Address Buffer
DataBuffer Data bus
Addres Bus
SEEboarD TI25-50 Data Bus
SEEboarD TI25-50 Address Bus
SEEboarD TI25-50Signal Control Logic
C31 Signal Control Logic
TMS320C31 Addres Bus
Data bus
Expandible RAM(Minimum 128 kwords)
SerialPort
3-357
S.E.E.D.
Development Hardware/HIFI Interface for SEEboarD
Product Name: SDAI CS12/PH23 (code H004-94-TSI-100)Platforms Supported: PC
Devices Supported: TMS320C25, TMS320C26, TMS320C30, TMS320C50
Features and Benefits
•• AES/EBU receiverAES/EBU receiver•• Stereo D/A converter 16-bitStereo D/A converter 16-bit•• 32- to 48-kHz sampling frequency32- to 48-kHz sampling frequency•• Connector for TI DSP standard serial portConnector for TI DSP standard serial port
Product Description
Stereo data digital aquisition group with a AES/EBU digital receiver on-Stereo data digital aquisition group with a AES/EBU digital receiver on-board. It works with a Texas Instruments DSP standard serial port board. It works with a Texas Instruments DSP standard serial port (TMS320Cxx). System requirements include driver board with Texas (TMS320Cxx). System requirements include driver board with Texas Instruments DSP standard serial port (TMS320Cxx).Instruments DSP standard serial port (TMS320Cxx).
3-358
S.E.E.D.
Development Hardware/RS-232 Board
Product Name: SEExover/D (code H003-95-C26-100)Platforms Supported: MS-Windows 3.1, MS-DOS 5.0
Devices Supported: TMS320C26
Features and Benefits
•• ’C26 64-kb program, 64-kb data memory zero-wait state, 8-kb EEPROM’C26 64-kb program, 64-kb data memory zero-wait state, 8-kb EEPROM•• RS-232 interface to PC, available external ’C26 serial portRS-232 interface to PC, available external ’C26 serial port•• DSK compatible, software-developing environment for Windows 3.1DSK compatible, software-developing environment for Windows 3.1•• Programmable stereo Codecs allowing four inputs and eight outputsProgrammable stereo Codecs allowing four inputs and eight outputs
Product Description
SEExover/D system is an open system designed to develop many audio and SEExover/D system is an open system designed to develop many audio and sound applications and is based on three DSP processors: a TMS320C26 TI sound applications and is based on three DSP processors: a TMS320C26 TI DSP is used for the communication to the PC and for the management of DSP is used for the communication to the PC and for the management of the entire audio signals processing hardware, and two 16-bit resolution Hi-the entire audio signals processing hardware, and two 16-bit resolution Hi-Fi codecs.Fi codecs.
The algorithms implemented on the system can be stored within an The algorithms implemented on the system can be stored within an EEPROM and recalled when the system works in standalone mode.EEPROM and recalled when the system works in standalone mode.
With the TMS320C26, it’s possible to use all the DSK software, loader, With the TMS320C26, it’s possible to use all the DSK software, loader, debugger. debugger.
Software to use SEExover/D under Windows 3.1 environment has been Software to use SEExover/D under Windows 3.1 environment has been developed. System requirements include PC with a RS-232 controller, MS-developed. System requirements include PC with a RS-232 controller, MS-Windows 3.1, MS-DOS 5.0.Windows 3.1, MS-DOS 5.0.
DSPTMS320C26
64 kwordData/Program RAM
DASPTMS57002
DASPTMS57002
CodecCS4225
CodecCS4225
128kwordLocalDaspRAM
128kwordLocalDaspRAM
4kword
EPROM
8kword
EEROM
Data Bus
Address Bus
RS232Control Logic
Ext.Comm.ControlLogic
DASPProg.
ControlLogic
DASPProg.
ControlLogic
CS4225Prog.
ControlLogic
CS4225Prog.
ControlLogic
4 channelsL/output
4 channelsR/output
2 channelsL/input
2 channelsR/input
Ext.Panel
HOSTSystem
2xSerialLink
2xSerialLink
3-359
SHAKTI1 Place Gabriel PERI13001 MarseilleFrance+ (33)-91-90-04-39Fax: +(33) -91-91-43-34
Company BackgroundSHAKTI is a company specializing in optronics systems. The main developments con-SHAKTI is a company specializing in optronics systems. The main developments con-cern adaptive optics and related fields. In particular, it has developed hardware and cern adaptive optics and related fields. In particular, it has developed hardware and associated software for real-time video processing, the major application being for associated software for real-time video processing, the major application being for adaptative optics and related fields.adaptative optics and related fields.
SHAKTI also owns a strong knowledge in CCD cameras, fast and low-noise acquisi-SHAKTI also owns a strong knowledge in CCD cameras, fast and low-noise acquisi-tion system, as well as real-time digital signal processing.tion system, as well as real-time digital signal processing.
Development Hardware/Plug-In Module/VMEbus
Product Name: SHAKTI_MTVPlatforms Supported: VMEbus/UNIX/SOLARIS
Devices Supported: TMS320C40
Features and Benefits
•• Modular parallel and pipelined architectureModular parallel and pipelined architecture•• Real-time communications using links and parallel busesReal-time communications using links and parallel buses•• DAC moduleDAC module•• Graphical moduleGraphical module•• SCSI II storage moduleSCSI II storage module
Product Description
SHAKTI_MTV is a VME motherboard which supports one to four modules. SHAKTI_MTV is a VME motherboard which supports one to four modules. It is a routing platform which deals with two parallel external buses, VME It is a routing platform which deals with two parallel external buses, VME bus, PCI bus, and ’C40 links. The board configuration is loaded by software bus, PCI bus, and ’C40 links. The board configuration is loaded by software using XILINX LCAs and is very versatile. In typical applications, data are using XILINX LCAs and is very versatile. In typical applications, data are extracted from the in-going video bus and sent using FIFO buffers up to extracted from the in-going video bus and sent using FIFO buffers up to eight links. The motherboard owns an out-going bus, which is time multi-eight links. The motherboard owns an out-going bus, which is time multi-plexed using a token system and enables collecting data from numerous plexed using a token system and enables collecting data from numerous boards. Such a system enables one to build parallel an/or pipelined archi-boards. Such a system enables one to build parallel an/or pipelined archi-tectures tailored to one’s requirements. The major modules which can be tectures tailored to one’s requirements. The major modules which can be connected to the motherboard are the following ones:connected to the motherboard are the following ones:•• SHAKTI_C40: SHAKTI_C40: 3 3 × TMS320C40 TMS320C40•• SHAKTI_DAC:SHAKTI_DAC: 64 DAC channels64 DAC channels•• SHAKTI_SCSI:SHAKTI_SCSI: Two SCSI II differential channelsTwo SCSI II differential channels•• SHAKTI_GRV:SHAKTI_GRV: 1024 1024 × 1024 1024 × 24-bits graphics board 24-bits graphics board•• SHAKTI_APV:SHAKTI_APV: Connection to remote video digitalization rackConnection to remote video digitalization rack
3-360
Siemens AG ÖsterreichDesign Center
A-1030 Austria
Vienna
Erdberger Lände 26
+43 1 1707 37610
Fax: +43 1 1707 57602
e-mail: [email protected]
www: www.siemens.at
Company Background Siemens AG Österreich (Austria) is a leading provider of systems for telecommunica-Siemens AG Österreich (Austria) is a leading provider of systems for telecommunica-tions, medical engineering, professional audio and video, industrial automatization, and tions, medical engineering, professional audio and video, industrial automatization, and software solutions in Austria.software solutions in Austria.
A substantial part of the innovation potential of the Siemens AG Österreich is the A substantial part of the innovation potential of the Siemens AG Österreich is the Electronics Development Center in Vienna. The ASIC Design Center as part of the Elec-Electronics Development Center in Vienna. The ASIC Design Center as part of the Elec-tronic Development Center is specialized in ASIC design and ASIC design support.tronic Development Center is specialized in ASIC design and ASIC design support.
ASIC Designs/cDSP Core
Product Name: Design and Development Support for TI ASICs with cDSPsPlatforms Supported: SYNOPSYS and Mentor 8 for ASIC Designs
Devices Supported: All available cDSPs� in Texas Instruments ASICs
Features and Benefits
•• Our high experience with ASIC designs and DSP solutions optimizes Our high experience with ASIC designs and DSP solutions optimizes your chip and reduces your design risk.your chip and reduces your design risk.
Product Description
Siemens’ ASIC Design Center in Vienna offers support up to turn-key Siemens’ ASIC Design Center in Vienna offers support up to turn-key designs of your ASICs with TI’s cDSPs. Over 10 years ASIC design experi-designs of your ASICs with TI’s cDSPs. Over 10 years ASIC design experi-ence combined with over eight years digital signal processing experience ence combined with over eight years digital signal processing experience with TI’s TMS320 series DSPs ensure optimal design and development sup-with TI’s TMS320 series DSPs ensure optimal design and development sup-port for your ASICs with Texas Instruments cDSP cores.port for your ASICs with Texas Instruments cDSP cores.
We offer to support you during your cDSP design and help you:We offer to support you during your cDSP design and help you:•• Select the optimal system architecture for your cDSP-specific product;Select the optimal system architecture for your cDSP-specific product;•• Select the optimal cDSP core for your product;Select the optimal cDSP core for your product;•• Realize your cDSP ASIC design with your own employees; orRealize your cDSP ASIC design with your own employees; or•• Realize your cDSP ASIC design for you as a turn-key design.Realize your cDSP ASIC design for you as a turn-key design.
3-361
Signalogic, Inc.9704 Skillman, Suite 111Dallas, Texas 75243(214) 343-0069Fax: (214) 343-0163e-mail: [email protected]: http://www.signalogic.com
Company Background Signalogic offers a wide range of DSP software and hardware development tools. Soft-Signalogic offers a wide range of DSP software and hardware development tools. Soft-ware tools include data acquisition, block diagram simulation, instrumentation, analysis, ware tools include data acquisition, block diagram simulation, instrumentation, analysis, visualization, and DSP-code generation. Supported hardware ranges from inexpensive visualization, and DSP-code generation. Supported hardware ranges from inexpensive DSP-based multimedia boards to multichannel DSP acquisition systems to high-end DSP-based multimedia boards to multichannel DSP acquisition systems to high-end DSP development platforms. Supported DSP devices include Texas Instruments DSP development platforms. Supported DSP devices include Texas Instruments TMS320C25, TMS320C3x, TMS320C4x, and TMS320C5x.TMS320C25, TMS320C3x, TMS320C4x, and TMS320C5x.
Development Hardware/Plug-In Board
Product Name: SigC31-4Platforms Supported: PC (ISA bus, PCI bus)Devices Supported: TMS320C31
Features and Benefits
•• 50- or 60-MHz TMS320C3150- or 60-MHz TMS320C31•• 32, 128, 512, or 640k 32, 128, 512, or 640k × 32 zero-wait-state SRAM 32 zero-wait-state SRAM•• 4-channel, 16-bit sigma-delta analog I/O with typical dynamic range 4-channel, 16-bit sigma-delta analog I/O with typical dynamic range
> 90 dB> 90 dB•• IEEE 1149.1 (JTAG) debug connectorIEEE 1149.1 (JTAG) debug connector•• 7.5" 7.5" × 4.25" compact size (XT-style form factor) 4.25" compact size (XT-style form factor)
Product Description
The SigC31-4 combines a powerful Texas Instruments 50-MHz TMS320C31 The SigC31-4 combines a powerful Texas Instruments 50-MHz TMS320C31 32-bit floating-point DSP, up to 640k 32-bit floating-point DSP, up to 640k × 32 SRAM, and four (4) channels of 32 SRAM, and four (4) channels of simultaneously sampled analog input and output on a 7.5" PC plug-in simultaneously sampled analog input and output on a 7.5" PC plug-in board. Each channel contains 16-bit sigma-delta A/D and D/A converters board. Each channel contains 16-bit sigma-delta A/D and D/A converters with typical dynamic range greater than 90 dB. The sigma-delta technology with typical dynamic range greater than 90 dB. The sigma-delta technology gives each channel automatic anti-aliasing and reconstruction filters which gives each channel automatic anti-aliasing and reconstruction filters which track with the programmable-sampling rate, and nearly ideal out-of-band track with the programmable-sampling rate, and nearly ideal out-of-band rejection and linear phase. Sampling is synchronous among all channels, rejection and linear phase. Sampling is synchronous among all channels, and sampling rates range from 4 kHz to 48 kHz; software filtering and deci-and sampling rates range from 4 kHz to 48 kHz; software filtering and deci-mation can be used to achieve lower sampling rates. An on-board JTAG mation can be used to achieve lower sampling rates. An on-board JTAG IEEE 1149.1 port allows connection to the standard Texas Instruments IEEE 1149.1 port allows connection to the standard Texas Instruments high-level language real-time debugger. The SigC31-4 is fully supported by high-level language real-time debugger. The SigC31-4 is fully supported by Hypersignal-Macro, DSPower-Block Diagram, and DSPower-HWLib DLL Hypersignal-Macro, DSPower-Block Diagram, and DSPower-HWLib DLL software.software.
3-362
Signalogic, Inc.
Development Hardware/Plug-In Board
Product Name: PC31Platforms Supported: PC (ISA bus)Devices Supported: TMS320C31
Features and Benefits
•• 33-, 40-, or 50-MHz TMS320C3133-, 40-, or 50-MHz TMS320C31•• 32, 128, or 512k 32, 128, or 512k × 32 SRAM 32 SRAM •• 8-channel analog input, 4-channel output8-channel analog input, 4-channel output•• Counter/timers, 48 digital I/O lines, external busCounter/timers, 48 digital I/O lines, external bus•• RS-232, RS-4xx serial channelsRS-232, RS-4xx serial channels
Product Description
The PC31 combines a 33-, 40-, or 50-MHz TMS320C31 processor with eight The PC31 combines a 33-, 40-, or 50-MHz TMS320C31 processor with eight (8) analog inputs, four (4) analog outputs, 32, 128, or 512k (8) analog inputs, four (4) analog outputs, 32, 128, or 512k × 32 SRAM, 48 32 SRAM, 48 bits of digital I/O, DSP~LINK external bus, three (3) serial ports, five (5) bits of digital I/O, DSP~LINK external bus, three (3) serial ports, five (5) counter/timers, and IEEE 1149.1 (JTAG) debugger interface. Analog input counter/timers, and IEEE 1149.1 (JTAG) debugger interface. Analog input is dual 200 kHz, 16-bit SAR A/D converters (4:1 multiplex) and dual-stereo, is dual 200 kHz, 16-bit SAR A/D converters (4:1 multiplex) and dual-stereo, 16-bit, 200 kHz D/A SAR converters. The PC31 is fully supported by Hyper-16-bit, 200 kHz D/A SAR converters. The PC31 is fully supported by Hyper-signal-Macro, DSPower-Block Diagram, and DSPower-HWLib software.signal-Macro, DSPower-Block Diagram, and DSPower-HWLib software.
3-363
Signalogic, Inc.
Development Hardware/Plug-In Board
Product Name: PC32Platforms Supported: PC (ISA bus or PCI bus)Devices Supported: TMS320C32
Features and Benefits
•• 40-, 50-, or 60-MHz TMS320C3240-, 50-, or 60-MHz TMS320C32•• 32, 128, or 512k 32, 128, or 512k × 32 SRAM 32 SRAM•• 4-channel analog input, 4-channel output (simultaneous sampling)4-channel analog input, 4-channel output (simultaneous sampling)•• 16-line digital I/O, separate expansion connector16-line digital I/O, separate expansion connector•• 16-bit, 100-kHz analog I/O with minimal anti-alias and smoothing filters16-bit, 100-kHz analog I/O with minimal anti-alias and smoothing filters
Product Description
The PC32 combines a 40-, 50-, or 60-MHz TMS320C32 processor with four The PC32 combines a 40-, 50-, or 60-MHz TMS320C32 processor with four (4) analog inputs, four (4) analog outputs, 32, 128, or 512k (4) analog inputs, four (4) analog outputs, 32, 128, or 512k × 32 SRAM, 16 32 SRAM, 16 bits of digital I/O, and JTAG 1149.1 debugger interface. Analog input is 4bits of digital I/O, and JTAG 1149.1 debugger interface. Analog input is 4× 100-kHz, 16-bit SAR A/D converters with 6-pole anti-alias filters on each 100-kHz, 16-bit SAR A/D converters with 6-pole anti-alias filters on each channel, and 4channel, and 4× 16-bit, 100-kHz D/A SAR converters with 2-pole smoothing 16-bit, 100-kHz D/A SAR converters with 2-pole smoothing filters on each channel. The PC32 is fully supported by Hypersignal-Macro, filters on each channel. The PC32 is fully supported by Hypersignal-Macro, DSPower-Block Diagram, and DSPower-HWLib software.DSPower-Block Diagram, and DSPower-HWLib software.
3-364
Signalogic, Inc.
Application Software
Product Name: DSPower-Block DiagramPlatforms Supported: MS-WindowsDevices Supported: TMS320C25, TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Block diagram user interface, including both simulation and interactive Block diagram user interface, including both simulation and interactive modesmodes
•• Graphical and iconic display and instrument interfaceGraphical and iconic display and instrument interface•• Support for multiple DSP engines, including Hypersignal-Macro, Support for multiple DSP engines, including Hypersignal-Macro,
Hypersignal-Acoustic, MATLAB, and supported TMS320Cxx hardwareHypersignal-Acoustic, MATLAB, and supported TMS320Cxx hardware•• Source-code generation, including Hypersignal macro language and Source-code generation, including Hypersignal macro language and
MATLAB .m file for simulation, and C code generation for real-time MATLAB .m file for simulation, and C code generation for real-time execution on supported TMS320Cxx hardwareexecution on supported TMS320Cxx hardware
•• User-defined blocksUser-defined blocks
Product Description
DSPower-Block Diagram is a Windows software package with block dia-DSPower-Block Diagram is a Windows software package with block dia-gram and graphical-control user interfaces. Depending upon the “DSP gram and graphical-control user interfaces. Depending upon the “DSP engine(s)” with which it is used, DSPower offers math/DSP simulation and engine(s)” with which it is used, DSPower offers math/DSP simulation and real-time execution of block diagrams, interactive instrumentation, mea-real-time execution of block diagrams, interactive instrumentation, mea-surement, displays, visualization, analysis, data acquisition, and source-surement, displays, visualization, analysis, data acquisition, and source-code generation. Both diagram and interactive modes of operation are code generation. Both diagram and interactive modes of operation are available; partial diagram execution is possible. Diagrams can include both available; partial diagram execution is possible. Diagrams can include both data and control constructs, and are saved in source-code form. Graphical data and control constructs, and are saved in source-code form. Graphical and iconic interfaces inside interactive display and instrument blocks are and iconic interfaces inside interactive display and instrument blocks are rich and full-featured, and can be customized. Procedure blocks can be cre-rich and full-featured, and can be customized. Procedure blocks can be cre-ated from existing blocks. User-defined blocks can be easily created, ated from existing blocks. User-defined blocks can be easily created, including specification of inputs/outputs, block icon, on-line help, and other including specification of inputs/outputs, block icon, on-line help, and other definable parameters. Source-code generation includes Hypersignal macro definable parameters. Source-code generation includes Hypersignal macro language, MATLAB .m file, and C language (not available until 2Q96).language, MATLAB .m file, and C language (not available until 2Q96).
3-365
Signalogic, Inc.
Application Software
Product Name: Hypersignal-MacroPlatforms Supported: MS-DOS, MS-WindowsDevices Supported: TMS320C25, TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• DSP and math functionsDSP and math functions•• Difference equationsDifference equations•• Display and visualization in both time and frequency domainDisplay and visualization in both time and frequency domain•• Real-time instrumentation, including spectrum analyzer, digital oscillo-Real-time instrumentation, including spectrum analyzer, digital oscillo-
scope, stimulus and response, continuous function generation, record/scope, stimulus and response, continuous function generation, record/playback to diskplayback to disk
•• Digital filter design (both FIR and IIR, classical and arbitrary)Digital filter design (both FIR and IIR, classical and arbitrary)
Product Description
The Hypersignal-Macro software package provides menu-driven and pro-The Hypersignal-Macro software package provides menu-driven and pro-gramming language user interfaces to numerous math, DSP, simulation, gramming language user interfaces to numerous math, DSP, simulation, instrumentation, filter design, data acquisition, and display functions. Dis-instrumentation, filter design, data acquisition, and display functions. Dis-plays and instruments are interactive, including frequency domain water-plays and instruments are interactive, including frequency domain water-fall and contour, and spectrum analyzer with dual-trace time, mag, phase, fall and contour, and spectrum analyzer with dual-trace time, mag, phase, impulse response, and transfer function options. Hypersignal-Macro can impulse response, and transfer function options. Hypersignal-Macro can also operate as a “DSP engine” with DSPower, in which case it is controlled also operate as a “DSP engine” with DSPower, in which case it is controlled transparently by DSPower-Block Diagram. Hypersignal-Macro supports transparently by DSPower-Block Diagram. Hypersignal-Macro supports more than 15 different types of TMS320Cxx hardware, including multi-more than 15 different types of TMS320Cxx hardware, including multi-channel, multimedia, and acoustic/audio hardware.channel, multimedia, and acoustic/audio hardware.
3-366
Signalogic, Inc.
Application Software
Product Name: Hypersignal-Macro EXPlatforms Supported: MS-DOS, MS-WindowsDevices Supported: TMS320C25, TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Superset of Hypersignal-Macro; includes additional functionsSuperset of Hypersignal-Macro; includes additional functions•• Sampling rate conversionSampling rate conversion•• Long FFT, long convolution, long correlation (up to 131,072)Long FFT, long convolution, long correlation (up to 131,072)•• Frequency zoomFrequency zoom•• Continuous wavelet transformContinuous wavelet transform
Product Description
Hypersignal-Macro EX is a superset of Hypersignal-Macro and includes Hypersignal-Macro EX is a superset of Hypersignal-Macro and includes additional functions such as frequency zoom, long FFT, long convolution additional functions such as frequency zoom, long FFT, long convolution and correlation, continuous wavelet transform, and more.and correlation, continuous wavelet transform, and more.
3-367
Signalogic, Inc.
Application Software
Product Name: Hypersignal-AcousticPlatforms Supported: MS-DOS, MS-WindowsDevices Supported: TMS320C25, TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Superset of Hypersignal-Macro EX; includes additional functionsSuperset of Hypersignal-Macro EX; includes additional functions•• MLS stimulus and responseMLS stimulus and response•• EqualizationEqualization•• Schroeder integrationSchroeder integration•• Minimum phase calculationMinimum phase calculation
Product Description
Hypersignal-Acoustic is a superset of Hypersignal-Macro EX, and includes Hypersignal-Acoustic is a superset of Hypersignal-Macro EX, and includes additional functions such as MLS stimulus and response, equalization, additional functions such as MLS stimulus and response, equalization, Schroeder integration, minimum phase calculation, reverse waterfall, addi-Schroeder integration, minimum phase calculation, reverse waterfall, addi-tional drivers for TMS320Cxx-based acoustic/audio hardware.tional drivers for TMS320Cxx-based acoustic/audio hardware.
3-368
Signalogic, Inc.
Application Software
Product Name: DSPower-HWLib DLLPlatforms Supported: MS-WindowsDevices Supported: TMS320C25, TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• C/C++ program interface to ’C2x, ’C3x, ’C4x, and ’C5x hardwareC/C++ program interface to ’C2x, ’C3x, ’C4x, and ’C5x hardware•• Visual Basic program interface to ’C2x, ’C3x, ’C4x, and ’C5x hardwareVisual Basic program interface to ’C2x, ’C3x, ’C4x, and ’C5x hardware•• MATLAB program interface to ’C2x, ’C3x, ’C4x, and ’C5x hardwareMATLAB program interface to ’C2x, ’C3x, ’C4x, and ’C5x hardware•• Low-level functionsLow-level functions•• High-level functionsHigh-level functions
Product Description
DSPower-HWLib DLL is a Windows DLL that offers a C/C++ user program DSPower-HWLib DLL is a Windows DLL that offers a C/C++ user program interface to supported TMS320C25, TMS320C3x, TMS320C4x, and interface to supported TMS320C25, TMS320C3x, TMS320C4x, and TMS320C5x hardware. Low-level functions include board management and TMS320C5x hardware. Low-level functions include board management and individual processor control, COFF program file download, memory trans-individual processor control, COFF program file download, memory trans-fer (both to on-board and on-chip, if allowed), and semaphore manage-fer (both to on-board and on-chip, if allowed), and semaphore manage-ment. High-level functions include acquisition to waveform file, D/A ment. High-level functions include acquisition to waveform file, D/A playback from file or continuous-function generation, stimulus and playback from file or continuous-function generation, stimulus and response, various DSP functions, and more.response, various DSP functions, and more.
3-369
Signalogic, Inc.
Application Software
Product Name: DSP Source Code InterfacesPlatforms Supported: MS-DOS, MS-WindowsDevices Supported: TMS320C25, TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• DSP source code interface to ’C2x, ’C3x, ’C4x, and ’C5x hardwareDSP source code interface to ’C2x, ’C3x, ’C4x, and ’C5x hardware•• Analog I/O driversAnalog I/O drivers•• DSP and math routinesDSP and math routines•• Real-time and interrupt processing structuresReal-time and interrupt processing structures•• Product and algorithm customization hooksProduct and algorithm customization hooks
Product Description
The Hypersignal DSP Source Code Interfaces provide access to DSP source The Hypersignal DSP Source Code Interfaces provide access to DSP source code for supported TMS320Cxx processors, including math and DSP rou-code for supported TMS320Cxx processors, including math and DSP rou-tines, analog I/O drivers, and real-time processing structures used by the tines, analog I/O drivers, and real-time processing structures used by the Hypersignal packages. These packages can be used to customize Hypersig-Hypersignal packages. These packages can be used to customize Hypersig-nal operation, or operation of some DSPower-HWLib DLL high-level opera-nal operation, or operation of some DSPower-HWLib DLL high-level opera-tions when a Hypersignal package is used as the DSP engine. Interface for tions when a Hypersignal package is used as the DSP engine. Interface for user-defined C routines to be used in real-time processing algorithms and user-defined C routines to be used in real-time processing algorithms and products is available; debug mode with simultaneous disk waveform file products is available; debug mode with simultaneous disk waveform file record is included.record is included.
3-370
Signix Corporation19 Pelham Island RoadWayland, MA 01778(508) 358-5955Fax: (508) 358-5955e-mail: [email protected]
Company Background Signix Corporation marketed the first digital filter design program for the IBM PC and Signix Corporation marketed the first digital filter design program for the IBM PC and has made numerous upgrades to the initial product. In addition, Signix has implemented has made numerous upgrades to the initial product. In addition, Signix has implemented several applications on the TMS32010 and TMS320C25, and provide consulting in all several applications on the TMS32010 and TMS320C25, and provide consulting in all areas of digital signal processing.areas of digital signal processing.
Application Software/Digital Filter Design
Product Name: DISPRO®/Professional, DISPRO/PersonalPlatforms Supported: PCDevices Supported: TMS320 Family
Features and Benefits
•• Exact simulation of filter operation in fixed-point arithmetic (Profes-Exact simulation of filter operation in fixed-point arithmetic (Profes-sional)sional)
•• Signal generation, time-domain plotting, and FFT-based spectrum Signal generation, time-domain plotting, and FFT-based spectrum analysis (Professional)analysis (Professional)
•• Coefficient scaling for overflow avoidance (Personal & Professional)Coefficient scaling for overflow avoidance (Personal & Professional)•• IIR designs: to order 99 (Professional), 30 (Personal)IIR designs: to order 99 (Professional), 30 (Personal)•• FIR designs: Parks-McClellan to length 2000 (Professional), 512 (Per-FIR designs: Parks-McClellan to length 2000 (Professional), 512 (Per-
sonal)sonal)
Product Description
The DISPRO/Professional software system lets you design, simulate, and The DISPRO/Professional software system lets you design, simulate, and evaluate digital filter operations for implementation on all members of the evaluate digital filter operations for implementation on all members of the TMS320 family. The capability to exactly simulate filter operation with the TMS320 family. The capability to exactly simulate filter operation with the appropriate coefficient wordlength, arithmetic characteristics, and user-appropriate coefficient wordlength, arithmetic characteristics, and user-specified test signal is combined with general-purpose time-domain plot-specified test signal is combined with general-purpose time-domain plot-ting, and spectrum computation and plotting, to allow any filter design to ting, and spectrum computation and plotting, to allow any filter design to be evaluated before being embedded in the target application. With a be evaluated before being embedded in the target application. With a unique combination of coefficient scaling and IIR filter realization, DISPRO/unique combination of coefficient scaling and IIR filter realization, DISPRO/Professional and /Personal provide a solution to dynamic range problems in Professional and /Personal provide a solution to dynamic range problems in fixed-point arithmetic. IIR filter categories include Butterworth, Chebyshev fixed-point arithmetic. IIR filter categories include Butterworth, Chebyshev I/II, and Elliptic in LP/HP/BP/BS configurations. Linear-phase FIR filter I/II, and Elliptic in LP/HP/BP/BS configurations. Linear-phase FIR filter design, via an improved Parks-McClellan-Remez algorithm, provides stan-design, via an improved Parks-McClellan-Remez algorithm, provides stan-dard pass/stop band configurations as well as arbitrary magnitude response dard pass/stop band configurations as well as arbitrary magnitude response specification and sin(x)/x rolloff compensation for D/A converters. Pro-specification and sin(x)/x rolloff compensation for D/A converters. Pro-gram outputs are: coefficients in decimal/hex and poles/zeros (IIR) for gram outputs are: coefficients in decimal/hex and poles/zeros (IIR) for specified wordlength, hard-copy of all graphs, and automatically-named specified wordlength, hard-copy of all graphs, and automatically-named ASCII files with all design and simulation data.ASCII files with all design and simulation data.
3-371
SimPhonics, Inc.6802 Citicorp Drive, Suite 200Tampa, FL 33619(813) 623-9917Fax: (813) 623-5119e-mail: [email protected]: www.simphonics.com
Company Background SimPhonics, Incorporated is a leading supplier of high-performance digital-audio sys-SimPhonics, Incorporated is a leading supplier of high-performance digital-audio sys-tems for the training and simulation industry.tems for the training and simulation industry.
Development Hardware/Plug-In PC Board
Product Name: ISA-30 �C30 DSP CardPlatforms Supported: PCDevices Supported: TMS320C30
Features and Benefits
•• Multi-processing capabilityMulti-processing capability•• EVM30 compatibleEVM30 compatible•• SPOX supportSPOX support•• 16 channels of CD-quality I/O on AUDIO-16 peripheral card16 channels of CD-quality I/O on AUDIO-16 peripheral card•• Low costLow cost
Product Description
SimPhonics, Inc., a leading supplier of high-performance digital-audio sys-SimPhonics, Inc., a leading supplier of high-performance digital-audio sys-tems for flight simulation offers a 30-MHz TMS320C30 DSP card with paral-tems for flight simulation offers a 30-MHz TMS320C30 DSP card with paral-lel-processing capabilities. The card features EVM-30 compatibility, a lel-processing capabilities. The card features EVM-30 compatibility, a parallel DSP~LINK interface, and a GMS parallel-processing bus. Up to parallel DSP~LINK interface, and a GMS parallel-processing bus. Up to 256 k 256 k × 32 of zero-wait-state SRAM can be configured along with a 2 k 32 of zero-wait-state SRAM can be configured along with a 2 k × 16 16 of ISA dual-ported SRAM. Software development is supported by the TI of ISA dual-ported SRAM. Software development is supported by the TI tools or the tartan debugger and C/C++ compiler. A variety of operating tools or the tartan debugger and C/C++ compiler. A variety of operating system support is available including SPOX support. An assortment of system support is available including SPOX support. An assortment of peripherals can be connected through the DSP~LINK parallel interface.peripherals can be connected through the DSP~LINK parallel interface.
SimPhonics also provides a 16-channel digital-audio input and output SimPhonics also provides a 16-channel digital-audio input and output card for use on the DSP~LINK interface featuring balanced audio lines for card for use on the DSP~LINK interface featuring balanced audio lines for both input and output.both input and output.
3-372
Sonitech International14 Mica LaneWellesley, MA 02181 USA (617) 235-6824Fax: (617) 235-2531e-mail: [email protected]: http://www.sonitech.com
Company Background Sonitech offers superior DSP boards, an extensive range of I/O, and the highest quality Sonitech offers superior DSP boards, an extensive range of I/O, and the highest quality development tools for PC AT/ISA, PCI, SBus, VME, standalone, and embedded applica-development tools for PC AT/ISA, PCI, SBus, VME, standalone, and embedded applica-tions. We also provide custom development and integration services.tions. We also provide custom development and integration services.
Development Hardware/Plug-In Board
Product Name: SPIRIT�-30 AT/ISAPlatforms Supported: PC Devices Supported: TMS320C30, TMS320C32
Features and Benefits
•• Integrated with several I/O optionsIntegrated with several I/O options•• Software drivers include support for Labview, Hypersignal, and Software drivers include support for Labview, Hypersignal, and
DSPWorksDSPWorks•• Supports block I/O type transfersSupports block I/O type transfers•• Memory expansion up to 2 MBytes SRAM or 16 MBytes DRAMMemory expansion up to 2 MBytes SRAM or 16 MBytes DRAM
Product Description
The SPIRIT-30, using a TMS320C30 DSP, provides a robust platform for The SPIRIT-30, using a TMS320C30 DSP, provides a robust platform for real-time signal processing. The board has a high-speed peripheral port and real-time signal processing. The board has a high-speed peripheral port and two serial interfaces, and is expandable to 2 MBytes of SRAM. For larger two serial interfaces, and is expandable to 2 MBytes of SRAM. For larger storage capacity, 16 MBytes of DRAM can be added.storage capacity, 16 MBytes of DRAM can be added.
A wide range of tools is available for rapid prototyping and implemen-A wide range of tools is available for rapid prototyping and implemen-tation of applications on the SPIRIT-30. This includes a run-time library, a tation of applications on the SPIRIT-30. This includes a run-time library, a board support package (BSP) for SPOX, Virtuoso ADSP libraries, a debug-board support package (BSP) for SPOX, Virtuoso ADSP libraries, a debug-ger, optimized signal processing design software, and optimized DSP librar-ger, optimized signal processing design software, and optimized DSP librar-ies.ies.
Unlike systems based on a dual-port host interface, the SPIRIT-30 Unlike systems based on a dual-port host interface, the SPIRIT-30 allows full 16-bit access to its entire SRAM memory via a set of registers in allows full 16-bit access to its entire SRAM memory via a set of registers in the I/O address space of the PC host. The host has the capability to reset, the I/O address space of the PC host. The host has the capability to reset, interrupt, enable/disable, read status, and control SPIRIT-30 operations via interrupt, enable/disable, read status, and control SPIRIT-30 operations via a control register. Similarly, the SPIRIT-30 can interrupt the host.a control register. Similarly, the SPIRIT-30 can interrupt the host.
3-373
Sonitech International
Development Hardware/Standalone/SBus
Product Name: SPIRIT-30 SBusPlatforms Supported: Sun SBus, Standalone
Devices Supported: TMS320C30
Features and Benefits
•• Up to 25 MBytes/sec SBus transfer ratesUp to 25 MBytes/sec SBus transfer rates•• Memory expansion to 2 MBytes SRAMMemory expansion to 2 MBytes SRAM•• TMS320C30 and host interrupt supportTMS320C30 and host interrupt support•• Supports standalone operationSupports standalone operation•• Optional high-performance 16-bit, dual-channel 200-kHz A/D, D/A Optional high-performance 16-bit, dual-channel 200-kHz A/D, D/A
modulemodule
Product Description
The SPIRIT-30 SBus card transforms your SPARCstation into a 40-MFLOP The SPIRIT-30 SBus card transforms your SPARCstation into a 40-MFLOP DSP engine using a single TMS320C30 DSP and application development DSP engine using a single TMS320C30 DSP and application development software. The unique SPIRIT-30 architecture offers up to 25 MBytes/sec software. The unique SPIRIT-30 architecture offers up to 25 MBytes/sec data transfer over the SBus (12–18 MBytes/sec measured performance on a data transfer over the SBus (12–18 MBytes/sec measured performance on a SPARCstation 2), and is expandable from its base memory configuration of SPARCstation 2), and is expandable from its base memory configuration of 256 kBytes up to 2 MBytes of zero-wait-state SRAM. A high-speed periph-256 kBytes up to 2 MBytes of zero-wait-state SRAM. A high-speed periph-eral interface (40 MBytes/sec) and two serial interfaces (10 Mbits/sec eral interface (40 MBytes/sec) and two serial interfaces (10 Mbits/sec each) are available for connection to external I/O devices. Its small size and each) are available for connection to external I/O devices. Its small size and standalone capability can be used to make powerful benchtop and hand-standalone capability can be used to make powerful benchtop and hand-held instrumentation.held instrumentation.
A wide range of tools is available for rapid prototyping and implemen-A wide range of tools is available for rapid prototyping and implemen-tation of applications on the SPIRIT-30. The entire SPIRIT-30 memory is tation of applications on the SPIRIT-30. The entire SPIRIT-30 memory is mapped into the SBus address space, allowing simpler host/’C30 interac-mapped into the SBus address space, allowing simpler host/’C30 interac-tion than dual-port RAM-based host interfaces.tion than dual-port RAM-based host interfaces.
3-374
Sonitech International
Development Hardware/Plug-In VMEbus Board
Product Name: SPIRIT-30 VMEPlatforms Supported: VME device
Devices Supported: TMS320C30
Features and Benefits
•• TMS320C30 6U VMETMS320C30 6U VME•• A32/D32,16 VME bus interfaceA32/D32,16 VME bus interface•• Optional high-quality instrument grade, dual-channel 200-kHz A/D, Optional high-quality instrument grade, dual-channel 200-kHz A/D,
D/AD/A•• Memory expansion to 4 MBytes zero-wait-state SRAM, 16 MBytes Memory expansion to 4 MBytes zero-wait-state SRAM, 16 MBytes
DRAMDRAM•• Wide range of drivers available, including VxWorksWide range of drivers available, including VxWorks
Product Description
The SPIRIT-30 VME provides a TMS320C30 DSP engine for VME applica-The SPIRIT-30 VME provides a TMS320C30 DSP engine for VME applica-tions. Its memory is expandable from a base configuration of 256 kBytes up tions. Its memory is expandable from a base configuration of 256 kBytes up to 4 MBytes of zero-wait-state SRAM, and up to 16 MBytes of DRAM. The to 4 MBytes of zero-wait-state SRAM, and up to 16 MBytes of DRAM. The SPIRIT-30 VME has three interfaces on the front panel: a high-speed paral-SPIRIT-30 VME has three interfaces on the front panel: a high-speed paral-lel port (33 MBytes/sec), and two serial interfaces (6.4–8 Mbits/sec each).lel port (33 MBytes/sec), and two serial interfaces (6.4–8 Mbits/sec each).
The VME host has full 32-bit (A32/D32) and A32/D16 access to the The VME host has full 32-bit (A32/D32) and A32/D16 access to the SPIRIT-30 SRAM and DRAM memory. The control space is accessed as an SPIRIT-30 SRAM and DRAM memory. The control space is accessed as an A16/D16 slave. The local SRAM is also directly accessible from the VME A16/D16 slave. The local SRAM is also directly accessible from the VME bus for D32 and D16 transfers. The host has the capability to reset, inter-bus for D32 and D16 transfers. The host has the capability to reset, inter-rupt, enable/disable, and read SPIRIT-30 status via a control register. Simi-rupt, enable/disable, and read SPIRIT-30 status via a control register. Simi-larly, the SPIRIT-30 can interrupt the host via any of the VME bus larly, the SPIRIT-30 can interrupt the host via any of the VME bus interrupts.interrupts.
A variety of development tools and environments are available for A variety of development tools and environments are available for development on the SPIRIT-30 VME.development on the SPIRIT-30 VME.
3-375
Sonitech International
Development Hardware/Plug-In ISA Board
Product Name: SPIRIT-40 AT/ISAPlatforms Supported: PC AT/ISA
Devices Supported: TMS320C40
Features and Benefits
•• 80- and 100-MFLOP peak performance80- and 100-MFLOP peak performance•• Integrated with wide range of Sonitech-compatible I/O boardsIntegrated with wide range of Sonitech-compatible I/O boards•• Up to 8 MBytes zero-wait-state SRAM per DSP, up to 16 MBytes zero-Up to 8 MBytes zero-wait-state SRAM per DSP, up to 16 MBytes zero-
wait-state SRAM per boardwait-state SRAM per board•• Labview and Windows NT driversLabview and Windows NT drivers
Product Description
The SPIRIT-40 is designed for advanced parallel-processing topologies The SPIRIT-40 is designed for advanced parallel-processing topologies such as rings, lattices, trees, or hypercubes. Six bidirectional 20 MByte/sec such as rings, lattices, trees, or hypercubes. Six bidirectional 20 MByte/sec comm ports with individual DMA controllers, provide high I/O bandwidth comm ports with individual DMA controllers, provide high I/O bandwidth capability. The board is expandable from a base memory configuration of capability. The board is expandable from a base memory configuration of 1024 kBytes of zero-wait-state SRAM up to 16 MBytes.1024 kBytes of zero-wait-state SRAM up to 16 MBytes.
The SPIRIT-40 is reliable platform for real-time signal processing. Inte-The SPIRIT-40 is reliable platform for real-time signal processing. Inte-grated solutions include a 10-MHz A/D and a frame grabber. A variety of grated solutions include a 10-MHz A/D and a frame grabber. A variety of tools are available for application development on the SPIRIT-40. Compre-tools are available for application development on the SPIRIT-40. Compre-hensive software support includes the highly-optimized SPIRIT run-time hensive software support includes the highly-optimized SPIRIT run-time library, several operating systems for parallel processing, a high-level lan-library, several operating systems for parallel processing, a high-level lan-guage debugger, and general-purpose and optimized DSP libraries.guage debugger, and general-purpose and optimized DSP libraries.
The host has the capability to reset, interrupt, enable/disable, and read The host has the capability to reset, interrupt, enable/disable, and read SPIRIT-40 status. SPIRIT-40 operations can be controlled from the host SPIRIT-40 status. SPIRIT-40 operations can be controlled from the host system via a control register. Similarly, the SPIRIT-40 can interrupt the system via a control register. Similarly, the SPIRIT-40 can interrupt the host via one of four interrupt levels.host via one of four interrupt levels.
ExpansionBus Interface
PCI Bridge/ Controller
ProcessorSRAM
DRAM
PCI Bus
C40
Video /Graphics SCSI
Hard Disk Drive
Tape Drive
PCI BusExpansion Chassis
C40 FrameGrabber
C40 C40C40
ISA Bus
SPIRIT-40 PCI
HSA-4030
SPIRIT-40 AT/ISA Co-Processors
8 Slots
C40 PCI BusExtender
LAN /ATM
C40 C40
3-376
Sonitech International
Development Hardware/Plug-In PCI Board
Product Name: SPIRIT-40 PCIPlatforms Supported: PCI
Devices Supported: TMS320C40
Features and Benefits
•• Up to 16 MBytes of zero-wait-state SRAMUp to 16 MBytes of zero-wait-state SRAM•• RS-232 serial interfaceRS-232 serial interface•• PCI bus Initiator, access to PCI controller devicePCI bus Initiator, access to PCI controller device•• Labview and Windows NT driversLabview and Windows NT drivers•• Integrated with a wide range of I/O front ends and a frame grabberIntegrated with a wide range of I/O front ends and a frame grabber
Product Description
Plugging into a single PCI slot, the SPIRIT-40 is compatible with PCI 2.1-Plugging into a single PCI slot, the SPIRIT-40 is compatible with PCI 2.1-compliant systems. The board uses two Texas Instruments TMS320C40 compliant systems. The board uses two Texas Instruments TMS320C40 Digital Signal Processors and provides six bidirectional 20 MByte/sec comm Digital Signal Processors and provides six bidirectional 20 MByte/sec comm ports, each with their own DMA engine. The board is expandable to 16 ports, each with their own DMA engine. The board is expandable to 16 MBytes from a base memory configuration of 768 kBytes of SRAM.MBytes from a base memory configuration of 768 kBytes of SRAM.
A comprehensive suite of development tools is available for application A comprehensive suite of development tools is available for application development on the SPIRIT-40 PCI. Development support includes the development on the SPIRIT-40 PCI. Development support includes the highly-optimized SPIRIT run-time library driver, several operating systems highly-optimized SPIRIT run-time library driver, several operating systems for parallel processing, a high-level language debugger, and general-pur-for parallel processing, a high-level language debugger, and general-pur-pose and optimized DSP libraries.pose and optimized DSP libraries.
The PCI host has full 32-bit access to the SPIRIT-40 PCI via memory-The PCI host has full 32-bit access to the SPIRIT-40 PCI via memory-mapped address space. Either ’C40 processor may operate as a PCI master mapped address space. Either ’C40 processor may operate as a PCI master performing transfers at 50 MBytes/sec. The supplied run-time library pro-performing transfers at 50 MBytes/sec. The supplied run-time library pro-vides a convenient software interface which eliminates the need to pro-vides a convenient software interface which eliminates the need to pro-gram the card at the register level.gram the card at the register level.
PCI Bus
ISA Bus
C40C40
SPIRIT-40 PCI
Bridge
C40 SP-Image
FrameGrabber
SP-Image
PCI Bus
C40C40
SPIRIT-40 PCI
SCSI
Mass.Storage
A/D, D/A, I/O
3-377
Sonitech International
Development Hardware/Plug-In VMEbus Board
Product Name: SPIRIT-40 VMEPlatforms Supported: VMEDevices Supported: TMS320C40
Features and Benefits
•• Up to 16 MBytes zero-wait-state SRAMUp to 16 MBytes zero-wait-state SRAM•• VME bus slot 01 mastershipVME bus slot 01 mastership•• Standalone (VME slot 1) operationStandalone (VME slot 1) operation•• VME bus block transfers >20 MbpsVME bus block transfers >20 Mbps•• A/D up to 150 MSamples/secondA/D up to 150 MSamples/second
Product Description
The SPIRIT-40 VME is ideal for applications that require parallel process-The SPIRIT-40 VME is ideal for applications that require parallel process-ing. This board is based upon two Texas Instruments TMS320C40 DSPs ing. This board is based upon two Texas Instruments TMS320C40 DSPs and features 512 kBytes of zero-wait-state memory per ’C40, upgradable to and features 512 kBytes of zero-wait-state memory per ’C40, upgradable to 8 MBytes of zero-wait-state SRAM memory per ’C40 or 16 MBytes per board.8 MBytes of zero-wait-state SRAM memory per ’C40 or 16 MBytes per board.
The global bus SRAM memory operates on a shared-access basis, The global bus SRAM memory operates on a shared-access basis, allowing VME host and ’C40 access to the entire memory space on a cycle-allowing VME host and ’C40 access to the entire memory space on a cycle-by-cycle basis. This simplifies software development and accelerates move-by-cycle basis. This simplifies software development and accelerates move-ment of large data arrays when compared to systems using a dual-port RAM.ment of large data arrays when compared to systems using a dual-port RAM.
An extensive set of development tools including a complete library for An extensive set of development tools including a complete library for host-to-board communications, a debugger, and optimized ’C40 libraries host-to-board communications, a debugger, and optimized ’C40 libraries are available for application development. An (E)EPROM holds board con-are available for application development. An (E)EPROM holds board con-figuration information along with boot code. Moreover, the SPIRIT-40 VME figuration information along with boot code. Moreover, the SPIRIT-40 VME provides an RS-232 port for interfacing with external devices.provides an RS-232 port for interfacing with external devices.
I/O
Multi-channel A/D, up to 10-MHz samplingMulti-channel A/D, up to 10-MHz sampling
Single-channel A/D sample rate up to 150 MHzSingle-channel A/D sample rate up to 150 MHz
Serial data input up to 250 MByte/secSerial data input up to 250 MByte/sec
Digital data stream up to 65 MW/secDigital data stream up to 65 MW/sec
Development Tools
C Compiler/assembler/linkerC Compiler/assembler/linker
Brahma debugger, TI’s XDS510Brahma debugger, TI’s XDS510
Optimized ’C40 DSP and application librariesOptimized ’C40 DSP and application libraries
3-378
Sonitech International
Development Hardware/Data Acquisition
Product Name: ACOMMPlatforms Supported: PCI, PC AT/ISADevices Supported: TMS320C40
Features and Benefits
•• 333 kHz maximum A/D; optional D/A333 kHz maximum A/D; optional D/A•• Up to 16-bit resolutionUp to 16-bit resolution•• 8DI/16SE multiplexed analog input channels8DI/16SE multiplexed analog input channels•• ’C40 comm port interface’C40 comm port interface•• 40 digital I/O lines40 digital I/O lines
Product Description
The ACOMM series is a family of PC-based multi-function data acquisition The ACOMM series is a family of PC-based multi-function data acquisition boards with a direct interface to the SPIRIT-40 AT/ISA and SPIRIT-40 PCI boards with a direct interface to the SPIRIT-40 AT/ISA and SPIRIT-40 PCI DSP boards. Designed with on-board ’C40 communication ports, the DSP boards. Designed with on-board ’C40 communication ports, the ACOMM series provides the user with an integrated solution for data acqui-ACOMM series provides the user with an integrated solution for data acqui-sition, processing, and control without the data transfer bottleneck of the sition, processing, and control without the data transfer bottleneck of the host bus.host bus.
The ACOMM system provides a ’C40-based plat-The ACOMM system provides a ’C40-based plat-form for building a programmable control system for form for building a programmable control system for users requiring both D/A and digital I/O circuitry. An users requiring both D/A and digital I/O circuitry. An array of development tools are supported by the array of development tools are supported by the ACOMM series boards. Sonitech provides software ACOMM series boards. Sonitech provides software to acquire, process, and output data.to acquire, process, and output data.
SERIAL PORT
SONITECH International, Inc.
CAD8F
DAQ-200 SAIBDA
DSP
C30
P
CO
MMPORT
Up to 16 ChannelsUp to 333 kHz
Up to 16 ChannelsUp to 10 MHz
HSA-4030Series
AComm-IO
Up to 16 ChannelsUp to 10 MHz
DSP BoardsI/ODevelopment Tools
Please ask about dataacquisition for theSPIRIT-40 VME
Up to 8 ChannelsUp to 400 kHz
SPIRIT-40 DSP based DataAcquistionGreater Number of ChannelsGreater Sampling RatesSeamless Integration ofadditional boards for more power
SPIRIT-30 DSP basedData Acquisition
FG Board
IMAGE ACQUISITION AND PROCESSINGSPIRIT-Image
COMM PORT
CO
MM
PORT
DSP
C40DSP
C40
C30 and C40Acquisition andProcessingfrom Sonitech
DSP
C30 A/D
SPIRIT-HSA
DSP
C30 A/D
SPIRIT-40AT/ISASPIRIT-40PCI
SPIRIT-30AT/ISA
3-379
Sonitech International
Development Hardware/Emulator
Product Name: BRAHMA MPSD/JTAGPlatforms Supported: PC, Sun workstation
Devices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• MPSD emulator interface for TMS320C3x systemsMPSD emulator interface for TMS320C3x systems•• JTAG interface for TMS320C4x systemsJTAG interface for TMS320C4x systems•• Parallel Global Debugger for TMS320C40 systemsParallel Global Debugger for TMS320C40 systems•• Windows/DOS or OS/2-based debugging on PCsWindows/DOS or OS/2-based debugging on PCs•• X-Windows/OPEN LOOK debugger allows remote development X-Windows/OPEN LOOK debugger allows remote development
Product Description
The BRAHMA system provides JTAG (TMS320C4x) or MPSD The BRAHMA system provides JTAG (TMS320C4x) or MPSD (TMS320C3x) debugging on PCs or Sun workstations. The serial interface (TMS320C3x) debugging on PCs or Sun workstations. The serial interface allows complete control of the target system DSP device. The target DSP allows complete control of the target system DSP device. The target DSP hardware executes at normal speed and is only slowed or stopped when hardware executes at normal speed and is only slowed or stopped when control is required by the emulator.control is required by the emulator.
The PC Brahma provides software for Windows, DOS, or OS/2 debug-The PC Brahma provides software for Windows, DOS, or OS/2 debug-ging. The Sun-based Brahma runs as an X-Window System application ging. The Sun-based Brahma runs as an X-Window System application under OpenWindows version 3.under OpenWindows version 3.
For ’C40 debugging, over 20 devices may be connected in a JTAG serial For ’C40 debugging, over 20 devices may be connected in a JTAG serial chain. Each ’C40 can be controlled individually or from one command shell chain. Each ’C40 can be controlled individually or from one command shell to simplify the debugging of multiprocessor systems. Unlike JTAG, multiple to simplify the debugging of multiprocessor systems. Unlike JTAG, multiple MPSD interfaces can not be daisy chained. Simultaneous debugging of mul-MPSD interfaces can not be daisy chained. Simultaneous debugging of mul-tiple ’C3x devices requires a BRAHMA system for each device.tiple ’C3x devices requires a BRAHMA system for each device.
3-380
Sonitech International
Development Hardware/Plug-In Board/SBus/VME/Other
Product Name: DAQ-200�Platforms Supported: PC, Sun workstation, VME, Standalone
Devices Supported: TMS320C3x
Features and Benefits
•• Optically-isolated dual 200-kHz A/D D/A sections provide true 16-bit Optically-isolated dual 200-kHz A/D D/A sections provide true 16-bit performanceperformance
•• SAR A/D for fast, accurate transient responseSAR A/D for fast, accurate transient response•• Optional 1:8 interpolatorOptional 1:8 interpolator•• Instrument grade input rangesInstrument grade input ranges•• Standalone capability or connection to ’C3x serial portStandalone capability or connection to ’C3x serial port
Product Description
A complete systemA complete system
The DAQ-200 is designed to serve as a front end to DSP boards, and is also The DAQ-200 is designed to serve as a front end to DSP boards, and is also capable of standalone operation. Its on-board ’C31 floating-point DSP per-capable of standalone operation. Its on-board ’C31 floating-point DSP per-forms functions such as FFT, digital decimation, and filtering.forms functions such as FFT, digital decimation, and filtering.
This complete system features a six-pole Butterworth 50-kHz LPF, an This complete system features a six-pole Butterworth 50-kHz LPF, an anti-aliasing filter which may be bypassed by software control. A second fil-anti-aliasing filter which may be bypassed by software control. A second fil-ter may be installed to provide different input filter cutoff frequencies and ter may be installed to provide different input filter cutoff frequencies and characteristics. Likewise, the output anti-imaging filter is a six-pole Butter-characteristics. Likewise, the output anti-imaging filter is a six-pole Butter-worth 50-kHz LPF. All filters are plug-in and can be customized by the worth 50-kHz LPF. All filters are plug-in and can be customized by the user.user.
A clock input and output at the sample rate allow multi-channel sys-A clock input and output at the sample rate allow multi-channel sys-tems to be configured, with one unit serving as master and the others as tems to be configured, with one unit serving as master and the others as slaves. To ensure quality, every system is tested with an Audio Precision slaves. To ensure quality, every system is tested with an Audio Precision System 1 and shipped with its test data.System 1 and shipped with its test data.
3-381
Sonitech International
Development Hardware/Data Acquisition
Product Name: HSA4030 SeriesPlatforms Supported: PCI, PC AT/ISA, VMEDevices Supported: TMS320C30, TMS320C40
Features and Benefits
•• Configurable acquisition and processing with choice of single ’C30 Configurable acquisition and processing with choice of single ’C30 (SPIRIT-HSA) or multiple ’C40 DSPs (HSA4030)(SPIRIT-HSA) or multiple ’C40 DSPs (HSA4030)
•• Choice of PCI, AT/ISA, and 6U VME boardsChoice of PCI, AT/ISA, and 6U VME boards•• More than 10 A/D options (varying number of channels, sample rates)More than 10 A/D options (varying number of channels, sample rates)•• Single-channel acquisition to 10 MHzSingle-channel acquisition to 10 MHz
Product Description
The HSA4030 series combines a DSP engine along with high-speed A/D. The HSA4030 series combines a DSP engine along with high-speed A/D. The boards provide an ideal platform for high-speed A/D and non-stop con-The boards provide an ideal platform for high-speed A/D and non-stop con-tinuous FFT processing. The end user can configure any number of DSPs tinuous FFT processing. The end user can configure any number of DSPs for processing.for processing.
The SPIRIT-HSA boards (PC ISA, VME) provide a single slot, multi-The SPIRIT-HSA boards (PC ISA, VME) provide a single slot, multi-channel high-speed A/D along with a ’C30 DSP. The SPIRIT-HSA has the channel high-speed A/D along with a ’C30 DSP. The SPIRIT-HSA has the ability to acquire and store data while simultaneously processing the data.ability to acquire and store data while simultaneously processing the data.
The HSA4030 A/D boards (without an on-board DSP) feature a direct The HSA4030 A/D boards (without an on-board DSP) feature a direct link to a SPIRIT-40 DSP board (dual-’C40 board on PCI, PC ISA, VME) link to a SPIRIT-40 DSP board (dual-’C40 board on PCI, PC ISA, VME) comm port, providing a powerful and expandable data acquisition and pro-comm port, providing a powerful and expandable data acquisition and pro-cessing system. System processing power can be increased by installing cessing system. System processing power can be increased by installing additional SPIRIT-40 boards and connecting comm ports.additional SPIRIT-40 boards and connecting comm ports.
Standard TMS320 development tools are used for acquiring and pro-Standard TMS320 development tools are used for acquiring and pro-cessing data. In addition, Sonitech provides example application software cessing data. In addition, Sonitech provides example application software with source code.with source code.
PCI Bus
ISA Bus
C40C40
HSA-4030
SPIRIT-40 PCI
Bridge
A/D, D/A, I/O HSA-4030
3-382
Sonitech International
Development Hardware/Multiprocessor Board
Product Name: QUAD-40 VMEPlatforms Supported: VME
Devices Supported: TMS320C40
Features and Benefits
•• Low-cost 160- and 200-MFLOP configurationsLow-cost 160- and 200-MFLOP configurations•• Up to 24-MBytes zero-wait-state SRAMUp to 24-MBytes zero-wait-state SRAM•• RS-232 port for serial connectionsRS-232 port for serial connections•• Standalone capabilityStandalone capability•• Low-power “busless” interfaceLow-power “busless” interface
Product Description
The QUAD-40 VME is ideal for array-DSP-processing applications. This The QUAD-40 VME is ideal for array-DSP-processing applications. This board utilizes four Texas Instruments TMS320C40 DSPs and provides 12 board utilizes four Texas Instruments TMS320C40 DSPs and provides 12 bidirectional comm ports on the front panel, the other 12 are intercon-bidirectional comm ports on the front panel, the other 12 are intercon-nected. The QUAD-40 board may operate as an independent node in an nected. The QUAD-40 board may operate as an independent node in an array-processor application or as a slave to the SPIRIT-40 VME board. Pro-array-processor application or as a slave to the SPIRIT-40 VME board. Pro-grams may be loaded from the boot EPROM, via JTAG, or through one of grams may be loaded from the boot EPROM, via JTAG, or through one of comm ports. Inter-card communication is done through the comm ports.comm ports. Inter-card communication is done through the comm ports.
The configuration, status, and control registers of the QUAD-40 board The configuration, status, and control registers of the QUAD-40 board have the same ’C40 locations and usage as Sonitech’s SPIRIT-40 VME, thus have the same ’C40 locations and usage as Sonitech’s SPIRIT-40 VME, thus increasing software transportability between the two cards. For advanced increasing software transportability between the two cards. For advanced array processing, a kernel which supports up to 100 ’C40 nodes is available array processing, a kernel which supports up to 100 ’C40 nodes is available for use with multiple QUAD-40 VME boards.for use with multiple QUAD-40 VME boards.
I/O
Multi-channel A/D, up to 10-MHz samplingMulti-channel A/D, up to 10-MHz sampling
Single-channel A/D sample rate up to 150 MHzSingle-channel A/D sample rate up to 150 MHz
Serial data input up to 250 MByte/secSerial data input up to 250 MByte/sec
Digital data stream up to 65 MW/secDigital data stream up to 65 MW/sec
Development Tools
C Compiler/assembler/linkerC Compiler/assembler/linker
Brahma debugger, TI’s XDS510Brahma debugger, TI’s XDS510
Optimized ’C40 DSP and application librariesOptimized ’C40 DSP and application libraries
3-383
Sonitech International
Development Hardware/Standalone
Product Name: SPIRIT-lite�Platforms Supported: Standalone
Devices Supported: TMS320C32
Features and Benefits
•• Low-cost, low-power ’C32 DSP board for standalone or embedded Low-cost, low-power ’C32 DSP board for standalone or embedded applicationsapplications
•• 32-bit TMS320C32 floating-point DSP32-bit TMS320C32 floating-point DSP•• Dual RS-232 interface, 24-bit bidirectional digital I/ODual RS-232 interface, 24-bit bidirectional digital I/O•• Choice of A/D front endsChoice of A/D front ends•• Robust development environmentRobust development environment
Product Description
The SPIRIT-lite is a miniature size, high-performance DSP board for a wide The SPIRIT-lite is a miniature size, high-performance DSP board for a wide range of control, audio, telecommunication, and instrumentation applica-range of control, audio, telecommunication, and instrumentation applica-tions. Sophisticated DSP applications can be brought to market in a matter tions. Sophisticated DSP applications can be brought to market in a matter of months with the extensive development tools and DSP application sup-of months with the extensive development tools and DSP application sup-port provided with this product.port provided with this product.
Various memory configurations are available. In applications where the Various memory configurations are available. In applications where the DSP program is held in the internal RAM, the external SRAM memory may DSP program is held in the internal RAM, the external SRAM memory may be removed to save power and reduce cost. A Flash PROM embeds the pro-be removed to save power and reduce cost. A Flash PROM embeds the pro-gram and data, allowing “burns-in” of data or results acquired in the field, gram and data, allowing “burns-in” of data or results acquired in the field, and transmission of data at a later time. The program and data on the Flash and transmission of data at a later time. The program and data on the Flash PROM may be upgraded without physically removing the PROM.PROM may be upgraded without physically removing the PROM.
The SPIRIT-lite does not require a host in an embedded environment. The SPIRIT-lite does not require a host in an embedded environment. The RS-232 link is available for program downloading during development The RS-232 link is available for program downloading during development and manufacturing and is not required for standalone operations.and manufacturing and is not required for standalone operations.
3-384
Sonitech International
Development Hardware/Plug-In Board/PCI/ISA
Product Name: SPIRIT-ImagePlatforms Supported: PCI, PC AT/ISA
Devices Supported: TMS320C40
Features and Benefits
•• Real-time image acquisition and processingReal-time image acquisition and processing•• Programmable ’C40 for image processing algorithm design and devel-Programmable ’C40 for image processing algorithm design and devel-
opmentopment•• Open development tools for DOS/Windows; parallel image-processing Open development tools for DOS/Windows; parallel image-processing
source code is includedsource code is included•• Modular system allows easy addition of processing nodesModular system allows easy addition of processing nodes•• Fast PCI-based transfers while utilizing ISA slotsFast PCI-based transfers while utilizing ISA slots
Product Description
Sonitech’s SPIRIT-Image system provides a powerful and flexible platform Sonitech’s SPIRIT-Image system provides a powerful and flexible platform to meet the computational demands of image processing. Because of its to meet the computational demands of image processing. Because of its parallel-processing architecture, the SPIRIT-Image is a superior system for parallel-processing architecture, the SPIRIT-Image is a superior system for algorithm design, development, implementation, and testing. The SPIRIT-algorithm design, development, implementation, and testing. The SPIRIT-Image combines a high-quality frame grabber and single ’C40 processor on Image combines a high-quality frame grabber and single ’C40 processor on one board, with a second board, which provides a high-performance dual-one board, with a second board, which provides a high-performance dual-’C40 architecture on either the AT/ISA or PCI bus. Interboard communica-’C40 architecture on either the AT/ISA or PCI bus. Interboard communica-tion is via multiple 20-MBps ’C40 comm ports. The frame grabber board tion is via multiple 20-MBps ’C40 comm ports. The frame grabber board also has three dedicated processing units for logical operations, additions, also has three dedicated processing units for logical operations, additions, and subtractions.and subtractions.
The scaleable architecture of the SPIRIT-Image allows real-time pro-The scaleable architecture of the SPIRIT-Image allows real-time pro-cessing for most applications without the high costs associated with many cessing for most applications without the high costs associated with many real-time systems. Standard functions and several example software rou-real-time systems. Standard functions and several example software rou-tines are included for fast out-of-the-box installation and processing.tines are included for fast out-of-the-box installation and processing.
3-385
Sonitech International
Development Hardware/Standalone
Product Name: SPIRIT-Jr �Platforms Supported: Standalone
Devices Supported: TMS320C31
Features and Benefits
•• 33-, 40-, or 50-MFLOP TMS320C31 board33-, 40-, or 50-MFLOP TMS320C31 board•• One 6.4 to 8 Mbps serial (’C3x type) interface; two TMS320C40 parallel One 6.4 to 8 Mbps serial (’C3x type) interface; two TMS320C40 parallel
comm port interfacescomm port interfaces•• Designed for standalone operation in four different form factors:Designed for standalone operation in four different form factors:
•• Chassis-mountable cardChassis-mountable card•• Half-size XT cardHalf-size XT card•• 3U VME card3U VME card•• Rack-mountable box Rack-mountable box
•• Sun and PC-based development tools availableSun and PC-based development tools available•• Free source code license for dual channel FFTFree source code license for dual channel FFT
Product Description
This versatile ’C31-based product is designed as a low-cost, standalone DSP This versatile ’C31-based product is designed as a low-cost, standalone DSP board. Since only power is drawn from the backplane, it may be used in any board. Since only power is drawn from the backplane, it may be used in any type of PC, VME, and standalone system. Three LEDs provide diagnostic type of PC, VME, and standalone system. Three LEDs provide diagnostic and operational status information. Its standard 8 kBytes of memory may and operational status information. Its standard 8 kBytes of memory may be expanded to 128 kBytes of zero-wait-state (20-ns) SRAM for embedded be expanded to 128 kBytes of zero-wait-state (20-ns) SRAM for embedded applications.applications.
Users can build standalone systems for speech processing, real-time Users can build standalone systems for speech processing, real-time programmable filtering, high-quality sound effects, time-to-frequency programmable filtering, high-quality sound effects, time-to-frequency domain converters, and programmable phone line simulators. Moreover, domain converters, and programmable phone line simulators. Moreover, the ’C31 floating-point DSP greatly simplifies software development com-the ’C31 floating-point DSP greatly simplifies software development com-pared with integer-based DSP products. Large dynamic ranges typically pared with integer-based DSP products. Large dynamic ranges typically found in instrumentation and test applications are easily handled by the found in instrumentation and test applications are easily handled by the floating-point format. This eliminates the need for overflow handling and floating-point format. This eliminates the need for overflow handling and truncating of calculations often required by integer-based systems.truncating of calculations often required by integer-based systems.
3-386
Spectrum Digital, Inc.10853 Rockley RoadHouston, TX 77099P.O. Box 1559Sugar Land, TX 77487-1559(713) 561-6952Fax: (713) 561-6037e-mail: [email protected]
Company Background Spectrum Digital, Inc. has been providing cost-effective DSP development tools and Spectrum Digital, Inc. has been providing cost-effective DSP development tools and custom-design solutions to customers worldwide for over eight years. All products are custom-design solutions to customers worldwide for over eight years. All products are designed for ease of use by hardware and software engineers. Spectrum Digital provides designed for ease of use by hardware and software engineers. Spectrum Digital provides a one-stop solution when development tools are needed for TI DSPs. Spectrum’s goal is a one-stop solution when development tools are needed for TI DSPs. Spectrum’s goal is to help get your project up and going and into the marketplace as quick as possible.to help get your project up and going and into the marketplace as quick as possible.
Development Hardware/Standalone Board
Product Name: EVM320C5XPlatforms Supported: IBM PCs/compatibles, laptops, notebooksDevices Supported: TMS320C50, TMS320C51
Features and Benefits
•• TMS320C50/’C51 DSP (40 MIPS), standalone operationTMS320C50/’C51 DSP (40 MIPS), standalone operation•• 64-kwords program/data zero-wait-state RAM, 32-kwords EPROM64-kwords program/data zero-wait-state RAM, 32-kwords EPROM•• 96-pin DIN expansion connector96-pin DIN expansion connector•• One RS-232 serial portOne RS-232 serial port•• JTAG interface for use with Spectrum Digital and TI toolsJTAG interface for use with Spectrum Digital and TI tools
Product Description
The EVM320C5X is a 3U-sized standalone controller board designed for The EVM320C5X is a 3U-sized standalone controller board designed for system evaluation, prototyping, and application development for the system evaluation, prototyping, and application development for the TMS320C50 or TMS320C51 DSPs. The module delivers 40 MIPS of perfor-TMS320C50 or TMS320C51 DSPs. The module delivers 40 MIPS of perfor-mance.mance.
The 96-pin DIN connector provides the user with access to all of the The 96-pin DIN connector provides the user with access to all of the TMS320C5x’s features. Wire-wrap boards and companion modules can be TMS320C5x’s features. Wire-wrap boards and companion modules can be attached to this connector for development. The EVM can be populated attached to this connector for development. The EVM can be populated with up to 128 kbytes of memory in both the data and address spaces. The with up to 128 kbytes of memory in both the data and address spaces. The on-board EPROMs, used for debug monitor functions, can be programmed on-board EPROMs, used for debug monitor functions, can be programmed with application-specific code once debugging has been completed.with application-specific code once debugging has been completed.
The PC-based windowed debugger allows the user to debug assembly The PC-based windowed debugger allows the user to debug assembly language programs via the RS-232 serial port by uploading/downloading language programs via the RS-232 serial port by uploading/downloading code and data, control execution with breakpoints and single steps, and code and data, control execution with breakpoints and single steps, and inspect/change registers and memory. inspect/change registers and memory.
The standard JTAG connector is present for use with Spectrum Digi-The standard JTAG connector is present for use with Spectrum Digi-tal’s EMU320C5X Scan Path Emulator.tal’s EMU320C5X Scan Path Emulator.
3-387
Spectrum Digital, Inc.
Development Hardware/Standalone Board
Product Name: SBC320C52Platforms Supported: IBM PCs/compatibles, laptops, notebooksDevices Supported: TMS320C52
Features and Benefits
•• TMS320C52 DSP running at 80 MHz (40 MIPS), standalone operationTMS320C52 DSP running at 80 MHz (40 MIPS), standalone operation•• 64 kwords program/data 0-wait-state RAM, 32 kwords program Flash 64 kwords program/data 0-wait-state RAM, 32 kwords program Flash
EEPROMEEPROM•• Eight analog inputs (12 bit), four analog outputs (12 bit), PWM genera-Eight analog inputs (12 bit), four analog outputs (12 bit), PWM genera-
tortor•• Two serial ports, one bidirectional parallel portTwo serial ports, one bidirectional parallel port•• JTAG interface for use with Spectrum Digital and TI toolsJTAG interface for use with Spectrum Digital and TI tools
Product Description
The SBC320C52 is a single-board computer designed for control applica-The SBC320C52 is a single-board computer designed for control applica-tions. The module incorporates a TMS320C52 operating a 80 MHz (40 tions. The module incorporates a TMS320C52 operating a 80 MHz (40 MIPS) on a 3U-form factor (100 MIPS) on a 3U-form factor (100 × 180 mm). Although the SBC was origi- 180 mm). Although the SBC was origi-nally designed for motor control, its rich feature set makes it ideal for nally designed for motor control, its rich feature set makes it ideal for numerous control applications.numerous control applications.
The 96-pin DIN connector provides expansion/prototyping capabilities The 96-pin DIN connector provides expansion/prototyping capabilities and access to many of the TMS320C52’s features.and access to many of the TMS320C52’s features.
The SBC is populated with 128 kbytes of zero-wait-state RAM in both The SBC is populated with 128 kbytes of zero-wait-state RAM in both the program and data space. The lower 64 kbytes of program space are the program and data space. The lower 64 kbytes of program space are shadowed with FLASH EPROM for operation in standalone configurations. shadowed with FLASH EPROM for operation in standalone configurations. Eight single-ended 12-bit analog input channels are available, with four Eight single-ended 12-bit analog input channels are available, with four being fed into sample and hold logic for simultaneous measurements. being fed into sample and hold logic for simultaneous measurements. These inputs are configurable from ±5 to ±10 volts. Four analog output These inputs are configurable from ±5 to ±10 volts. Four analog output channels (12-bit resolution, 10-channels (12-bit resolution, 10-µsec settling time) have a range of ±10 sec settling time) have a range of ±10 volts. The SBC also has 3 PWM channels (10 MHz maximum) with 14-bit volts. The SBC also has 3 PWM channels (10 MHz maximum) with 14-bit resolution and up/down timer modes. Communication to the SBC can be resolution and up/down timer modes. Communication to the SBC can be done through RS-232, RS-485, and a bidirectional port.done through RS-232, RS-485, and a bidirectional port.
The module supports the standard JTAG interface and is fully compat-The module supports the standard JTAG interface and is fully compat-ible with Spectrum Digital’s and TI’s development tools.ible with Spectrum Digital’s and TI’s development tools.
3-388
Spectrum Digital, Inc.
Development Hardware/Standalone Board
Product Name: SBC320C203Platforms Supported: IBM PCs/compatibles, laptops, notebooksDevices Supported: TMS320C203
Features and Benefits
•• TMS320C203 DSP running in a standalone operationTMS320C203 DSP running in a standalone operation•• 64 kwords program/data zero-wait-state RAM, 32 kwords program 64 kwords program/data zero-wait-state RAM, 32 kwords program
EEPROM or EPROMEEPROM or EPROM•• Codec provides 1 analog channel of I/O, plus 1 digital channel of I/OCodec provides 1 analog channel of I/O, plus 1 digital channel of I/O•• Three RS-232 serial ports, one bidirectional parallel portThree RS-232 serial ports, one bidirectional parallel port•• JTAG interface for use with Spectrum Digital and TI toolsJTAG interface for use with Spectrum Digital and TI tools
Product Description
The SBC320C203 is a 3U-sized single-board computer designed for system The SBC320C203 is a 3U-sized single-board computer designed for system evaluation and application development of the TMS320C203 Digital Signal evaluation and application development of the TMS320C203 Digital Signal Processor. This low-cost, standalone module is an ideal platform for hard-Processor. This low-cost, standalone module is an ideal platform for hard-ware prototyping and debugging algorithms. The module delivers up to 40 ware prototyping and debugging algorithms. The module delivers up to 40 MIPS of performance.MIPS of performance.
The 96-pin DIN connector provides the user with access to all of the The 96-pin DIN connector provides the user with access to all of the TMS320C203’s features. The wire-wrap companion module allows the user TMS320C203’s features. The wire-wrap companion module allows the user to tailor input signal conditioning and output drive circuitry to a specific to tailor input signal conditioning and output drive circuitry to a specific application. The SBC320C203 can be populated with up to 128 kbytes of application. The SBC320C203 can be populated with up to 128 kbytes of memory in both the data and address spaces. The on-board EEPROM or memory in both the data and address spaces. The on-board EEPROM or EPROMs can be programmed with application specific code once debug-EPROMs can be programmed with application specific code once debug-ging has been completed.ging has been completed.
The standard JTAG connector is present for use with Spectrum Digi-The standard JTAG connector is present for use with Spectrum Digi-tal’s EMU320C5X Scan Path Emulator. The SBC320C203 is fully compati-tal’s EMU320C5X Scan Path Emulator. The SBC320C203 is fully compati-ble with development tools sold by Spectrum Digital and TI.ble with development tools sold by Spectrum Digital and TI.
3-389
Spectrum Digital, Inc.
Development Hardware/Standalone Board
Product Name: Motor Development SystemPlatforms Supported: IBM PCs/compatibles, laptops, notebooksDevices Supported: TMS320Cxx
Features and Benefits
•• Fully-integrated development environment for motor-control applica-Fully-integrated development environment for motor-control applica-tionstions
•• Supports application development for single/3-phase AC or DC motorsSupports application development for single/3-phase AC or DC motors•• Incorporates SBC320C52 single-board computerIncorporates SBC320C52 single-board computer•• Integrated JTAG scan path emulatorIntegrated JTAG scan path emulator•• On-board monitor interfaces to Windows-based VBXOn-board monitor interfaces to Windows-based VBX
Product Description
The Motor Development System (MDS) is a complete development for The Motor Development System (MDS) is a complete development for motor-control applications. The system comes with the SBC320C52 as the motor-control applications. The system comes with the SBC320C52 as the controller engine, however any TMS320Cxx DSP can be used since the DSP controller engine, however any TMS320Cxx DSP can be used since the DSP card is plugable. This modularity allows for varying performance from 6 to card is plugable. This modularity allows for varying performance from 6 to 40 MIPS.40 MIPS.
Using a modular design approach, the MDS consists of a forced-air-Using a modular design approach, the MDS consists of a forced-air-cooled industrial-rack mountable enclosure which houses the DSP control-cooled industrial-rack mountable enclosure which houses the DSP control-ler, power amplifier, and power supply. The SBC320C52 CPU has on-board ler, power amplifier, and power supply. The SBC320C52 CPU has on-board Flash memory to allow the unit to operate without other equipment.Flash memory to allow the unit to operate without other equipment.
The power amplifier, rated at ±15 amps of continuous current, is incor-The power amplifier, rated at ±15 amps of continuous current, is incor-porated on a 6U-sized module. It has the capability to drive DC Servo or AC porated on a 6U-sized module. It has the capability to drive DC Servo or AC induction motors. All motor and sensor signals are available at the front induction motors. All motor and sensor signals are available at the front panel for ease of use. Optically isolating the over-current, over-voltage, panel for ease of use. Optically isolating the over-current, over-voltage, over-temperature, and under-bias inputs protect the motor from damage over-temperature, and under-bias inputs protect the motor from damage during debug and operation. Outputs are available for enabling, braking, during debug and operation. Outputs are available for enabling, braking, fault detection, and user-defined functions. Encoders provide inputs for fault detection, and user-defined functions. Encoders provide inputs for two channels of quadrature inputs in either differential or single-ended two channels of quadrature inputs in either differential or single-ended configurations. Index marking and digital filtering are also available on configurations. Index marking and digital filtering are also available on these inputs. Logic inputs for step, and direction are provided as well as these inputs. Logic inputs for step, and direction are provided as well as industry-standard -10-V to +10-V control inputs for velocity and torque. industry-standard -10-V to +10-V control inputs for velocity and torque. Two -10-V to +10-V outputs are available for tuning and slave interface. Two -10-V to +10-V outputs are available for tuning and slave interface. Command interfacing is done via an RS-232 or RS-485 serial port.Command interfacing is done via an RS-232 or RS-485 serial port.
The power supply is 6U-format card. Input control power can range The power supply is 6U-format card. Input control power can range from 90–265 VAC. The internally available maximum bus input power is from 90–265 VAC. The internally available maximum bus input power is single or 3-phase up to 220 VAC or 400 VDC. The supply can supply a con-single or 3-phase up to 220 VAC or 400 VDC. The supply can supply a con-tinuous current of ±15 amps with a peak of ±30 amps.tinuous current of ±15 amps with a peak of ±30 amps.
The MDS is fully compatible with development tools from Spectrum The MDS is fully compatible with development tools from Spectrum Digital or TI. A Windows-based VBX is supplied with the unit to aid in the Digital or TI. A Windows-based VBX is supplied with the unit to aid in the debug of application programs.debug of application programs.
3-390
Spectrum Digital, Inc.
Development Hardware/Standalone Board
Product Name: EMU320C3XPlatforms Supported: IBM PCs/compatibles, laptops, notebooksDevices Supported: TMS320C3x family
Features and Benefits
•• Scan Path Emulation (MPSD) for TMS320C3x family of floating-point Scan Path Emulation (MPSD) for TMS320C3x family of floating-point DSPsDSPs
•• Operates with notebook/laptop PCs, no adapter card requiredOperates with notebook/laptop PCs, no adapter card required•• Full speed, non-instrusive emulationFull speed, non-instrusive emulation•• Compatible with 3- and 5-volt circuitryCompatible with 3- and 5-volt circuitry•• Supported with TMS320C3x high-level language C source debuggerSupported with TMS320C3x high-level language C source debugger
Product Description
The EMU320C3X provides real-time, non-intrusive emulation for the The EMU320C3X provides real-time, non-intrusive emulation for the TMS320C3x Digital Signal Processors. By using the on-chip MPSD port of TMS320C3x Digital Signal Processors. By using the on-chip MPSD port of the TMS320C3x, Spectrum Digital’s scan path adapter modules interface the TMS320C3x, Spectrum Digital’s scan path adapter modules interface the PC-based windowed debugger to the target system via the PC’s bidirec-the PC-based windowed debugger to the target system via the PC’s bidirec-tional parallel port.tional parallel port.
Without add-in adapter cards Spectrum Digital’s emulator can be inter-Without add-in adapter cards Spectrum Digital’s emulator can be inter-faced to industry-standard PCs, laptops, and notebooks making the system faced to industry-standard PCs, laptops, and notebooks making the system portable for test lines, automotive, avionic, and cellular applications.portable for test lines, automotive, avionic, and cellular applications.
The emulators’ HLL debugger features allow C-level, assembly lan-The emulators’ HLL debugger features allow C-level, assembly lan-guage, or mixed-mode debugging. The debugger also supports single step-guage, or mixed-mode debugging. The debugger also supports single step-ping, multiple breakpoints, code windows, run-time analysis, and machine ping, multiple breakpoints, code windows, run-time analysis, and machine state information.state information.
The EMU320C3X is fully compatible with TI development tools and The EMU320C3X is fully compatible with TI development tools and Spectrum Digital’s Visual Basic Windows-based VBX.Spectrum Digital’s Visual Basic Windows-based VBX.
3-391
Spectrum Digital, Inc.
Development Hardware/Standalone Board
Product Name: EMU320C4XPlatforms Supported: IBM PCs/compatibles, laptops, notebooksDevices Supported: TMS320C4x family
Features and Benefits
•• Scan Path Emulation (JTAG) for TMS320C4x family of floating-point Scan Path Emulation (JTAG) for TMS320C4x family of floating-point DSPsDSPs
•• Operates with notebook/laptop PCs, no adapter card requiredOperates with notebook/laptop PCs, no adapter card required•• Full speed, non-instrusive emulationFull speed, non-instrusive emulation•• Compatible with 3- and 5-volt circuitryCompatible with 3- and 5-volt circuitry•• Supported with TMS320C4x high-level language C source debuggerSupported with TMS320C4x high-level language C source debugger
Product Description
The EMU320C4X provides real-time, non-intrusive emulation for the The EMU320C4X provides real-time, non-intrusive emulation for the TMS320C4x Digital Signal Processors. By using the on-chip JTAG port of TMS320C4x Digital Signal Processors. By using the on-chip JTAG port of the TMS320C4x, Spectrum Digital’s scan path adapter modules interfaces the TMS320C4x, Spectrum Digital’s scan path adapter modules interfaces the PC-based windowed debugger to the target system via the PC’s bidirec-the PC-based windowed debugger to the target system via the PC’s bidirec-tional parallel port.tional parallel port.
Without add-in adapter cards Spectrum Digital’s emulator can be inter-Without add-in adapter cards Spectrum Digital’s emulator can be inter-faced to industry-standard PCs, laptops, and notebooks making the system faced to industry-standard PCs, laptops, and notebooks making the system portable for test lines, automotive, avionic, and cellular applications.portable for test lines, automotive, avionic, and cellular applications.
The emulators’ HLL debugger features allow C level, assembly lan-The emulators’ HLL debugger features allow C level, assembly lan-guage, or mixed-mode debugging. The debugger also supports single step-guage, or mixed-mode debugging. The debugger also supports single step-ping, multiple breakpoints, code windows, run-time analysis, and machine ping, multiple breakpoints, code windows, run-time analysis, and machine state information. state information.
The EMU320C4X is fully compatible with TI development tools and The EMU320C4X is fully compatible with TI development tools and Spectrum Digital’s Visual Basic Windows-based VBX.Spectrum Digital’s Visual Basic Windows-based VBX.
3-392
Spectrum Digital, Inc.
Development Hardware/Standalone Board
Product Name: EMU320C5XPlatforms Supported: IBM PCs/compatibles, laptops, notebooksDevices Supported: TMS320C5x, TMS320C2xx family
Features and Benefits
•• Scan Path Emulation (JTAG) for TMS320C5x and TMS320C2xx fami-Scan Path Emulation (JTAG) for TMS320C5x and TMS320C2xx fami-lies of fixed-point DSPslies of fixed-point DSPs
•• Operates with notebook/laptop PCs, no adapter card requiredOperates with notebook/laptop PCs, no adapter card required•• Full speed, non-instrusive emulationFull speed, non-instrusive emulation•• Compatible with 3- and 5-volt circuitryCompatible with 3- and 5-volt circuitry•• Supported with TMS320C5x high-level language C source debuggerSupported with TMS320C5x high-level language C source debugger
Product Description
The EMU320C5X provides real-time, non-intrusive emulation for the The EMU320C5X provides real-time, non-intrusive emulation for the TMS320C5x and TMS320C2xx Digital Signal Processors. By using the on-TMS320C5x and TMS320C2xx Digital Signal Processors. By using the on-chip JTAG port of the TMS320C5x or TMS320C2xx, Spectrum Digital’s chip JTAG port of the TMS320C5x or TMS320C2xx, Spectrum Digital’s scan path adapter modules interfaces the PC-based windowed debugger to scan path adapter modules interfaces the PC-based windowed debugger to the target system via the PC’s bidirectional parallel port.the target system via the PC’s bidirectional parallel port.
Without add-in adapter cards Spectrum Digital’s emulator can be inter-Without add-in adapter cards Spectrum Digital’s emulator can be inter-faced to industry-standard PCs, laptops, and notebooks making the system faced to industry-standard PCs, laptops, and notebooks making the system portable for test lines, automotive, avionic, and cellular applications.portable for test lines, automotive, avionic, and cellular applications.
The emulators’ HLL debugger features allow C-level, assembly lan-The emulators’ HLL debugger features allow C-level, assembly lan-guage, or mixed-mode debugging. The debugger also supports single step-guage, or mixed-mode debugging. The debugger also supports single step-ping, multiple breakpoints, code windows, run-time analysis, and machine ping, multiple breakpoints, code windows, run-time analysis, and machine state information.state information.
The EMU320C5X is fully compatible with TI development tools and The EMU320C5X is fully compatible with TI development tools and Spectrum Digital’s Visual Basic Windows-based VBX.Spectrum Digital’s Visual Basic Windows-based VBX.
3-393
Spectrum Digital, Inc.
Application Software/Visual Programming
Product Name: DSP-VBXPlatforms Supported: IBM PCs/compatibles, laptops, notebooksDevices Supported: TMS320Cxx
Features and Benefits
•• Visual Basic primitive controls to be used with Spectrum Digital’s Visual Basic primitive controls to be used with Spectrum Digital’s scan-path adpater (JTAG or MPSD)scan-path adpater (JTAG or MPSD)
•• Operates under Windows with notebook/laptop PCs, no adapter card Operates under Windows with notebook/laptop PCs, no adapter card requiredrequired
•• Full speed, non-instrusive functionalityFull speed, non-instrusive functionality•• Compatible with 3- and 5-volt circuitryCompatible with 3- and 5-volt circuitry
Product Description
The Spectrum Digital DSP-VBX is a set of Visual Basic control primitives The Spectrum Digital DSP-VBX is a set of Visual Basic control primitives that can be used with Spectrum Digital’s Scan Path Interface (pod) to con-that can be used with Spectrum Digital’s Scan Path Interface (pod) to con-trol the operation of a TI TMS320Cxx Digital Signal Processors. The VBX trol the operation of a TI TMS320Cxx Digital Signal Processors. The VBX communicates with the DSP target via a pod connected to the test inter-communicates with the DSP target via a pod connected to the test inter-face on the target. The user is then able to write applications in Visual Basic face on the target. The user is then able to write applications in Visual Basic to perform diagnostics, test sequences, and limited debugging. These appli-to perform diagnostics, test sequences, and limited debugging. These appli-cations can then be used in manufactured products to perform system cations can then be used in manufactured products to perform system tests, burn-in tests, bench debugging, and a field service fault isolation. tests, burn-in tests, bench debugging, and a field service fault isolation. Because the Spectrum Digital Scan Path Interface works off the bidirec-Because the Spectrum Digital Scan Path Interface works off the bidirec-tional parallel port on an IBM-compatible PC no adapter cards are required.tional parallel port on an IBM-compatible PC no adapter cards are required.
3-394
Spectrum Digital, Inc.
Application Software/Real-Time Operating System
Product Name: RTXC, Real-Time Executive in CPlatforms Supported: IBM PCs/compatibles, laptops, notebooksDevices Supported: TMS320C2xx, TMS320C3x, TMS320C5x
Features and Benefits
•• Multi-tasking with preemptive, round robin, and time-sliced task sched-Multi-tasking with preemptive, round robin, and time-sliced task sched-ulinguling
•• Intertask communication and synchronization via semaphores, queues, Intertask communication and synchronization via semaphores, queues, and messagesand messages
•• Small RAM and ROM requirements, scalable feature set Small RAM and ROM requirements, scalable feature set •• Fast context switch and interrupt latencyFast context switch and interrupt latency•• Royalty free with source code plus 650+ page manualRoyalty free with source code plus 650+ page manual
Product Description
RTXC is a flexible, field-proven multi-tasking real-time kernel for use in a RTXC is a flexible, field-proven multi-tasking real-time kernel for use in a wide variety of embedded digital signal processing applications. It is writ-wide variety of embedded digital signal processing applications. It is writ-ten primarily in C and features a single application interface for all sup-ten primarily in C and features a single application interface for all sup-ported processors. The result is a configurable, powerful multi-tasking ported processors. The result is a configurable, powerful multi-tasking architecture that helps a user get their job done quicker, easier, and pre-architecture that helps a user get their job done quicker, easier, and pre-serves the software investment when upgrading to another DSP.serves the software investment when upgrading to another DSP.
RTXC, like all multi-tasking real-time kernels, manages tasks and time, RTXC, like all multi-tasking real-time kernels, manages tasks and time, sychronizes with events, and permits transferral of data between tasks. But sychronizes with events, and permits transferral of data between tasks. But RTXC goes beyond basic requirements through its extensive set of under-RTXC goes beyond basic requirements through its extensive set of under-standable kernel services, each operating in one of seven classes of kernel standable kernel services, each operating in one of seven classes of kernel object. In addition to the fundamental requirements, RTXC also contains object. In addition to the fundamental requirements, RTXC also contains kernel services for RAM management and exclusive access to any entity.kernel services for RAM management and exclusive access to any entity.
3-395
Spectrum Signal Processing Inc.100 Production Court Eastern USA8525 Baxter Place 1500 West Park DriveBurnaby, B.C. Westborough, MA 01581V5A 4V7 USACanada (508) 366-7355(604) 421-5422 (800) 323-1842Fax: (604) 421-1764 Fax: (508) 898-2772
Central USA Western USASuite 106 Suite 18011782 Jollyville Road 800 El Camino Real WestAustin, TX 78759 Mountain View, CA 94040(512) 219-4011 (415) 903-2236Fax: (512) 219-4085 Fax: (415) 903-2256
Company Background Spectrum is the North American leader in off-the-self and custom digital signal process-Spectrum is the North American leader in off-the-self and custom digital signal process-ing products. Spectrum provides all the DSP hardware, DSP development software, ing products. Spectrum provides all the DSP hardware, DSP development software, DSP algorithms, DSP ASICs, technical support, and expertise you need to develop your DSP algorithms, DSP ASICs, technical support, and expertise you need to develop your next DSP project. We deliver ISO 9001-certified VME, VME64, VXI, IP, PMC, ISA, and next DSP project. We deliver ISO 9001-certified VME, VME64, VXI, IP, PMC, ISA, and PCI board-level products with the most comprehensive and robust set of DSP develop-PCI board-level products with the most comprehensive and robust set of DSP develop-ment tools in the industry. Spectrum also offers semi-custom and full-custom DSP ment tools in the industry. Spectrum also offers semi-custom and full-custom DSP board and ASIC solutions to meet OEM volume/cost requirements.board and ASIC solutions to meet OEM volume/cost requirements.
Development Hardware/Plug-In VXIbus Board
Development Hardware/Multiprocessor Board
Product Name: Precidio VX8Platforms Supported: VXI64 Master/Slave - HP/UX, Solaris, DOS, OS/2, Win95Devices Supported: TMS320C40, TMS320C44
Features and Benefits
•• 480-MFLOP VXI64 master slave DSP board480-MFLOP VXI64 master slave DSP board•• Six TIM-40 sites and two embedded ’C40sSix TIM-40 sites and two embedded ’C40s•• Up to 4-MB of SRAM per on-board DSP, up to 64 MB of shared DRAMUp to 4-MB of SRAM per on-board DSP, up to 64 MB of shared DRAM•• HP 80-MB/s local bus support with broadcast write to all ’C40 global busesHP 80-MB/s local bus support with broadcast write to all ’C40 global buses
Product Description
The VX8 is a single-slot VXI module hosting two on-board ’C40 DSPs and 6 TIM-40 sites. The VX8 is a single-slot VXI module hosting two on-board ’C40 DSPs and 6 TIM-40 sites. Each global bus from every ’C40 is connected to make a shared-memory interface with Each global bus from every ’C40 is connected to make a shared-memory interface with access to HP’s VXI P2 local bus and the VXI64 Master/Slave interface. A broadcast write access to HP’s VXI P2 local bus and the VXI64 Master/Slave interface. A broadcast write feature from the HP local bus to all ’C4x global buses has been incorporated. Eight ’C40 feature from the HP local bus to all ’C4x global buses has been incorporated. Eight ’C40 comm ports are routed to the front panel and the rest are interconnected on-board. comm ports are routed to the front panel and the rest are interconnected on-board. Two RS-232 serial ports have been implemented for control of other DSP or I/O modules.Two RS-232 serial ports have been implemented for control of other DSP or I/O modules.
3-396
Spectrum Signal Processing Inc.
Development Hardware/Plug-In ISA Module
Product Name: PC/C31 Real-Time Applications BoardPlatforms Supported: DOS, Windows, Windows NTDevices Supported: TMS320C31
Features and Benefits
•• 40-MHz TMS320C3140-MHz TMS320C31•• Embedded/standalone operation using boot EPROMEmbedded/standalone operation using boot EPROM•• Comprehensive modular on-board I/O capabilityComprehensive modular on-board I/O capability•• DSP~LINK parallel interface for peripheral I/ODSP~LINK parallel interface for peripheral I/O•• Powerful real-time code-development toolsPowerful real-time code-development tools•• Fexible memory configurations to suit application needsFexible memory configurations to suit application needs•• 8.33-Mbits/sec synchronous serial port8.33-Mbits/sec synchronous serial port
Product Description
The PC/C31 Real-Time Applications Board is a two-thirds length PC/AT-The PC/C31 Real-Time Applications Board is a two-thirds length PC/AT-compatible card based around the Texas Instruments TMS320C31 floating-compatible card based around the Texas Instruments TMS320C31 floating-point processor. Designed as a flexible, low-cost embedded applications point processor. Designed as a flexible, low-cost embedded applications platform, the ’C31 board provides an exceptional base on which to con-platform, the ’C31 board provides an exceptional base on which to con-struct powerful solutions for your real-time system needs.struct powerful solutions for your real-time system needs.
Memory configurations range from 32 k Memory configurations range from 32 k × 32 to 640 k 32 to 640 k × 32; up to 512 k 32; up to 512 k × 32 of which can be EPROM. 32 of which can be EPROM.
A 32 k A 32 k × 8 EPROM is accessed by the ’C31 boot loader at every power- 8 EPROM is accessed by the ’C31 boot loader at every power-on or reset. The AMELIA (Application ModulE Link Interface Adapter) on or reset. The AMELIA (Application ModulE Link Interface Adapter) module sites allow flexible I/O solutions while maintaining single-slot com-module sites allow flexible I/O solutions while maintaining single-slot com-pliance. Various I/O modules currently available include: Dual 16-bit I/O, pliance. Various I/O modules currently available include: Dual 16-bit I/O, Quad 16-bit input, Dual 1-MHz input AES-EBU, RS-232, and T1/E1.Quad 16-bit input, Dual 1-MHz input AES-EBU, RS-232, and T1/E1.
Spectrum offers many debug tools and software support to comple-Spectrum offers many debug tools and software support to comple-ment this board.ment this board.
3-397
Spectrum Signal Processing Inc.
Development Hardware/Plug-In ISA Module
Product Name: MEDIA~LINK� C31 Quad Processor BoardPlatforms Supported: DOS, WindowsDevices Supported: TMS320C31
Features and Benefits
•• Four 40-MHz TMS320C31 floating-point DSPsFour 40-MHz TMS320C31 floating-point DSPs•• 512-kBytes SRAM per DSP, expandable to 1.5 MBytes512-kBytes SRAM per DSP, expandable to 1.5 MBytes•• ’C31 serial ports brought to headers’C31 serial ports brought to headers•• 128-kBytes dual-access SRAM for interface to the ISA bus128-kBytes dual-access SRAM for interface to the ISA bus•• High-speed MEDIA~LINK connection between processorsHigh-speed MEDIA~LINK connection between processors
Product Description
The C31 Quad Processor is the most cost-effective DSP array processor The C31 Quad Processor is the most cost-effective DSP array processor available on the market today. Each board provides 160 MFLOPS of pro-available on the market today. Each board provides 160 MFLOPS of pro-cessing power and networks of multiple boards can easily be configured via cessing power and networks of multiple boards can easily be configured via a MEDIA~LINK Top-Plane. Simply by adding more TMS320C31 Quad Pro-a MEDIA~LINK Top-Plane. Simply by adding more TMS320C31 Quad Pro-cessors to a system, it is possible to increase total system power to as high cessors to a system, it is possible to increase total system power to as high as the application requires. For example, a system with six ’C31 Quad Pro-as the application requires. For example, a system with six ’C31 Quad Pro-cessor boards would provide 960 MFLOPS in a single PC.cessor boards would provide 960 MFLOPS in a single PC.
The board is designed for applications such as image, radar, and sonar The board is designed for applications such as image, radar, and sonar processing. Its architecture is ideal for the manipulation of two-dimensional processing. Its architecture is ideal for the manipulation of two-dimensional data, in images. It is also well-suited for general-DSP applications where data, in images. It is also well-suited for general-DSP applications where multiple processors are required.multiple processors are required.
The four ’C31 processors are connected via MEDIA~LINK. This sophis-The four ’C31 processors are connected via MEDIA~LINK. This sophis-ticated multimaster packetized data bus eliminates interprocessor-commu-ticated multimaster packetized data bus eliminates interprocessor-commu-nications bottlenecks, and transparently ties together processors located nications bottlenecks, and transparently ties together processors located on different boards.on different boards.
3-398
Spectrum Signal Processing Inc.
Development Hardware/Plug-In ISA Module
Product Name: PC/C32 Real-Time System BoardPlatforms Supported: DOS, Windows, Windows NTDevices Supported: TMS320C32
Features and Benefits
•• 50-MHz TMS320C32 floating-point DSP50-MHz TMS320C32 floating-point DSP•• Embedded standalone operation using boot EPROM Embedded standalone operation using boot EPROM •• Two daughter module sites for analog or digital I/OTwo daughter module sites for analog or digital I/O•• DSP~LINK2 parallel interface for peripheral I/ODSP~LINK2 parallel interface for peripheral I/O•• Powerful real-time code development toolsPowerful real-time code development tools
Product Description
General DescriptionGeneral Description
The C32 Real-Time System Board is a two-thirds-length IBM PC/AT-com-The C32 Real-Time System Board is a two-thirds-length IBM PC/AT-com-patible card. Flexible memory configurability and I/O support allow the patible card. Flexible memory configurability and I/O support allow the board to match a variety of application requirements, reducing end-prod-board to match a variety of application requirements, reducing end-prod-uct costs and time to market.uct costs and time to market.
MemoryMemory
Main memory on the ’C32 board is arranged in two distinct continuous Main memory on the ’C32 board is arranged in two distinct continuous areas, which can be accessed in 8-, 16-, or 32-bit words. Bank 0 can hold areas, which can be accessed in 8-, 16-, or 32-bit words. Bank 0 can hold 32 k 32 k × 16, 32 k 16, 32 k × 32, or 128 k 32, or 128 k × 32 zero-wait-state SRAM. Bank 1 can hold 32 zero-wait-state SRAM. Bank 1 can hold128 k 128 k × 32 or 512 k 32 or 512 k × 32 SRAM. A 32 k 32 SRAM. A 32 k × 8 EPROM is accessed by the ’C32 8 EPROM is accessed by the ’C32 boot loader at every power-on or reset. This EPROM contains code which boot loader at every power-on or reset. This EPROM contains code which uses dual-port RAM to transfer application code from the PC host.uses dual-port RAM to transfer application code from the PC host.
I/OI/O
The AMELIA (Application ModulE Link Interface Adapter) module sites The AMELIA (Application ModulE Link Interface Adapter) module sites allow flexible I/O solutions while maintaining single-slot compliance. Vari-allow flexible I/O solutions while maintaining single-slot compliance. Vari-ous I/O modules currently available include: Dual 16-bit I/O, Quad 16-bit ous I/O modules currently available include: Dual 16-bit I/O, Quad 16-bit input, Dual 1-MHz input AES-EBU, RS-232, and T1/E1. Digital I/O is also input, Dual 1-MHz input AES-EBU, RS-232, and T1/E1. Digital I/O is also available via the ’C31 synchronous serial port which supports transfers of available via the ’C31 synchronous serial port which supports transfers of 8-, 16-, 24-, or 32-bit words at maximum rates of 12.5 Mbits/sec. Spectrum 8-, 16-, 24-, or 32-bit words at maximum rates of 12.5 Mbits/sec. Spectrum offers many debug tools and software support to complement this board.offers many debug tools and software support to complement this board.
3-399
Spectrum Signal Processing Inc.
Development Hardware/Data Acquisition Board
Product Name: PC Daughter Module Carrier BoardPlatforms Supported: DOS, WindowsDevices Supported: AMELIA Modules, TMS320C25, TMS320C3x, TMS320C4x
Features and Benefits
•• Carries any two of Spectrum’s Daughter ModulesCarries any two of Spectrum’s Daughter Modules•• Simultaneous sampling on all channelsSimultaneous sampling on all channels•• Multi-boards synchronization connectorMulti-boards synchronization connector•• DSP~LINK data-transfer interfaceDSP~LINK data-transfer interface•• Compatible with DSP~LINK and DSP~LINK2Compatible with DSP~LINK and DSP~LINK2
Product Description
General DescriptionGeneral Description
The PC Daughter Module Carrier Board is a two-thirds-length IBM PC/AT-The PC Daughter Module Carrier Board is a two-thirds-length IBM PC/AT-compatible card. DSP boards that do not have Daughter Module sites, or compatible card. DSP boards that do not have Daughter Module sites, or require additional I/O channels, can use modules mounted on a DSP~LINK require additional I/O channels, can use modules mounted on a DSP~LINK Daughter Module Carrier Board (DMCB). The DMCB is an ideal interface Daughter Module Carrier Board (DMCB). The DMCB is an ideal interface for a wide variety of applications including high-quality multi-channel for a wide variety of applications including high-quality multi-channel speech and audio.speech and audio.
ModulesModules
The DMCB has two single-width Daughter module (DM) sites. Each site is The DMCB has two single-width Daughter module (DM) sites. Each site is supported with a custom controller called AMELIA (Application ModulE supported with a custom controller called AMELIA (Application ModulE Link Interface Adapter). Each programmable controller provides an inter-Link Interface Adapter). Each programmable controller provides an inter-face between its DM and the DSP~LINK interface.face between its DM and the DSP~LINK interface.
The DMCB can be populated with one single-width module, two identi-The DMCB can be populated with one single-width module, two identi-cal modules, two different modules, or one double-width module.cal modules, two different modules, or one double-width module.
SamplingSampling
The operation of each Daughter Module site is programmed from the DSP The operation of each Daughter Module site is programmed from the DSP board through DSP~LINK. Each DM can be programmed for asynchronous board through DSP~LINK. Each DM can be programmed for asynchronous sampling, with both DMs configured as independent masters. Alternatively, sampling, with both DMs configured as independent masters. Alternatively, compatible DMs can be configured to operate synchronously.compatible DMs can be configured to operate synchronously.
Various I/O modules currently available include: Dual 16-bit I/O, AES-Various I/O modules currently available include: Dual 16-bit I/O, AES-EBU, RS-232, and T1/E1.EBU, RS-232, and T1/E1.
3-400
Spectrum Signal Processing Inc.
Development Hardware/Plug-In ISA Module
Development Hardware/Multiprocessor Board
Product Name: Precidio QPC40S Processor BoardPlatforms Supported: DOS, WindowsDevices Supported: TMS320C4x
Features and Benefits
•• Up to eight 50-MHz floating-point TMS320C4x DSPsUp to eight 50-MHz floating-point TMS320C4x DSPs•• Sites for up to four ’C4x DSP modulesSites for up to four ’C4x DSP modules•• Up to 512 kBytes of shared one-wait-state SRAMUp to 512 kBytes of shared one-wait-state SRAM•• Link Interface Adapter (LIA) to the PC busLink Interface Adapter (LIA) to the PC bus•• DSP~LINK2 parallel interface for peripheral I/ODSP~LINK2 parallel interface for peripheral I/O
Product Description
The QPC40S Processor board is an IBM PC/AT-compatible plug-in board The QPC40S Processor board is an IBM PC/AT-compatible plug-in board for parallel-processing systems applications requiring a large number of for parallel-processing systems applications requiring a large number of processing nodes and shared memory. The board is a shared-memory ver-processing nodes and shared memory. The board is a shared-memory ver-sion of the popular QPC40 Processor Board.sion of the popular QPC40 Processor Board.
ModulesModules
Supports up to four single-width ’C4x DSP modules, or two double-width Supports up to four single-width ’C4x DSP modules, or two double-width modules. Spectrum’s wide range of modules includes: general-purpose modules. Spectrum’s wide range of modules includes: general-purpose modules carrying SRAM, DRAM, or EDRAM; multi-’C4x modules and appli-modules carrying SRAM, DRAM, or EDRAM; multi-’C4x modules and appli-cation-specific modules, which carry a ’C40 and an I/O interface.cation-specific modules, which carry a ’C40 and an I/O interface.
CommunicationCommunication
One communication port from the Site A TIM-40 module is used as a con-One communication port from the Site A TIM-40 module is used as a con-nection to the ISA bus through Spectrum’s Link Interface Adapter. The nection to the ISA bus through Spectrum’s Link Interface Adapter. The modules are connected together by a ring network of ’C4x communication modules are connected together by a ring network of ’C4x communication ports, and the remaining ports are brought to headers.ports, and the remaining ports are brought to headers.
MemoryMemory
An optional shared-memory architecture allows each module site to access An optional shared-memory architecture allows each module site to access the one-wait-state SRAM (512 kByte maximum) global memory.the one-wait-state SRAM (512 kByte maximum) global memory.
3-401
Spectrum Signal Processing Inc.
Development Hardware/Plug-In PCI Module
Development Hardware/Multiprocessor Board
Product Name: Precidio PCI/C44 Processor BoardPlatforms Supported: DOS, Windows, Windows NTDevices Supported: TMS320C44
Features and Benefits
•• Up to four TMS320C44 processors with TIM-40-compatible EEPROMUp to four TMS320C44 processors with TIM-40-compatible EEPROM•• Up to 1024 k Up to 1024 k × 32 zero-wait-state SRAM per processor 32 zero-wait-state SRAM per processor•• Up to 512 k Up to 512 k × 32 one-wait-state SRAM shared between processors 32 one-wait-state SRAM shared between processors•• PCI interface maps JTAG Test Bus controller for scan-path debugPCI interface maps JTAG Test Bus controller for scan-path debug•• DSP~LINK2 32-bit expansion busDSP~LINK2 32-bit expansion bus
Product Description
The PCI/C44 can be populated with up to four 50- or 60-MHz TMS320C44 The PCI/C44 can be populated with up to four 50- or 60-MHz TMS320C44 processors. Larger systems may be constructed by connecting processor processors. Larger systems may be constructed by connecting processor communication ports between the PCI/C44 and additional Spectrum communication ports between the PCI/C44 and additional Spectrum TMS320C4x boards.TMS320C4x boards.
PCI Host Interface PCI Host Interface
Each processor has memory-mapped access to the PCI Local Bus interface. Each processor has memory-mapped access to the PCI Local Bus interface. Access to the shared PCI interface and shared SRAM is efficiently con-Access to the shared PCI interface and shared SRAM is efficiently con-trolled using a high-performance arbiter, which operates on a round-robin trolled using a high-performance arbiter, which operates on a round-robin basis and also includes a ‘lock’ instruction whereby a single processor can basis and also includes a ‘lock’ instruction whereby a single processor can gain exclusive control.gain exclusive control.
Inter-Processor CommunicationInter-Processor Communication
Two communications ports from each processor are routed to connectors Two communications ports from each processor are routed to connectors allowing off-board access to communication ports.allowing off-board access to communication ports.
MemoryMemory
Each DSP can have 128k Each DSP can have 128k × 32 or 512 k 32 or 512 k × 32 zero-wait-state SRAM on both 32 zero-wait-state SRAM on both the local and global buses for a total of up to 1 M the local and global buses for a total of up to 1 M × 32 zero-wait state per 32 zero-wait state per ’C44.’C44.
3-402
Spectrum Signal Processing Inc.
Development Hardware/Plug-In PCI Module
Product Name: Aurora PCI/C80 Applications BoardPlatforms Supported: DOS, Windows, Windows NTDevices Supported: TMS320C80
Features and Benefits
•• Two Giga-Operations per second (GOPS) TMS320C80Two Giga-Operations per second (GOPS) TMS320C80•• High-speed PCI host interfaceHigh-speed PCI host interface•• Large DRAM/SDRAM memory storeLarge DRAM/SDRAM memory store•• High-resolution graphics/video displayHigh-resolution graphics/video display•• Module site for further expansionModule site for further expansion
Product Description
The PCI/C80 is a high-performance processing board suitable for use as a The PCI/C80 is a high-performance processing board suitable for use as a fast image/video/graphics processor or as a function accelerator in a variety fast image/video/graphics processor or as a function accelerator in a variety of applications. A full 32-bit PCI interface is implemented, supporting a of applications. A full 32-bit PCI interface is implemented, supporting a peak theoretical data rate of 132 MB/s. A DRAM and SDRAM memory com-peak theoretical data rate of 132 MB/s. A DRAM and SDRAM memory com-bination is used for minimum delay program and bulk data storage.bination is used for minimum delay program and bulk data storage.
Video OutputVideo Output
The board features a programmable display output capable of supporting a The board features a programmable display output capable of supporting a variety of displays: VGA/SVGA graphics output or PAL/NTSC video output. variety of displays: VGA/SVGA graphics output or PAL/NTSC video output. A general-purpose module site allows the PCI/C80 board to be used with a A general-purpose module site allows the PCI/C80 board to be used with a range of frame grabbers or other peripherals while still retaining single-slot range of frame grabbers or other peripherals while still retaining single-slot compatibility. Frame grabber modules currently available include: CCIR/compatibility. Frame grabber modules currently available include: CCIR/RS-170, composite video, and 24-bit RGB. Off-board expansion is possible RS-170, composite video, and 24-bit RGB. Off-board expansion is possible using Spectrum’s DSP~LINK2 32-bit parallel I/O interface.using Spectrum’s DSP~LINK2 32-bit parallel I/O interface.
MemoryMemory
The PCI/C80 board includes: 8 MBytes of zero-wait-state fast-page-mode The PCI/C80 board includes: 8 MBytes of zero-wait-state fast-page-mode SDRAM, arranged in four banks; 8 or 32 MBytes of one-wait-state fast-SDRAM, arranged in four banks; 8 or 32 MBytes of one-wait-state fast-page-mode DRAM; 2 or 4 MBytes one-wait-state VRAM; and up to 256 page-mode DRAM; 2 or 4 MBytes one-wait-state VRAM; and up to 256 kBytes of EEPROM.kBytes of EEPROM.
3-403
Spectrum Signal Processing Inc.
Development Hardware/ Plug-In ISA Bus Module
Development Hardware/Multiprocessor ISA Bus Board
Product Name: Precidio QPC40 Processor BoardPlatforms Supported: DOS, WindowsDevices Supported: TMS320C4x
Features and Benefits
•• 40-/50-MHz floating-point TMS320C4x DSPs40-/50-MHz floating-point TMS320C4x DSPs•• Sites for up to four ’C40 DSP modulesSites for up to four ’C40 DSP modules•• Link Interface Adapter (LIA) to the PC busLink Interface Adapter (LIA) to the PC bus•• DSP~LINK2 parallel interface for peripheral I/ODSP~LINK2 parallel interface for peripheral I/O
Product Description
General DescriptionGeneral Description
The QPC40 Processor Board is a complete IBM PC/AT-compatible plug-in The QPC40 Processor Board is a complete IBM PC/AT-compatible plug-in board for parallel-processing systems applications requiring a large number board for parallel-processing systems applications requiring a large number of processing nodes. It supports up to four ’C40 DSP modules allowing a of processing nodes. It supports up to four ’C40 DSP modules allowing a variety of processor networks to be configured.variety of processor networks to be configured.
Modular ArchitectureModular Architecture
Supports up to four single-width ’C40 DSP modules, or two double-width Supports up to four single-width ’C40 DSP modules, or two double-width modules. Spectrum’s wide range of modules includes: general-purpose modules. Spectrum’s wide range of modules includes: general-purpose modules carrying SRAM or DRAM; multi-’C40 modules; and application-modules carrying SRAM or DRAM; multi-’C40 modules; and application-specific modules, which carry a ’C40 and I/O interface.specific modules, which carry a ’C40 and I/O interface. Inter-Processor CommunicationInter-Processor Communication
Each ’C40 processor has six 20-MB/s, bi-directional, asynchronous 8-bit Each ’C40 processor has six 20-MB/s, bi-directional, asynchronous 8-bit communication ports. One communication port from each TIM-40 Module communication ports. One communication port from each TIM-40 Module site is utilized as a connection to the ISA bus through Spectrum’s Link site is utilized as a connection to the ISA bus through Spectrum’s Link Interface Adapter, enabling direct host communication with any module in Interface Adapter, enabling direct host communication with any module in the array.the array.
Input/Output OptionsInput/Output Options
The QPC40 is equipped with the DSP~LINK2 expansion interface. This 50-The QPC40 is equipped with the DSP~LINK2 expansion interface. This 50-pin connector permits 32-bit parallel communications at up to 33 MB/s to a pin connector permits 32-bit parallel communications at up to 33 MB/s to a wide range of peripheral cards.wide range of peripheral cards.
3-404
Spectrum Signal Processing Inc.
Development Hardware/ Plug-In ISA Bus Module
Development Hardware/Multiprocessor ISA Bus Board
Product Name: Precidio DPC40 System BoardPlatforms Supported: DOS, WindowsDevices Supported: TMS320C4x
Features and Benefits
•• 40-/50-MHz floating-point TMS320C4x DSPs40-/50-MHz floating-point TMS320C4x DSPs•• Sites for up to two ’C40 DSP modulesSites for up to two ’C40 DSP modules•• Up to 2.5-MBytes motherboard SRAMUp to 2.5-MBytes motherboard SRAM•• 32-kBytes dual-port memory to the PC32-kBytes dual-port memory to the PC•• Daughter Module site for analog or digital I/ODaughter Module site for analog or digital I/O•• DSP~LINK parallel interface for peripheral I/ODSP~LINK parallel interface for peripheral I/O
Product Description
The DPC40 System Board is a complete IBM PC/AT-compatible plug-in The DPC40 System Board is a complete IBM PC/AT-compatible plug-in board for parallel-processing system applications requiring integrated I/O. board for parallel-processing system applications requiring integrated I/O. It supports up to two ’C40 DSP modules and an I/O Daughter Module allow-It supports up to two ’C40 DSP modules and an I/O Daughter Module allow-ing a variety of systems to be configured. A wide range of compatible ’C40 ing a variety of systems to be configured. A wide range of compatible ’C40 products and data-acquisition peripherals is available.products and data-acquisition peripherals is available.
Modular ArchitectureModular Architecture
Spectrum’s wide range of modules includes: general-purpose modules car-Spectrum’s wide range of modules includes: general-purpose modules car-rying SRAM or DRAM; multi-’C40 modules; and application-specific mod-rying SRAM or DRAM; multi-’C40 modules; and application-specific mod-ules, which carry a ’C40 and an I/O interface. These modules are fully ules, which carry a ’C40 and an I/O interface. These modules are fully compliant with the Texas Instruments TIM-40 specification.compliant with the Texas Instruments TIM-40 specification.
MemoryMemory
The DPC40 includes two banks of motherboard memory.The DPC40 includes two banks of motherboard memory.
I/O OptionsI/O Options
Analog and digital I/O are available via a Daughter Module site. The Analog and digital I/O are available via a Daughter Module site. The optional I/O modules mount directly to the DPC40 and do not exceed sin-optional I/O modules mount directly to the DPC40 and do not exceed sin-gle-height limits. A wide range of I/O peripherals are available for use with gle-height limits. A wide range of I/O peripherals are available for use with the DSP~LINK interface connector.the DSP~LINK interface connector.
3-405
Spectrum Signal Processing Inc.
Development Hardware/Plug-In VMEbus Board
Development Hardware/Multiprocessor Board
Product Name: Precidio CV6 TMS320C40 VME64 Master BoardPlatforms Supported: VME64 Master/Slave - SunOS, Solaris, VxWorks, DOS, OS/2, Win95Devices Supported: TMS320C40, TMS320C44
Features and Benefits
•• 300-MFLOP VME64 Master/Slave board300-MFLOP VME64 Master/Slave board•• Up to 4 MB of SRAM per on-board DSPUp to 4 MB of SRAM per on-board DSP•• Shared bus memory architectureShared bus memory architecture•• Broadcast write facilityBroadcast write facility•• 12 communication ports available at front panel12 communication ports available at front panel
Product Description
Featuring two on-board TMS320C40 processors, the base CV6 is a 100-Featuring two on-board TMS320C40 processors, the base CV6 is a 100-MFLOPS DSP subsystem which supports a shared-memory architecture MFLOPS DSP subsystem which supports a shared-memory architecture and VME64 Master/Slave interface. Processing power and memory capacity and VME64 Master/Slave interface. Processing power and memory capacity can be increased to 300 MFLOPS by populating the two available TIM-40 can be increased to 300 MFLOPS by populating the two available TIM-40 Module sites with Spectrum’s comprehensive range of TIM modules.Module sites with Spectrum’s comprehensive range of TIM modules.
Memory ArchitectureMemory Architecture
The CV6 supports a shared-bus architecture which allows both the on-The CV6 supports a shared-bus architecture which allows both the on-board DSPs, the TIM-40 Module sites and the VME64 interface to access an board DSPs, the TIM-40 Module sites and the VME64 interface to access an optional bank of on-board global, shared DRAM. The CV6 memory architec-optional bank of on-board global, shared DRAM. The CV6 memory architec-ture also allows the Global SRAM resources available both on-board and on ture also allows the Global SRAM resources available both on-board and on the TIM-40 Modules to be accessed directly by all of the DSPs. The shared the TIM-40 Modules to be accessed directly by all of the DSPs. The shared bus is also mapped into the VMEbus address space enabling a broadcast-bus is also mapped into the VMEbus address space enabling a broadcast-write feature where all of the available Global SRAM can be written simulta-write feature where all of the available Global SRAM can be written simulta-neously. Both on-board ’C40 DSPs have 0.5-MB or 2-MB zero-wait-state neously. Both on-board ’C40 DSPs have 0.5-MB or 2-MB zero-wait-state SRAM and 32 k SRAM and 32 k × 8 EEPROM on the local bus. 8 EEPROM on the local bus.
Interface ArchitectureInterface Architecture
The shared memory on the board can be accessed by the VME64 interface The shared memory on the board can be accessed by the VME64 interface which operates up to 60 MB/s offering a high-bandwidth data-transfer which operates up to 60 MB/s offering a high-bandwidth data-transfer mechanism.mechanism.
3-406
Spectrum Signal Processing Inc.
Development Hardware/Plug-In VMEbus Board
Development Hardware/Multiprocessor Board
Product Name: Precidio CV4 TMS320C40 VME Co-Processor BoardPlatforms Supported: VME Slave - SunOS, Solaris, VxWorks, DOS, OS/2, Win95Devices Supported: TMS320C40, TMS320C44
Features and Benefits
•• 400-MFLOPS, 2-GOPS, 128-MBytes capability400-MFLOPS, 2-GOPS, 128-MBytes capability•• Four TIM-40 sites for a range of ’C40 modulesFour TIM-40 sites for a range of ’C40 modules•• VME interface to all four module sites using ’C40 comm portsVME interface to all four module sites using ’C40 comm ports•• 12 buffered external ’C40 comm ports12 buffered external ’C40 comm ports•• Real-world/real-time I/O capability through dBeX32™, VSB, or custom Real-world/real-time I/O capability through dBeX32™, VSB, or custom
P2 IOP2 IO
Product Description
The CV4 combines four TIM-40 Module sites; 12 uncommitted, buffered, The CV4 combines four TIM-40 Module sites; 12 uncommitted, buffered, external communications ports; a real-time I/O bus and user-configurable external communications ports; a real-time I/O bus and user-configurable P2 expansion; a JTAG emulation port; application-specific ports; and a P2 expansion; a JTAG emulation port; application-specific ports; and a slave VMEbus interface.slave VMEbus interface.
Inter-Processor CommunicationsInter-Processor Communications
Three comm ports from each site are routed to the front panel. One comm Three comm ports from each site are routed to the front panel. One comm port from each site can also be directed to the P2 connector. A further two port from each site can also be directed to the P2 connector. A further two comm ports from each site provide local inter-module communication.comm ports from each site provide local inter-module communication.
The remaining comm port from each site is directed through a Link The remaining comm port from each site is directed through a Link Interface Adapter (LIA) to the VMEbus. This allows a straight-forward sys-Interface Adapter (LIA) to the VMEbus. This allows a straight-forward sys-tem architecture with direct VME access to each module.tem architecture with direct VME access to each module.
Configurable P2 ExpansionConfigurable P2 Expansion
The CV4 is capable of interfacing to the VME Subsystem Bus (VSB), or any The CV4 is capable of interfacing to the VME Subsystem Bus (VSB), or any custom P2 interface, using an optional PIM (Peripheral Interface Module). custom P2 interface, using an optional PIM (Peripheral Interface Module). A PIM occupies module Site B. The ’C40 global bus from module Site A is A PIM occupies module Site B. The ’C40 global bus from module Site A is routed to the PIM. The PIM is also routed to the VMEbus P2 user I/O pins.routed to the PIM. The PIM is also routed to the VMEbus P2 user I/O pins.
3-407
Spectrum Signal Processing Inc.
Development Hardware/Plug-In VMEbus Board
Development Hardware/Multiprocessor Board
Product Name: Precidio CV2 TMS320C40 VME Master BoardPlatforms Supported: VME Master/Slave - SunOS, Solaris, VxWorks, DOS, OS/2, Windows 95Devices Supported: TMS320C40, TMS320C44
Features and Benefits
•• Up to 200-MFLOPS, 1-GOPS, 128-MBytes capacityUp to 200-MFLOPS, 1-GOPS, 128-MBytes capacity•• 6U VME board with VIC/VAC master/slave capability6U VME board with VIC/VAC master/slave capability•• SRAM and DRAM banks shared by VME and both module sitesSRAM and DRAM banks shared by VME and both module sites•• Comm ports and shared-memory interfaces to VMEbusComm ports and shared-memory interfaces to VMEbus•• Real-time I/O through the dBeX32 family, VSB, or custom P2 IOReal-time I/O through the dBeX32 family, VSB, or custom P2 IO
Product Description
The two TIM-40 Module sites can be populated with one to four The two TIM-40 Module sites can be populated with one to four TMS320C40 DSPs connected locally, over the VME backplane, and from TMS320C40 DSPs connected locally, over the VME backplane, and from the front panel, to produce a variety of architectures.the front panel, to produce a variety of architectures.
The CV2 provides two banks of global shared memory. A 128 k The CV2 provides two banks of global shared memory. A 128 k × 32 32 bank of shared zero-wait-state SRAM is provided on the mother board, and bank of shared zero-wait-state SRAM is provided on the mother board, and 1 M 1 M × 32, 2 M 32, 2 M × 32 and 16 M 32 and 16 M × 32 page-mode shared-DRAM daughter mod- 32 page-mode shared-DRAM daughter mod-ules are optional.ules are optional.
Inter-Processor CommunicationInter-Processor Communication
Four ’C40 comm ports from each site are buffered and routed to the front Four ’C40 comm ports from each site are buffered and routed to the front panel for user configuration. Optionally, four of these eight can be routed panel for user configuration. Optionally, four of these eight can be routed to the P2 connections for VMEP2 backplane connections. A further comm to the P2 connections for VMEP2 backplane connections. A further comm port provides local inter-module communication. The remaining comm port port provides local inter-module communication. The remaining comm port from each module is directed through Spectrum’s Link Interface Adapter from each module is directed through Spectrum’s Link Interface Adapter (LIA).(LIA).
Parallel Processing FeaturesParallel Processing Features
The global memory buses of the two TIM-40 Modules are isolated from The global memory buses of the two TIM-40 Modules are isolated from each other and the VMEbus interface. This allows concurrent execution. each other and the VMEbus interface. This allows concurrent execution. The CV2 board’s VMEbus master capabilities and serial ports also make it The CV2 board’s VMEbus master capabilities and serial ports also make it an ideal host processor.an ideal host processor.
3-408
Spectrum Signal Processing Inc.
Development Hardware/Data Acquisition Board
Product Name: Spectrum 3U VME I/O BoardsPlatforms Supported: All Spectrum DSP boards hosting dBex interfacesDevices Supported: TMS320C31, TMS320C40, TMS320C44
IO116IO116 Quad 16-bit A/D converter (successive approximation). The maximum sample rate is 200 Quad 16-bit A/D converter (successive approximation). The maximum sample rate is 200 kHz/channel (external triggering supported) with a typical SNR of 85 dB. FIFO buffering is kHz/channel (external triggering supported) with a typical SNR of 85 dB. FIFO buffering is provided on the inputs. This board operates as a dBeX bus slave to the Spectrum DSP boards provided on the inputs. This board operates as a dBeX bus slave to the Spectrum DSP boards listed above.listed above.
IO242IO242 Dual 16/12-bit A/D and D/A converter (sigma-delta). Maximum A/D sample rate is 100 kHz/Dual 16/12-bit A/D and D/A converter (sigma-delta). Maximum A/D sample rate is 100 kHz/channe; at 16-bits or 400 kHz/channel at 12 bits. Maximum output sample rate is 500 kHz/channe; at 16-bits or 400 kHz/channel at 12 bits. Maximum output sample rate is 500 kHz/channel. Module supports external timing and provides an SNR of 85 dB. Board is a dBeX bus channel. Module supports external timing and provides an SNR of 85 dB. Board is a dBeX bus slave to the Spectrum DSP boards listed above.slave to the Spectrum DSP boards listed above.
IO234IO234 Quad 16-bit A/D converter (sigma-delta). Maximum A/D sample rate is 100 kHz/channel. The Quad 16-bit A/D converter (sigma-delta). Maximum A/D sample rate is 100 kHz/channel. The module supports external timing and provides an SNR of 85 dB. This board operates as a module supports external timing and provides an SNR of 85 dB. This board operates as a dBeX bus slave to the Spectrum DSP boards listed above.dBeX bus slave to the Spectrum DSP boards listed above.
IO212IO212 Dual 16-bit A/D and D/A converter (successive approximation). The maximum sample rate is Dual 16-bit A/D and D/A converter (successive approximation). The maximum sample rate is 200 kHz/input channel and 500 kHz/output channel (external CLK and trigger synchroniza-200 kHz/input channel and 500 kHz/output channel (external CLK and trigger synchroniza-tion is supported) with a typical SNR of 85 dB. This board operates as a dBeX bus slave to the tion is supported) with a typical SNR of 85 dB. This board operates as a dBeX bus slave to the Spectrum DSP boards listed above.Spectrum DSP boards listed above.
IO120IO120 12-bit A/D converter. The maximum sample rate is 1 MHz (external triggering is supported) 12-bit A/D converter. The maximum sample rate is 1 MHz (external triggering is supported) with a typical SNR of 65 dB. Module supports a maximum FIFO size of 4 ksamples and oper-with a typical SNR of 65 dB. Module supports a maximum FIFO size of 4 ksamples and oper-ates as a dBeX bus slave to the Spectrum DSP boards listed above.ates as a dBeX bus slave to the Spectrum DSP boards listed above.
IO140IO140 12-bit A/D converter. The maximum sample rate is 10 MHz (external triggering is supprted) 12-bit A/D converter. The maximum sample rate is 10 MHz (external triggering is supprted) with a typical SNR of 65 dB. Module supports a maximum FIFO size of 4 ksamples and oper-with a typical SNR of 65 dB. Module supports a maximum FIFO size of 4 ksamples and oper-ates as a dBeX bus slave to Spectrum DSP boards listed above.ates as a dBeX bus slave to Spectrum DSP boards listed above.
IO150IO150 16-bit A/D converter. The maximum sample rate is 500 kHz (external triggering is supported) 16-bit A/D converter. The maximum sample rate is 500 kHz (external triggering is supported) with a typical SNR of 85 dB. FIFO buffering is provided for data received into the ADC. This with a typical SNR of 85 dB. FIFO buffering is provided for data received into the ADC. This board operates as a dBeX bus slave to the Spectrum DSP boards listed above.board operates as a dBeX bus slave to the Spectrum DSP boards listed above.
3-409
Spectrum Signal Processing Inc.
EPROM Programmers
Product Name: PEROM ToolsPlatforms Supported: All Spectrum �C40 platformsDevices Supported: TMS320C40, TMS320C44
Features and Benefits
•• Programs on-module PEROM from TI COFF filesPrograms on-module PEROM from TI COFF files•• Follows the TIM-40 PEROM program specificationFollows the TIM-40 PEROM program specification•• Auto configuration of ’C40s for Spectrum modulesAuto configuration of ’C40s for Spectrum modules•• Easy-to-use toolsEasy-to-use tools
Product Description
This product is sold with Spectrum’s standard device driver and debug This product is sold with Spectrum’s standard device driver and debug tools. It is an easy to use PEROM-programming utility to convert TI COFF tools. It is an easy to use PEROM-programming utility to convert TI COFF file format to PEROM code as specificed in the TIM-40 specification. The file format to PEROM code as specificed in the TIM-40 specification. The essential boot code for Spectrum’s TIM-40 Modules are part of the tools. essential boot code for Spectrum’s TIM-40 Modules are part of the tools. Customers add to these base configurations to boot their specific applica-Customers add to these base configurations to boot their specific applica-tion.tion.
3-410
Spectrum Signal Processing Inc.
Development Hardware/Data Acquisition Board
Product Name: VME Daughter Module Carrier BoardPlatforms Supported: VMEbusDevices Supported: TMS320C4x
Features and Benefits
•• Carries up to four Daughter Modules on a 6U VME boardCarries up to four Daughter Modules on a 6U VME board•• Meets single-slot specification for VME systemsMeets single-slot specification for VME systems•• Allows customization of I/O system to applicationAllows customization of I/O system to application•• Multiple boards may be synchronized for large systems with simulta-Multiple boards may be synchronized for large systems with simulta-
neous samplingneous sampling•• dBeX interface to Spectrum DSP boards avoids a VMEbus bottleneckdBeX interface to Spectrum DSP boards avoids a VMEbus bottleneck
Product Description
The VME Daughter Module Carrier Board allows you to plug up to four The VME Daughter Module Carrier Board allows you to plug up to four Daughter Modules into a 6U VME board. The board’s architecture allows Daughter Modules into a 6U VME board. The board’s architecture allows systems to be constructed with any number of I/O channels from any com-systems to be constructed with any number of I/O channels from any com-bination of peripheral modules. This flexibility makes the device ideal for a bination of peripheral modules. This flexibility makes the device ideal for a wide variety of applications, ranging from industrial control, speech or pro-wide variety of applications, ranging from industrial control, speech or pro-fessional audio, medical processing, or RADAR/SONAR applications.fessional audio, medical processing, or RADAR/SONAR applications.
SynchronizationSynchronization
Simultaneous sampling over all channels is possible and this may be Simultaneous sampling over all channels is possible and this may be extended across further VME I/O boards in a system. Synchronization is extended across further VME I/O boards in a system. Synchronization is provided both as module-module synchronization and board-board syn-provided both as module-module synchronization and board-board syn-chronization. Identical modules may be synchronized to a very-high degree, chronization. Identical modules may be synchronized to a very-high degree, including high-precision synchronous-phase sampling; depending on the including high-precision synchronous-phase sampling; depending on the specific module in use, non-identical modules may also be synchronized, specific module in use, non-identical modules may also be synchronized, but this would generally be synchronous-rate sampling. Various I/O mod-but this would generally be synchronous-rate sampling. Various I/O mod-ules currently available include: Dual 16-bit I/O, Quad 16-bit input, Dual ules currently available include: Dual 16-bit I/O, Quad 16-bit input, Dual 1-MHz input, AES/EBU, RS-232, and T1/E1.1-MHz input, AES/EBU, RS-232, and T1/E1.
3-411
Spectrum Signal Processing Inc.
Development Hardware/TIM ModuleDevelopment Hardware/TIM Module
Product Name: Precidio MDC40YS Shared-Memory ModulePlatforms Supported: All TIM-40 Carrier BoardsDevices Supported: TMS320C40
Features and Benefits
•• 100 MFLOPS, 550 MOPS from two 50-MHz TMS320C40 DSPs100 MFLOPS, 550 MOPS from two 50-MHz TMS320C40 DSPs•• Up to 8-MBytes zero-wait-state SRAMUp to 8-MBytes zero-wait-state SRAM•• Shared access to carrier-board global memory and I/O resourcesShared access to carrier-board global memory and I/O resources•• Quad ’C40 shared memory VME Master/Slave when used with CV2Quad ’C40 shared memory VME Master/Slave when used with CV2•• Double width TIM-40 Module complaintDouble width TIM-40 Module complaint
Product Description
The MDC40YS features two 50-MHz TMS320C40 DSPs designed around the The MDC40YS features two 50-MHz TMS320C40 DSPs designed around the double-width TIM-40 specification. Each ’C40’s Global Bus has arbitrated double-width TIM-40 specification. Each ’C40’s Global Bus has arbitrated access to the Global Bus Connector which allows shared access to the TIM-access to the Global Bus Connector which allows shared access to the TIM-40 carrier board global resources, such as global shared memory and global 40 carrier board global resources, such as global shared memory and global I/O. When used with the CV2, this module-carrier board configuration cre-I/O. When used with the CV2, this module-carrier board configuration cre-ates a quad ’C40 shared-memory VME master slave board.ates a quad ’C40 shared-memory VME master slave board.
The MDC 40YS comes in two different memory configurations: the YS1 The MDC 40YS comes in two different memory configurations: the YS1 and the YS2. The YS1 has 2 MBytes of zero-wait-state SRAM, 1/2 MByte on and the YS2. The YS1 has 2 MBytes of zero-wait-state SRAM, 1/2 MByte on the Local Bus, and 1/2 MByte on the Global Bus of each ’C40. The YS2 has the Local Bus, and 1/2 MByte on the Global Bus of each ’C40. The YS2 has access up to 8 MBytes of zero-wait-state SRAM, 2 MBytes on the Local Bus access up to 8 MBytes of zero-wait-state SRAM, 2 MBytes on the Local Bus and 2 MBytes on the Global Bus of each ’C40. Each ’C40 has access to 32 and 2 MBytes on the Global Bus of each ’C40. Each ’C40 has access to 32 kBytes of EEPROM which can contain bootcode and system configuration kBytes of EEPROM which can contain bootcode and system configuration information.information.
Twelve 20-MB/s communication ports are available from the two ’C40s.Twelve 20-MB/s communication ports are available from the two ’C40s.
3-412
Spectrum Signal Processing Inc.
Development Hardware/TIM ModuleDevelopment Hardware/TIM Module
Product Name: Precidio MDC40S �C40 SRAM ModulePlatforms Supported: All TIM-40 Carrier BoardsDevices Supported: TMS320C40
Features and Benefits
•• 384 k (S1) or 1.5 M (S2) Bytes of zero-wait-state SRAM384 k (S1) or 1.5 M (S2) Bytes of zero-wait-state SRAM•• Complies with TI’s TIM-40 specificationsComplies with TI’s TIM-40 specifications•• TMS320C40 processor running at 40 or 50 MHzTMS320C40 processor running at 40 or 50 MHz•• Six 20-MB/s parallel communication portSix 20-MB/s parallel communication port•• EEPROM for bootstrapping and identificationEEPROM for bootstrapping and identification
Product Description
The MDC40S features the 50-MFLOPS TMS320C40 parallel digital signal The MDC40S features the 50-MFLOPS TMS320C40 parallel digital signal processor. It has been designed to comply with the Texas Instruments TIM-processor. It has been designed to comply with the Texas Instruments TIM-40 module standard. The MDC40S1 and MDC40S2 architecture deliver the 40 module standard. The MDC40S1 and MDC40S2 architecture deliver the full performance and capability of the TMS320C40 in both single-processor full performance and capability of the TMS320C40 in both single-processor and multi-processor systems.and multi-processor systems.
On-module memory consists if three separate banks of zero-wait-state On-module memory consists if three separate banks of zero-wait-state SRAM, two on the local memory port and one on the global memory port. SRAM, two on the local memory port and one on the global memory port. Each bank contains 32 k Each bank contains 32 k × 32 words SRAM on the MDC40S1 module, and 32 words SRAM on the MDC40S1 module, and 128 k 128 k × 32 words SRAM on the MDC40S2, for a maximum of 384 kwords of 32 words SRAM on the MDC40S2, for a maximum of 384 kwords of zero-wait-state memory. The module incorporates a 32-bit global memory zero-wait-state memory. The module incorporates a 32-bit global memory expansion interface, through which it is possible to expand the memory expansion interface, through which it is possible to expand the memory and I/O capabilities off-module.and I/O capabilities off-module.
3-413
Spectrum Signal Processing Inc.
Development Hardware/TIM ModuleDevelopment Hardware/TIM Module
Product Name: Precidio MDC44S �C44 SRAM ModulePlatforms Supported: All TIM-40 Carrier BoardsDevices Supported: TMS320C44
Features and Benefits
•• 50-MFLOPS, 275-MOPS TMS320C44 DSP (50 MHz)50-MFLOPS, 275-MOPS TMS320C44 DSP (50 MHz)•• Single-width TIM-40-compliant moduleSingle-width TIM-40-compliant module•• Up to 8-MBytes zero-wait-state SRAMUp to 8-MBytes zero-wait-state SRAM•• Four 20-MB/s communication ports brought off-moduleFour 20-MB/s communication ports brought off-module•• EEPROM for boot strapping and identificationEEPROM for boot strapping and identification
Product Description
The MDC44S features a 50-MHz TMS320C44 and has been designed The MDC44S features a 50-MHz TMS320C44 and has been designed around the Texas Instruments TIM-40 standard. The TMS320C44 supports around the Texas Instruments TIM-40 standard. The TMS320C44 supports both internal and external program and data memory in a 128-MByte both internal and external program and data memory in a 128-MByte address space. There is a 128-word instruction cache, four 20-MB/s parallel address space. There is a 128-word instruction cache, four 20-MB/s parallel communication ports, and six-channel DMA controller all integrated onto communication ports, and six-channel DMA controller all integrated onto the processor silicon.the processor silicon.
On-module memory consists of four separate banks of 32-bit-wide On-module memory consists of four separate banks of 32-bit-wide SRAM, two on the TMS320C44’s Local Bus, and two on the Global Bus. SRAM, two on the TMS320C44’s Local Bus, and two on the Global Bus. Each bank can contain 128 k Each bank can contain 128 k × 32 or 512 k 32 or 512 k × 32 zero-wait-state SRAM. The 32 zero-wait-state SRAM. The MDC44S therefore offers up to 2048 k MDC44S therefore offers up to 2048 k × 32 of zero-wait-state SRAM. 32 of zero-wait-state SRAM.
A Global Bus expansion connector is also provided to allow the A Global Bus expansion connector is also provided to allow the MDC44S to access features provided by any Spectrum TIM-40 carrier MDC44S to access features provided by any Spectrum TIM-40 carrier board. A memory-paging register expands the TMS320C44’s 24-bit board. A memory-paging register expands the TMS320C44’s 24-bit addressing to a TMS320C40-equivalent 32-bit addressing capability, which addressing to a TMS320C40-equivalent 32-bit addressing capability, which allows the full address expansion range to be used on all existing carrier allows the full address expansion range to be used on all existing carrier boards.boards.
MDC44S ’C44 SRAM DSP ModuleMDC44S ’C44 SRAM DSP Module
3-414
Spectrum Signal Processing Inc.
Development Hardware/TIM ModuleDevelopment Hardware/TIM Module
Product Name: Precidio MDC44T Dual-�C44 SRAM ModulePlatforms Supported: All TIM-40 Carrier BoardsDevices Supported: TMS320C44
Features and Benefits
•• 100 MFLOPS, 500 MOPS from a single module100 MFLOPS, 500 MOPS from a single module•• Two TMS320C44 processors running at 50 MHzTwo TMS320C44 processors running at 50 MHz•• Up to 4-MBytes zero-wait-state SRAM per processorUp to 4-MBytes zero-wait-state SRAM per processor•• Six 20-MB/s communication ports brought-off moduleSix 20-MB/s communication ports brought-off module•• Global expansion connector for carrier board accessGlobal expansion connector for carrier board access
Product Description
The MDC44T features two 50-MHz TMS320C44 devices and has been The MDC44T features two 50-MHz TMS320C44 devices and has been designed around the Texas Instruments TIM-40 standard. It is a single-designed around the Texas Instruments TIM-40 standard. It is a single-width module and is compatible with Spectrum TIM-40 carrier boards.width module and is compatible with Spectrum TIM-40 carrier boards.
Each processor has access to two banks of either 128 k Each processor has access to two banks of either 128 k × 32 or 32 or 512 k 512 k × 32 of zero-wait-state SRAM, arranged as one bank per TMS320C44 32 of zero-wait-state SRAM, arranged as one bank per TMS320C44 bus. Each TMS320C44 also has a 32 k bus. Each TMS320C44 also has a 32 k × 8 EEPROM on its local bus that 8 EEPROM on its local bus that may be used to hold system configuration information or as a general non-may be used to hold system configuration information or as a general non-volatile-storage area.volatile-storage area.
A Global Bus expansion connector is provided to allow the MDC44T to A Global Bus expansion connector is provided to allow the MDC44T to access features provided by the carrier board.access features provided by the carrier board.
A memory-paging register is provided to allow the full-address expan-A memory-paging register is provided to allow the full-address expan-sion range to be used on existing carrier boards. This increases the 24-bit sion range to be used on existing carrier boards. This increases the 24-bit addressing of TMS320C44 to 32-bits.addressing of TMS320C44 to 32-bits.
The MDC44T is ideally suited for applications that require a high den-The MDC44T is ideally suited for applications that require a high den-sity. By integrating two TMS320C44s onto a single module, densities of sity. By integrating two TMS320C44s onto a single module, densities of eight DSPs per carrier board are possible.eight DSPs per carrier board are possible.
MDC44T Dual ’C44 DSP ModuleMDC44T Dual ’C44 DSP Module
3-415
Spectrum Signal Processing Inc.
Development Hardware/TIM ModuleDevelopment Hardware/TIM Module
Product Name: Precidio MDC40T Dual-�C40 SRAM ModulePlatforms Supported: All TIM-40 Carrier BoardsDevices Supported: TMS320C40
Features and Benefits
•• 100-MFLOPS, 500-MOPS single-width DSP module100-MFLOPS, 500-MOPS single-width DSP module•• Complies with TI’s TIM-40 specificationComplies with TI’s TIM-40 specification•• Two 40- or 50-MHz ’C40 processorsTwo 40- or 50-MHz ’C40 processors•• Up to 512-kBytes zero-wait-state SRAM per processorUp to 512-kBytes zero-wait-state SRAM per processor•• Three 20-MB/s comm ports between processorsThree 20-MB/s comm ports between processors
Product Description
The MDC40T features two 50-MFLOPS TMS320C40 parallel DSPs. It has The MDC40T features two 50-MFLOPS TMS320C40 parallel DSPs. It has been designed to comply with the Texas Instruments TIM-40 Module stan-been designed to comply with the Texas Instruments TIM-40 Module stan-dard.dard.
The MDC40T delivers unprecedented performance from a standard The MDC40T delivers unprecedented performance from a standard single-width processor-module format. It is ideally suited for use in applica-single-width processor-module format. It is ideally suited for use in applica-tions that require maximum processor density with fast local memory tions that require maximum processor density with fast local memory stores. On-module memory consists of one bank of either 32 k stores. On-module memory consists of one bank of either 32 k × 32 32 (MDC40T1-40) or 128 k (MDC40T1-40) or 128 k × 32 (T2) zero-wait-state SRAM for each proces- 32 (T2) zero-wait-state SRAM for each proces-sor. This memory complements the processor on-chip memory instruction sor. This memory complements the processor on-chip memory instruction cache, which allows full use to be made of the DSP’s Harvard architecture.cache, which allows full use to be made of the DSP’s Harvard architecture.
3-416
Spectrum Signal Processing Inc.
Development Hardware/TIM ModuleDevelopment Hardware/TIM Module
Product Name: Precidio MDC40D �C40 DRAM ModulesPlatforms Supported: All TIM-40 Carrier BoardsDevices Supported: TMS320C40
Features and Benefits
•• 8 MBytes of one-wait-state page-mode DRAM8 MBytes of one-wait-state page-mode DRAM•• Complies with TI’s TIM-40 specificationComplies with TI’s TIM-40 specification•• TMS320C40 processor running at 40 MHzTMS320C40 processor running at 40 MHz•• Six 20-MB/s parallel communication portsSix 20-MB/s parallel communication ports•• EEPROM for bootstrapping and identificationEEPROM for bootstrapping and identification
Product Description
The MDC40D architecture delivers the full performance and capability of The MDC40D architecture delivers the full performance and capability of the TMS320C40 in both single-processor and multi-processing systems. the TMS320C40 in both single-processor and multi-processing systems. On-module memory consists of two banks of 32-bit wide DRAM, one on the On-module memory consists of two banks of 32-bit wide DRAM, one on the local memory port and one on the global memory port. Each bank contains local memory port and one on the global memory port. Each bank contains 1 M 1 M × 32 words of page-mode DRAM giving a maximum of 2 M 32 words of page-mode DRAM giving a maximum of 2 M × 32 words 32 words of memory. One wait-state performance is achieved on DRAM accesses of memory. One wait-state performance is achieved on DRAM accesses within a page, while DRAM accesses across page boundaries incur a further within a page, while DRAM accesses across page boundaries incur a further two-wait states.two-wait states.
The 1 M The 1 M × 32 bank of local DRAM is contiguous with on-chip SRAM for 32 bank of local DRAM is contiguous with on-chip SRAM for convenience when programming the TMS320C40.convenience when programming the TMS320C40.
3-417
Spectrum Signal Processing Inc.
Development Hardware/TIM ModuleDevelopment Hardware/TIM Module
Product Name: Precidio MDC40HB �C40 S/DRAM ModulesPlatforms Supported: All TIM-40 Carrier BoardsDevices Supported: TMS320C40
Features and Benefits
•• Up to 64-MBytes DRAM and 512-kBytes SRAM on a double-width DSP Up to 64-MBytes DRAM and 512-kBytes SRAM on a double-width DSP modulemodule
•• Complies with TI’s TIM-40 specificationComplies with TI’s TIM-40 specification•• TMS320C40 processor running at 40 MHzTMS320C40 processor running at 40 MHz•• Six 20-MB/s parallel-communication portsSix 20-MB/s parallel-communication ports•• 2 G 2 G × 32 word global expansion bus 32 word global expansion bus
Product Description
The MDC40HB provides a very-large memory capacity on a standard dou-The MDC40HB provides a very-large memory capacity on a standard dou-ble-width processor module format. It uses the connections from one site ble-width processor module format. It uses the connections from one site only for control and data, although the connectors from both sites are used only for control and data, although the connectors from both sites are used for mechanical stability, power, and ground.for mechanical stability, power, and ground.
The “primary” site offers connections for the ’C40 processor’s 20-MB/s The “primary” site offers connections for the ’C40 processor’s 20-MB/s communications ports, as well as a global-expansion connector for access communications ports, as well as a global-expansion connector for access to carrier board resources. The remaining six communication ports on the to carrier board resources. The remaining six communication ports on the “unused” secondary site connectors are interconnected. On-module mem-“unused” secondary site connectors are interconnected. On-module mem-ory consists of two separate banks of one-wait-state page-mode DRAM, one ory consists of two separate banks of one-wait-state page-mode DRAM, one on the local memory port and one on the global memory port, as well as one on the local memory port and one on the global memory port, as well as one bank of 128 k bank of 128 k × 32 zero-wait-state SRAM. Each bank of DRAM contains 32 zero-wait-state SRAM. Each bank of DRAM contains 4 M 4 M × 32 (HB2) or 8 M 32 (HB2) or 8 M × 32 (HB3), for a maximum of 16 Mwords of memory 32 (HB3), for a maximum of 16 Mwords of memory on the module.on the module.
3-418
Spectrum Signal Processing Inc.
Development Hardware/TIM ModuleDevelopment Hardware/TIM Module
Product Name: Precidio MDC40ED �C40 EDRAM ModulePlatforms Supported: All TIM-40 Carrier BoardsDevices Supported: TMS320C40
Features and Benefits
•• Up to 12-MBytes fast EDRAMUp to 12-MBytes fast EDRAM•• Complies with TI’s TIM-40 specificationComplies with TI’s TIM-40 specification•• TMS320C40 processor running at 40 or 50 MHzTMS320C40 processor running at 40 or 50 MHz•• Six 20-MB/s parallel communication ports brought off-moduleSix 20-MB/s parallel communication ports brought off-module•• EEPROM for boot strapping and identificationEEPROM for boot strapping and identification
Product Description
The MDC40ED offers exceptional memory capacity and performance from The MDC40ED offers exceptional memory capacity and performance from a standard single-width processor module format. The MDC40ED’s a standard single-width processor module format. The MDC40ED’s Enhanced DRAM (EDRAM) memory devices use caching techniques to Enhanced DRAM (EDRAM) memory devices use caching techniques to offer near-SRAM performance with DRAM capacity. Its memory capacity offer near-SRAM performance with DRAM capacity. Its memory capacity and speed make it suitable for most general-purpose and signal-processing and speed make it suitable for most general-purpose and signal-processing tasks.tasks.
The MDC40ED provides external EDRAM off the TMS320C40’s global The MDC40ED provides external EDRAM off the TMS320C40’s global and local buses. Two MDC40ED memory variants are available, 8 MBytes and local buses. Two MDC40ED memory variants are available, 8 MBytes (1 M (1 M × 32 words EDRAM off the local and global buses) and 12 MBytes 32 words EDRAM off the local and global buses) and 12 MBytes (1 M (1 M × 32 words EDRAM off the global bus, 2 M 32 words EDRAM off the global bus, 2 M × 32 words EDRAM off the 32 words EDRAM off the local bus).local bus).
The MDC40ED handles EDRAM refresh automatically, ensuring all The MDC40ED handles EDRAM refresh automatically, ensuring all processor timers and other resources are fully available for application use.processor timers and other resources are fully available for application use.
3-419
Spectrum Signal Processing Inc.
Development Hardware/TIM ModuleDevelopment Hardware/TIM Module
Product Name: Precidio MDC40IM or IC Image-Processing DSP ModulePlatforms Supported: All TIM-40 Carrier BoardsDevices Supported: TMS320C40
Features and Benefits
•• 40- or 50-MHz ’C40-based TIM-40-compatible 512 40- or 50-MHz ’C40-based TIM-40-compatible 512 × 512 image-pro- 512 image-pro-cessing modulescessing modules
•• 24-bit color (MDC40IC) or monochrome (MDC40IM) frame grabber 24-bit color (MDC40IC) or monochrome (MDC40IM) frame grabber and display driverand display driver
•• Up to 512-kBytes fast SRAM for high-speed processingUp to 512-kBytes fast SRAM for high-speed processing•• Up to 16-MBytes DRAM can store 16 framesUp to 16-MBytes DRAM can store 16 frames•• VRAM overlay for text and cursorsVRAM overlay for text and cursors
Product Description
The MDC40I is a powerful TMS320C40 DSP-based image-processing mod-The MDC40I is a powerful TMS320C40 DSP-based image-processing mod-ule. It has been designed to allow you to easily grab, process, and display ule. It has been designed to allow you to easily grab, process, and display image data as part of a ’C40-based parallel-processing network.image data as part of a ’C40-based parallel-processing network.
Video Input and DisplayVideo Input and Display
The MDC40IM supports monochrome signals, while the MDC40IC allows The MDC40IM supports monochrome signals, while the MDC40IC allows you to digitize from a variety of RGB video sources including CCIR and you to digitize from a variety of RGB video sources including CCIR and RS170. The MDC40IM allows you to connect up to four video sources RS170. The MDC40IM allows you to connect up to four video sources including the CCIR or RS170 formats. A bypassable on-board chrominance including the CCIR or RS170 formats. A bypassable on-board chrominance filter allows capture of PAL or NTSC color-composite signals. An input filter allows capture of PAL or NTSC color-composite signals. An input Lookup Table (LUT) allows you to program non-linear operations such as Lookup Table (LUT) allows you to program non-linear operations such as contrast enhancement. Digitizing rates are between 9 and 18 MHz to give contrast enhancement. Digitizing rates are between 9 and 18 MHz to give 1:1 and user-programmable aspect ratios. Odd/even field detection is sup-1:1 and user-programmable aspect ratios. Odd/even field detection is sup-ported.ported.
Module MemoryModule Memory
On-module memory includes 128 k On-module memory includes 128 k × 32 words of zero-wait-state SRAM 32 words of zero-wait-state SRAM attached to the global bus and 32 kBytes of EEPROM attached to the local attached to the global bus and 32 kBytes of EEPROM attached to the local bus. An area of bulk DRAM, 1 M bus. An area of bulk DRAM, 1 M × 32 or 4 M 32 or 4 M × 32, allow the further storage 32, allow the further storage of up to 16 uncompressed color images.of up to 16 uncompressed color images.
3-420
Spectrum Signal Processing Inc.
Development Hardware/TIM ModuleDevelopment Hardware/TIM Module
Product Name: Precidio MDC40IL Linescan Image-Processing ModulePlatforms Supported: All TIM-40 Carrier BoardsDevices Supported: TMS320C40
Features and Benefits
•• 50-MHz ’C40-based TIM-40-compatible linescan image-processing 50-MHz ’C40-based TIM-40-compatible linescan image-processing modulemodule
•• Four-channel analog linescan camera interfaceFour-channel analog linescan camera interface•• Single-channel digital linescan camera interfaceSingle-channel digital linescan camera interface•• 512-kBytes SRAM for high-speed processing512-kBytes SRAM for high-speed processing•• Up to 16-MBytes DRAM for large image storageUp to 16-MBytes DRAM for large image storage
Product Description
The MDC40IL is a powerful TMS320C40 DSP-based image-processing mod-The MDC40IL is a powerful TMS320C40 DSP-based image-processing mod-ule for linescan camera applications and has been designed to allow both ule for linescan camera applications and has been designed to allow both DSP and image-processing engineers to easily acquire and process image DSP and image-processing engineers to easily acquire and process image date, while harnessing the processing power of digital signal processors.date, while harnessing the processing power of digital signal processors.
Linescan InputLinescan Input
The MDC40IL allows the user to acquire images from a variety of video The MDC40IL allows the user to acquire images from a variety of video sources; up to four synchronous single-channel analog cameras, or one sources; up to four synchronous single-channel analog cameras, or one TTL-level digital or RS-422 differential digital camera (when used with TTL-level digital or RS-422 differential digital camera (when used with optional RS-422 decoder) with line lengths of up to 8192 pixels or, in spe-optional RS-422 decoder) with line lengths of up to 8192 pixels or, in spe-cial modes, 16384 pixels. The module has also been designed to be compat-cial modes, 16384 pixels. The module has also been designed to be compat-ible with RGB linescan cameras. The digitization rate is software ible with RGB linescan cameras. The digitization rate is software programmable up to 20 MHz.programmable up to 20 MHz.
Module MemoryModule Memory
On-module memory includes 128 k On-module memory includes 128 k × 32 of zero-wait-state SRAM attached 32 of zero-wait-state SRAM attached to the local bus and 1 M to the local bus and 1 M × 32 or 4 M 32 or 4 M × 32 of fast-page-mode DRAM attached 32 of fast-page-mode DRAM attached to the global bus. Single or dual 8 k to the global bus. Single or dual 8 k × 16 SARAM devices allow the capture 16 SARAM devices allow the capture of line lengths of up to 16,384 pixels. Sequential input with random-access of line lengths of up to 16,384 pixels. Sequential input with random-access parallel output is provided to prevent delayed access to the image store.parallel output is provided to prevent delayed access to the image store.
3-421
Spectrum Signal Processing Inc.
Development Hardware/TIM ModuleDevelopment Hardware/TIM Module
Product Name: Precidio MDC40X Copper or Fiber TAXI� ModulePlatforms Supported: All TIM-40 Carrier BoardsDevices Supported: TMS320C40
Features and Benefits
•• 40- or 50-MHz TMS320C40 processor40- or 50-MHz TMS320C40 processor•• TAXI transmit and receive interfacesTAXI transmit and receive interfaces•• Fiber-optic, coaxial, or twisted-pair cablingFiber-optic, coaxial, or twisted-pair cabling•• Up to 12.5 MB/s or 3-km node-to-nodeUp to 12.5 MB/s or 3-km node-to-node•• 1-MByte zero-wait-state SRAM transmit and receive buffers1-MByte zero-wait-state SRAM transmit and receive buffers
Product Description
The MDC40X provides a high-performance point-to-point link complement-The MDC40X provides a high-performance point-to-point link complement-ing the ’C40’s six communication ports for ’C40-based multi-processor net-ing the ’C40’s six communication ports for ’C40-based multi-processor net-works.works.
Using Spectrum’s MDC40X module, systems integrators are now able Using Spectrum’s MDC40X module, systems integrators are now able to construct large, fragmented ’C40 networks. The MDC40X provides a to construct large, fragmented ’C40 networks. The MDC40X provides a Transparent Asynchronous Xmitter-receiver rates of up to 12.5 MB/s Transparent Asynchronous Xmitter-receiver rates of up to 12.5 MB/s (MDC40X-C) over shielded-twisted-pair of coaxial cable. 12.5 MB/s over (MDC40X-C) over shielded-twisted-pair of coaxial cable. 12.5 MB/s over 200 feet can be achieved using standard RG-58 coaxial cable. Alternatively, 200 feet can be achieved using standard RG-58 coaxial cable. Alternatively, where maximum inter-node distances are required, a version of the module where maximum inter-node distances are required, a version of the module (MDC40X-F) with fiber-optic data links can be supplied supporting data-(MDC40X-F) with fiber-optic data links can be supplied supporting data-transfer rates of up to 12.5 MB/s over distances up to 3 km. The TAXI inter-transfer rates of up to 12.5 MB/s over distances up to 3 km. The TAXI inter-face can be accessed through simple DMA operations or read/write cycles face can be accessed through simple DMA operations or read/write cycles on the ’C40 local bus.on the ’C40 local bus.
Module MemoryModule Memory
Two banks of up to 128 k Two banks of up to 128 k × 32-word zero-wait-state SRAM provide data 32-word zero-wait-state SRAM provide data buffering. The MDC40X includes a further bank of 128 k buffering. The MDC40X includes a further bank of 128 k × 32 zero-wait- 32 zero-wait-state SRAM on the ’C40 global bus, which is also accessible via the global-state SRAM on the ’C40 global bus, which is also accessible via the global-expansion connector.expansion connector.
3-422
Spectrum Signal Processing Inc.
Development Hardware/Plug-In VMEbus Board
Product Name: MVP-EVM TMS320C80 Evaluation BoardPlatforms Supported: VME, SunOSDevices Supported: TMS320C80
Features and Benefits
•• TMS320C80 providing over 2 GOPS peak from five internal processors TMS320C80 providing over 2 GOPS peak from five internal processors synchronous SRAM, shared SRAM (to VME), VRAM, DRAM, EPROMsynchronous SRAM, shared SRAM (to VME), VRAM, DRAM, EPROM
•• Pseudo- and true-color graphics to 1024 Pseudo- and true-color graphics to 1024 × 1024 1024 × 32 with cursor and 32 with cursor and overlayoverlay
•• Video (VIM), Audio (DM), and application-specific (AIM) interfacesVideo (VIM), Audio (DM), and application-specific (AIM) interfaces•• A32:D32 VME slave interface with bi-directional mailbox interruptsA32:D32 VME slave interface with bi-directional mailbox interrupts
Product Description
The ’C80 (Multimedia Video Processor) EVM (Evaluation Module) offers a The ’C80 (Multimedia Video Processor) EVM (Evaluation Module) offers a powerful TMS320C80 (’C80) platform, a range of flexible I/O interfaces, powerful TMS320C80 (’C80) platform, a range of flexible I/O interfaces, and extensive software support. Video, audio, and VMEbus memory inter-and extensive software support. Video, audio, and VMEbus memory inter-faces are provided on the base board. A flexible, integrated video/graphics faces are provided on the base board. A flexible, integrated video/graphics display capability is provided using one of the ’C80’s frame controllers, display capability is provided using one of the ’C80’s frame controllers, while a range of plug-on daughter modules (DM) provide a choice of audio while a range of plug-on daughter modules (DM) provide a choice of audio I/O.I/O.
On-Board MemoryOn-Board Memory
The internal processing and memory resources of the ’C80 are expanded by The internal processing and memory resources of the ’C80 are expanded by banks of external memory including fast synchronous SRAM, VRAM, DRAM banks of external memory including fast synchronous SRAM, VRAM, DRAM and boot EPROM.and boot EPROM.•• 1-MByte synchronous SRAM with a 320-MB/s peak data-transfer rate1-MByte synchronous SRAM with a 320-MB/s peak data-transfer rate•• 8-MBytes DRAM (expandable to 32 MBytes) with a 160-MB/s peak 8-MBytes DRAM (expandable to 32 MBytes) with a 160-MB/s peak
data-transfer ratedata-transfer rate•• 4-MBytes VRAM with a 160-MB/s peak data-transfer rate4-MBytes VRAM with a 160-MB/s peak data-transfer rate•• 512- SRAM (memory shared with VMEbus) with a 40-MB/s peak data-512- SRAM (memory shared with VMEbus) with a 40-MB/s peak data-
transfer ratetransfer rate•• 128-kBytes EPROM (expandable to 2 MBytes)128-kBytes EPROM (expandable to 2 MBytes)
3-423
Spectrum Signal Processing Inc.
Algorithm/Image
Product Name: ILIB Image-Processing LibrariesPlatforms Supported: Spectrum�s Imaging ModulesDevices Supported: TMS320C40
Features and Benefits
•• IPROC for general image processing (A)IPROC for general image processing (A)•• IFEATURE for feature extraction (B)IFEATURE for feature extraction (B)•• ICLASS for image classification (C)ICLASS for image classification (C)
Product Description
Software OverviewSoftware Overview
A. ILIB Processing Library (PROC)A. ILIB Processing Library (PROC)The first library in the ILIB series contains around 300 functions for general The first library in the ILIB series contains around 300 functions for general image processing, filtering, convolution, data manipulation, and statistical image processing, filtering, convolution, data manipulation, and statistical analysis operations. These modules form the basic building blocks at the analysis operations. These modules form the basic building blocks at the lower end of an imaging system and are designed such that the program-lower end of an imaging system and are designed such that the program-mer can use them on an ‘as is’ basis without requiring detailed knowledge mer can use them on an ‘as is’ basis without requiring detailed knowledge of the algorithms therein.of the algorithms therein.
B. ILIB Feature Extraction Library (IFEATURE)B. ILIB Feature Extraction Library (IFEATURE)The second library contains functions which are aimed one step up from The second library contains functions which are aimed one step up from the basic modules contained in the ILIB processing library. The ILIB the basic modules contained in the ILIB processing library. The ILIB IFEATURE library is designed for use by IP engineers undertaking image IFEATURE library is designed for use by IP engineers undertaking image analysis and feature extraction and contains many advanced morphologi-analysis and feature extraction and contains many advanced morphologi-cal, object counting, shape analysis, and transform operations.cal, object counting, shape analysis, and transform operations.
C. ILIB Classification Library (ICLASS)C. ILIB Classification Library (ICLASS)The third library in the series contains a high-level image-classification sys-The third library in the series contains a high-level image-classification sys-tem built on the primitives from the previous libraries. This incorporates tem built on the primitives from the previous libraries. This incorporates such methods as neural networks objects and pattern recognition reflecting such methods as neural networks objects and pattern recognition reflecting the needs of IP engineers working at a system level.the needs of IP engineers working at a system level.
Processor/System RequirementsProcessor/System Requirements
This software requires the use of Spectrum’s imaging hardware such as the This software requires the use of Spectrum’s imaging hardware such as the MDC40IM, MDC40IC, and MDC40IL.MDC40IM, MDC40IC, and MDC40IL.
3-424
Spectrum Signal Processing Inc.
Algorithm Software/Debugging Tools
Algorithm Software/Run-Time Support Libraries
Product Name: REDS Remote Ethernet DebuggerPlatforms Supported: All Spectrum �C40 platformsDevices Supported: TMS320C40, TMS320C4x
Features and Benefits
•• Remote source-level debug of TMS320C40 C code from Sun worksta-Remote source-level debug of TMS320C40 C code from Sun worksta-tion hoststion hosts
•• Multi-node, multi-target debug system for Spectrum’s CV2 and CV4 Multi-node, multi-target debug system for Spectrum’s CV2 and CV4 VMEbus DSP boardsVMEbus DSP boards
•• Ethernet-based client/server RPC applicationEthernet-based client/server RPC application•• Sun (client) hosts ’C40 TI C compiler, assembler/linker, and DB40Sun (client) hosts ’C40 TI C compiler, assembler/linker, and DB40•• Debug Gateway board (server) provides path to network of ’C40s via Debug Gateway board (server) provides path to network of ’C40s via
on-board JTAG controllerson-board JTAG controllers
Product Description
The Remote Ethernet Debug System (REDS) allows complete TMS320C40 The Remote Ethernet Debug System (REDS) allows complete TMS320C40 C code development and source-level debug from a Sun workstation. REDS C code development and source-level debug from a Sun workstation. REDS is a powerful software-debug system for Spectrum’s CV line of TMS320C40-is a powerful software-debug system for Spectrum’s CV line of TMS320C40-based VME DSP boards. The Sun workstation hosts TI software tools for based VME DSP boards. The Sun workstation hosts TI software tools for code development and debug. The Sun accesses the ’C40 DSP boards over code development and debug. The Sun accesses the ’C40 DSP boards over the Ethernet and through a Debug Gateway board.the Ethernet and through a Debug Gateway board.
With REDS, several host Sun workstations, each running multiple cop-With REDS, several host Sun workstations, each running multiple cop-ies of DB40, can debug remote VME chassis which contain multiple ’C40 ies of DB40, can debug remote VME chassis which contain multiple ’C40 DSP boards. Other debug configurations, such as VME-embedded SPARC DSP boards. Other debug configurations, such as VME-embedded SPARC boards, or workstations with VMEbus converters, are also supported by boards, or workstations with VMEbus converters, are also supported by Spectrum.Spectrum.
Software ToolsSoftware Tools
REDS contain the familiar software tools for ’C40 code development and REDS contain the familiar software tools for ’C40 code development and debug including the TI C compiler, assembler/linker, and DB40 C source debug including the TI C compiler, assembler/linker, and DB40 C source debugger.debugger.
3-425
Spectrum Signal Processing Inc.
Algorithm Software/Run-Time Support LibrariesAlgorithm Software/Run-Time Support LibrariesAlgorithm Software/Run-Time Support Libraries
Product Name: RELib Remote Ethernet LibraryPlatforms Supported: All Spectrum �C40 VME platformsDevices Supported: TMS320C40, TMS320C4x
Features and Benefits
•• Code download and executionCode download and execution•• Host-DSP data transferHost-DSP data transfer•• Supports all VxWorks SBCsSupports all VxWorks SBCs
Product Description
The VxWorks C Interface Library is used to provide application programs The VxWorks C Interface Library is used to provide application programs with an interface between a host 680x0 processor running Wind River Sys-with an interface between a host 680x0 processor running Wind River Sys-tem’s VxWorks RTOS and with the CVx series of TMS320C40 DSP boards tem’s VxWorks RTOS and with the CVx series of TMS320C40 DSP boards populated with any of Spectrum’s TIM-40 modules.populated with any of Spectrum’s TIM-40 modules.
The library functions allow for code download and execution as well as The library functions allow for code download and execution as well as the transfer of data blocks between the VxWorks host board and one or the transfer of data blocks between the VxWorks host board and one or more CVx series of boards. The Library provides access to ’C40-accessible more CVx series of boards. The Library provides access to ’C40-accessible memory on each TIM-40 module without the assistance of the application memory on each TIM-40 module without the assistance of the application software running on the ’C40. One DMA channel on each ’C40 is used by software running on the ’C40. One DMA channel on each ’C40 is used by the library and 16 words of ’C40 memory must be reserved for its use.the library and 16 words of ’C40 memory must be reserved for its use.
The Library contains the following functions; initialize, create, delete, The Library contains the following functions; initialize, create, delete, reset, present, enable, disable, load object, run, set arguments, read word, reset, present, enable, disable, load object, run, set arguments, read word, read block, write word, write block.read block, write word, write block.
3-426
Spectrum Signal Processing Inc.
Algorithm Software/Run-Time Support LibrariesAlgorithm Software/Run-Time Support LibrariesAlgorithm Software/Run-Time Support Libraries
Product Name: SunOS and Solaris Interface LibrariesPlatforms Supported: All Spectrum �C40 VME platformsDevices Supported: TMS320C40, TMS320C4x
Features and Benefits
•• Download and run codeDownload and run code•• Read and write ’C40 memoryRead and write ’C40 memory
Product Description
Interface support (consisting of device driver and library) is available for Interface support (consisting of device driver and library) is available for both SunOS and 4.1.x. and Solaris 2.x running on a number of SPARC plat-both SunOS and 4.1.x. and Solaris 2.x running on a number of SPARC plat-forms (embedded or via SBus to VME converter). The device drivers offer forms (embedded or via SBus to VME converter). The device drivers offer a low-level interface to the CVx boards and individual TIM-40 modules a low-level interface to the CVx boards and individual TIM-40 modules through open, read, write, ioctl, and close UNIX system calls. The libraries through open, read, write, ioctl, and close UNIX system calls. The libraries work through the device drivers and offer ANSI C functions to select target work through the device drivers and offer ANSI C functions to select target ’C40’s, download and run code, and read and write ’C40 memory.’C40’s, download and run code, and read and write ’C40 memory.
In addition, there are functions in the library which support VMEbus In addition, there are functions in the library which support VMEbus interrupts and handle access to the shared memory offered by the CV2. interrupts and handle access to the shared memory offered by the CV2. The libraries are multi-tasking aware, providing locking at the driver level The libraries are multi-tasking aware, providing locking at the driver level to avoid conflicts for DSP resources. By supporting the CVx series of ’C40 to avoid conflicts for DSP resources. By supporting the CVx series of ’C40 boards, the development of host code for multi-board networks is very sim-boards, the development of host code for multi-board networks is very sim-ple.ple.
3-427
Spectrum Signal Processing Inc.
Algorithm Software/Run-Time Support LibrariesAlgorithm Software/Run-Time Support LibrariesAlgorithm Software/Run-Time Support Libraries
Product Name: SBus-to-VME Converter SunOS/Solaris Debug Support SoftwarePlatforms Supported: Sun-SunOS, SolarisDevices Supported: TMS320C40
Features and Benefits
•• Support for CVx targets from SBus-based workstations (SPARCclassic, Support for CVx targets from SBus-based workstations (SPARCclassic, SPARC 10, etc.)SPARC 10, etc.)
•• Operates with Performance Technology SBS915 SBus-to-VME con-Operates with Performance Technology SBS915 SBus-to-VME con-verter board setverter board set
•• Device driver, interface library, and multi-processor DB40 debugger Device driver, interface library, and multi-processor DB40 debugger for both SunOS 4.1 and Solaris 2.xfor both SunOS 4.1 and Solaris 2.x
Product Description
Spectrum supports the Performance Technologies’ SBus-to-VME convert-Spectrum supports the Performance Technologies’ SBus-to-VME convert-ers.ers.
3-428
Spectrum Signal Processing Inc.
Algorithm Software/Run-Time Support LibrariesAlgorithm Software/Run-Time Support LibrariesAlgorithm Software/Run-Time Support Libraries
Product Name: Embedded SPARC SunOS/Solaris Debug Support SoftwarePlatforms Supported: Sun-SunOS, SolarisDevices Supported: TMS320C40
Features and Benefits
•• SPARC boards can run SunOS or Solaris and all standard workstation SPARC boards can run SunOS or Solaris and all standard workstation softwaresoftware
•• Puts host workstation and CVx target in the same rackPuts host workstation and CVx target in the same rack•• Device driver, I/O library, and multi-session debugger support for both Device driver, I/O library, and multi-session debugger support for both
SunOS 4.1.x and Solaris 2.xSunOS 4.1.x and Solaris 2.x
Product Description
The SunOS/Solaris Debug Support software includes a device driver, inter-The SunOS/Solaris Debug Support software includes a device driver, inter-face libraries, and DB40. The device driver offers a low-level interface to face libraries, and DB40. The device driver offers a low-level interface to the CVx boards and TIM-40 Modules. The interface libraries are high-level the CVx boards and TIM-40 Modules. The interface libraries are high-level ANSI C functions which use the device driver. DB40 uses the device driver ANSI C functions which use the device driver. DB40 uses the device driver to debug individual ’C40 processors.to debug individual ’C40 processors.
The SunOS/Solaris Debug Support software operates on both embed-The SunOS/Solaris Debug Support software operates on both embed-ded SPARC workstations as well as a Sun with SBus-to-VME bus convert-ded SPARC workstations as well as a Sun with SBus-to-VME bus convert-ers. An embedded SPARC puts the SPARC chip set on a 6U a VME board ers. An embedded SPARC puts the SPARC chip set on a 6U a VME board which can be placed in the same rack as the target CVx DSP system.which can be placed in the same rack as the target CVx DSP system.
3-429
Spectrum Signal Processing Inc.
Development Hardware/Plug-In VMEbus Module
Product Name: DSP31 TMS320C31 3U VME BoardPlatforms Supported: VME - DOS, OS/2, SunOSDevices Supported: TMS320C31
Features and Benefits
•• Single 33-MHz TMS320C31Single 33-MHz TMS320C31•• Up to 128 k Up to 128 k × 32-words zero-wait-state SRAM 32-words zero-wait-state SRAM•• Dual-serial interfacesDual-serial interfaces•• VMEbus A24:D16 slave interfaceVMEbus A24:D16 slave interface•• dBeX I/O expansion interfacedBeX I/O expansion interface
Product Description
Based on the TMS320C31 advanced 32-bit floating-point signal processor, Based on the TMS320C31 advanced 32-bit floating-point signal processor, the DSP31 gives a performance of up to 33 MFLOPS. On-board peripherals the DSP31 gives a performance of up to 33 MFLOPS. On-board peripherals include a serial port, capable of transfer in excess of 8 MB/s, and a general-include a serial port, capable of transfer in excess of 8 MB/s, and a general-purpose 32-bit timer/event counter.purpose 32-bit timer/event counter.
3U or 6U DSP Sub-Systems3U or 6U DSP Sub-Systems
The DSP31 can be used in 3U or 6U VMEbus systems, the I/O daughter The DSP31 can be used in 3U or 6U VMEbus systems, the I/O daughter boards can mount below or adjacent to the processor board (or both). boards can mount below or adjacent to the processor board (or both). These daughter boards hold the data-acquisition and analog I/O hardware These daughter boards hold the data-acquisition and analog I/O hardware for the sub-system. They are mounted in the rack with the DSP31 and are for the sub-system. They are mounted in the rack with the DSP31 and are connected directly to the peripheral expansion bus dBeX, avoiding VME-connected directly to the peripheral expansion bus dBeX, avoiding VME-bus congestion. Up to six dBeX peripheral boards may be daisy-chained to bus congestion. Up to six dBeX peripheral boards may be daisy-chained to a single DSP31.a single DSP31.
Memory OrganizationMemory Organization
The board has 32 k The board has 32 k × 32 or 128 k 32 or 128 k × 32 words of zero-wait-state SRAM, all of 32 words of zero-wait-state SRAM, all of which is accessible from the VMEbus. The EPROM has a maximum capac-which is accessible from the VMEbus. The EPROM has a maximum capac-ity of 512 kBytes and simplifies startup, allowing the board to operate inde-ity of 512 kBytes and simplifies startup, allowing the board to operate inde-pendently of any host CPU.pendently of any host CPU.
3-430
Spectrum Signal Processing Inc.
Algorithm Software/Debugging Tools
Product Name: Spectrum XDSC3x/4xPlatforms Supported: PC-DOS, OS/2Devices Supported: TMS320C30, TMS320C40
Features and Benefits
•• Package includes: PC plug-in card, cable, and Pod to connect the PC to Package includes: PC plug-in card, cable, and Pod to connect the PC to the target CVx boardthe target CVx board
•• DOS version of DB40 source-level debugger and host-interface libraryDOS version of DB40 source-level debugger and host-interface library•• OS/2 multi-processor DB40 source-level debugger and host-interface OS/2 multi-processor DB40 source-level debugger and host-interface
librarylibrary•• Daisy chaining via JTAG headers enables multiple CVx board debug-Daisy chaining via JTAG headers enables multiple CVx board debug-
gingging
Product Description
Spectrum’s XDSC3x/4x offers a debug route for target systems from a sin-Spectrum’s XDSC3x/4x offers a debug route for target systems from a sin-gle expansion slot. There is a choice of host operating system support for gle expansion slot. There is a choice of host operating system support for this package. The inclusion of a host to an interface library makes the this package. The inclusion of a host to an interface library makes the XDSC40 more than just a debug solution.XDSC40 more than just a debug solution.
3-431
Spectrum Signal Processing Inc.
Algorithm Software/Debugging Tools
Algorithm Software/Run-Time Support Libraries
Product Name: Embedded PC DOS Debug Support SoftwarePlatforms Supported: PC-DOSDevices Supported: TMS320C40
Features and Benefits
•• DOS version of DB40 source-level debuggerDOS version of DB40 source-level debugger•• DOS interface library offering multi-board supportDOS interface library offering multi-board support•• Support package for embedded PCs from XycomSupport package for embedded PCs from Xycom•• Support package for embedded PCs from RadysisSupport package for embedded PCs from Radysis
Product Description
An embedded PC puts the PC chip set onto a set of 6U VME boards which An embedded PC puts the PC chip set onto a set of 6U VME boards which can be placed in the same rack as the target CVx DSP system. Spectrum can be placed in the same rack as the target CVx DSP system. Spectrum has ported TI’s DB40 debugger to these platforms.has ported TI’s DB40 debugger to these platforms.
3-432
Spectrum Signal Processing Inc.
Development Hardware/Plug-In SBus Module
Product Name: SBusC30Platforms Supported: SBus - SunOSDevices Supported: TMS320C30
Features and Benefits
•• 40-MHz floating-point TMS320C30 processor40-MHz floating-point TMS320C30 processor•• 128 k 128 k × 32 zero-wait-state static RAM, expandable to 512 k 32 zero-wait-state static RAM, expandable to 512 k × 32 32•• DSP~LINK or SCCI interfaceDSP~LINK or SCCI interface•• Optional 16-bit stereo A/D and D/A for analog I/OOptional 16-bit stereo A/D and D/A for analog I/O•• Fast data transfer to SPARCstation for dual-port RAMFast data transfer to SPARCstation for dual-port RAM
Product Description
The TMS320C30 SBus is a single-board solution for real-time data acquisi-The TMS320C30 SBus is a single-board solution for real-time data acquisi-tion and analysis for SBus-based SUN SPARCstations.tion and analysis for SBus-based SUN SPARCstations.
The board is initially fitted with two 64 k The board is initially fitted with two 64 k × 32-word static RAM mod- 32-word static RAM mod-ules for 25-ns zero-wait-state operation. These can be upgraded to 256 k ules for 25-ns zero-wait-state operation. These can be upgraded to 256 k × 32 modules to allow for a total 512 k 32 modules to allow for a total 512 k × 32 words of external SRAM memory, 32 words of external SRAM memory, in addition to the 2 k in addition to the 2 k × 32 dual-port SRAM. 32 dual-port SRAM.
SBus Slave InterfaceSBus Slave Interface
The board plugs into a single Sun SBus slot. An SBus Slave Controller com-The board plugs into a single Sun SBus slot. An SBus Slave Controller com-municates with the TMS320C30 to download program object code to the municates with the TMS320C30 to download program object code to the static RAM and read-write data into the dual-port area for interactive pro-static RAM and read-write data into the dual-port area for interactive pro-grams running on the SPARCstation.grams running on the SPARCstation.
Analog and Serial I/OAnalog and Serial I/O
The TMS320C30 has two software-configurable 8.3-MB/s serial ports, The TMS320C30 has two software-configurable 8.3-MB/s serial ports, which are connected to a header for serial I/O or can be used for analog I/O. which are connected to a header for serial I/O or can be used for analog I/O. On the SCSI version, analog I/O is performed over one of the serial ports by On the SCSI version, analog I/O is performed over one of the serial ports by a daughter card which uses serial A/Ds and serial D/As. The sampling rates a daughter card which uses serial A/Ds and serial D/As. The sampling rates are determined from the ’C30’s timers or from crystal oscillators on the are determined from the ’C30’s timers or from crystal oscillators on the daughter card.daughter card.
3-433
Spectrum Signal Processing Inc.
Algorithm Software/Debugging Tools
Algorithm Software/Run-Time Support Libraries
Product Name: PC-to-VMEbus Converter DOS Debug Support SoftwarePlatforms Supported: PC-DOSDevices Supported: TMS320C40
Features and Benefits
•• Support for pro-VME ITVME-6 and Bit3 PC-to-VMEbus converterSupport for pro-VME ITVME-6 and Bit3 PC-to-VMEbus converter•• DOS version of DB40 source-level debuggerDOS version of DB40 source-level debugger•• DOS Interface library offering multi-board supportDOS Interface library offering multi-board support
Product Description
A PC-to-VMEbus converter is a two-board card set, one of which sits in a A PC-to-VMEbus converter is a two-board card set, one of which sits in a PC expansion slot, the other on the VMEbus. Together they allow the PC to PC expansion slot, the other on the VMEbus. Together they allow the PC to access the VMEbus address space. Spectrum supports the Pro-VME con-access the VMEbus address space. Spectrum supports the Pro-VME con-verter and BIT3 converters.verter and BIT3 converters.
3-434
Spectrum Signal Processing Inc.
Algorithm Software/Debugging Tools
Algorithm Software/Run-Time Support Libraries
Product Name: Net API �C40 Debug ToolsPlatforms Supported: PC ISADevices Supported: TMS320C40
Features and Benefits
•• Powerful ’C40 emulation supportPowerful ’C40 emulation support•• Simplified multi-processor networkSimplified multi-processor network•• Extensive ’C40 and board-level application examplesExtensive ’C40 and board-level application examples•• Supports Windows and DOS development using Microsoft Visual C++ Supports Windows and DOS development using Microsoft Visual C++
or Borland C++or Borland C++
Product Description
The ’C40 network API represents the latest development in Spectrum’s line The ’C40 network API represents the latest development in Spectrum’s line of support software for PC DSP products based on TI’s TMS320C40, the of support software for PC DSP products based on TI’s TMS320C40, the QPC40, DPC40, and EVM boards.QPC40, DPC40, and EVM boards.
Net API contains DB40, a software JTAG emulator that provides fully-Net API contains DB40, a software JTAG emulator that provides fully-functional debugging from a host PC without any additional emulation functional debugging from a host PC without any additional emulation hardware, such as the TI XDS510. The latest version of DB40 supports a hardware, such as the TI XDS510. The latest version of DB40 supports a new analysis feature which provides benchmarking capability and event new analysis feature which provides benchmarking capability and event tracing. The full-featured emulator is DOS based and may be run from tracing. The full-featured emulator is DOS based and may be run from within a Window DOS session.within a Window DOS session.
3-435
Spectrum Signal Processing Inc.
Development Hardware/Plug-In ISA Module
Product Name: PC/C50 System BoardPlatforms Supported: DOS, WindowsDevices Supported: TMS320C50
Features and Benefits
•• 57-MHz TMS320C50 fixed-point DSP57-MHz TMS320C50 fixed-point DSP•• One daughter module site for on-board analog of digital I/OOne daughter module site for on-board analog of digital I/O•• Two high-speed serial portsTwo high-speed serial ports•• Large prototyping area supports user-defined peripheralsLarge prototyping area supports user-defined peripherals•• DSP~LINK parallel interface for peripheral I/ODSP~LINK parallel interface for peripheral I/O
Product Description
The PC/C50 System Board is a full-length AT-size card. The board is The PC/C50 System Board is a full-length AT-size card. The board is designed to fully exploit the advanced features of the TI TMS320C50 pro-designed to fully exploit the advanced features of the TI TMS320C50 pro-cessor. The ’C50 DSP builds on the successfull architecture of the ’C25 with cessor. The ’C50 DSP builds on the successfull architecture of the ’C25 with increased clock speeds and integration of more memory and DSP system increased clock speeds and integration of more memory and DSP system components. The ’C50 board supports three fast static memory subsystems components. The ’C50 board supports three fast static memory subsystems external to the ’C50 DSP. Separate data and program memory areas each external to the ’C50 DSP. Separate data and program memory areas each have 16 k have 16 k × 16 zero-wait-state SRAM. Both areas are upgradable to 64 k 16 zero-wait-state SRAM. Both areas are upgradable to 64 k × 16. The other memory area is 4 k 16. The other memory area is 4 k × 16 one-wait-state dual-port RAM 16 one-wait-state dual-port RAM
between the DSP and PC. The prototyping area is a 65 cmbetween the DSP and PC. The prototyping area is a 65 cm22 wirewrap area wirewrap area with holes spaced by 0.1".with holes spaced by 0.1". The AMELIA (Application ModulE Link Interface Adapter) module sites The AMELIA (Application ModulE Link Interface Adapter) module sites allow flexible I/O solutions while maintaining single-slot compliance. Vari-allow flexible I/O solutions while maintaining single-slot compliance. Vari-ous I/O modules currently available include: Dual 16-bit I/O, Quad 16-bit ous I/O modules currently available include: Dual 16-bit I/O, Quad 16-bit input, AES-EBU, RS-232, and T1/E1.input, AES-EBU, RS-232, and T1/E1.
3-436
Spectrum Signal Processing Inc.
Algorithm Software/Run-Time Support LibrariesAlgorithm Software/Run-Time Support LibrariesAlgorithm Software/Run-Time Support Libraries
Product Name: VxWorks Interface LibraryPlatforms Supported: All Spectrum �C40 VME platformsDevices Supported: TMS320C40, TMS320C4x
Features and Benefits
•• Supports host processor running VxWorksSupports host processor running VxWorks•• Download and execute codeDownload and execute code•• Read and write ’C4x memoryRead and write ’C4x memory
Product Description
The VxWorks C Interface Library is used to provide application programs The VxWorks C Interface Library is used to provide application programs with an interface between a host processor running Wind River System’s with an interface between a host processor running Wind River System’s VxWorks RTOS and with the CVx series of TMS320C40 DSP boards popu-VxWorks RTOS and with the CVx series of TMS320C40 DSP boards popu-lated with any of Spectrum’s TIM-40 modules.lated with any of Spectrum’s TIM-40 modules.
The library functions allow for code download and execution as well as The library functions allow for code download and execution as well as the transfer of data blocks between the VxWorks host board and one or the transfer of data blocks between the VxWorks host board and one or more CVx series of boards. The Library provides access to ’C40-accessible more CVx series of boards. The Library provides access to ’C40-accessible memory on each TIM-40 module without the assistance of the application memory on each TIM-40 module without the assistance of the application software running on the ’C40. One DMA channel on each ’C40 is used by software running on the ’C40. One DMA channel on each ’C40 is used by the library and 16 words of ’C40 memory must be reserved for its use.the library and 16 words of ’C40 memory must be reserved for its use.
The Library contains the following functions; initialize, create, delete, The Library contains the following functions; initialize, create, delete, reset, present, enable, disable, load object, run, set arguments, read word, reset, present, enable, disable, load object, run, set arguments, read word, read block, write word, write block.read block, write word, write block.
3-437
Sundance Multiprocessor Technology Ltd.4 Market SquareAmersham, BucksHP7 0DQ, United Kingdom+44 (0)1494 431203Fax: +44 (0)1494 793168e-mail: [email protected]: http://www.sundance.com/
Company Background Sundance was established in 1989, and produces a comprehensive range of competi-Sundance was established in 1989, and produces a comprehensive range of competi-tively-priced and well-engineered products for the embedded high-performance and tively-priced and well-engineered products for the embedded high-performance and multi-processor market. Sundance’s range of products allows Sundance to act as a “one-multi-processor market. Sundance’s range of products allows Sundance to act as a “one-stop” shop for system designers and manufacturers. Sundance has enjoyed 50% growth stop” shop for system designers and manufacturers. Sundance has enjoyed 50% growth year on year. The company, with offices in Amersham, UK, and a factory in nearby year on year. The company, with offices in Amersham, UK, and a factory in nearby Chesham, has recently doubled its number of employees.Chesham, has recently doubled its number of employees.
Development Hardware/TIM Module
Product Name: SMT300 4-Slot TIM Motherboard for PCPlatforms Supported: PCDevices Supported: TMS320C40, TMS320C44
Features and Benefits
•• Very low power consumptionVery low power consumption•• 8-bit PC/AT ISA card8-bit PC/AT ISA card•• Internal double-pipeline communications between sitesInternal double-pipeline communications between sites•• Eight user-selectable ’C40 linksEight user-selectable ’C40 links•• Non-volatile host interface configuration storeNon-volatile host interface configuration store
Product Description
The SMT300 is a low-cost TIM-40 standard-compatible motherboard, which The SMT300 is a low-cost TIM-40 standard-compatible motherboard, which slots into an expansion slot of an IBM PC/AT ISA machine. Each mother-slots into an expansion slot of an IBM PC/AT ISA machine. Each mother-board has four TIM-40 module sites.board has four TIM-40 module sites.
The TIM motherboard uses a simple 8-bit PC ISA interface. Communi-The TIM motherboard uses a simple 8-bit PC ISA interface. Communi-cation between SMT300 and PC host is achieved through a block of regis-cation between SMT300 and PC host is achieved through a block of regis-ters which map into the PC’s I/O address space. The PC host appears to a ters which map into the PC’s I/O address space. The PC host appears to a TIM-40 module in Slot 0 as a normal ’C40 link connection. The double-pipe-TIM-40 module in Slot 0 as a normal ’C40 link connection. The double-pipe-line architecture provides a sustained inter-processor bandwidth of line architecture provides a sustained inter-processor bandwidth of 36 MBytes/s.36 MBytes/s.
The board has no JTAG interface or comm-port buffers. Minimum logic The board has no JTAG interface or comm-port buffers. Minimum logic makes the SMT300 ideal for entry-level evaluation systems, or as the base makes the SMT300 ideal for entry-level evaluation systems, or as the base of an embedded PC-based DSP system. The absence of comm-port buffers of an embedded PC-based DSP system. The absence of comm-port buffers also allows faster communications.also allows faster communications.
3-438
Sundance Multiprocessor Technology Ltd.
Development Hardware/TIM Module
Product Name: SMT302 EDRAM TIMPlatforms Supported: PC, Sun, VXIDevices Supported: TMS320C40
Features and Benefits
•• Size 1 compute TIMSize 1 compute TIM•• 8-MByte enhanced DRAM8-MByte enhanced DRAM•• Very fast memory interfaceVery fast memory interface•• TIM global connectorTIM global connector
Product Description
The SMT302 module conforms to the published standards of a Size 1 TIM-The SMT302 module conforms to the published standards of a Size 1 TIM-40, including all six parallel links offering peak data transfer rates of 40, including all six parallel links offering peak data transfer rates of 20 MBytes/s per link. This allows high-speed communication between mul-20 MBytes/s per link. This allows high-speed communication between mul-tiple TIMs and other TMS320C40-based systems or peripherals.tiple TIMs and other TMS320C40-based systems or peripherals.
The SMT302 provides 8 MBytes of enhanced DRAM. Each EDRAM The SMT302 provides 8 MBytes of enhanced DRAM. Each EDRAM device uses 2 KBytes of on-chip SRAM cache providing 15-ns access time device uses 2 KBytes of on-chip SRAM cache providing 15-ns access time for cache hits and 35-ns access time for cache misses. The use of EDRAM for cache hits and 35-ns access time for cache misses. The use of EDRAM on the SMT302 offers the benefits of the speed of SRAM with the density of on the SMT302 offers the benefits of the speed of SRAM with the density of DRAM yielding the high memory bandwidth required to maximize the DRAM yielding the high memory bandwidth required to maximize the achieved performance of the TMS320C40. The single-cycle multiply-accu-achieved performance of the TMS320C40. The single-cycle multiply-accu-mulate unit and communication ports of the ’C40 make the SMT302 a flexi-mulate unit and communication ports of the ’C40 make the SMT302 a flexi-ble high-performance module ideal for DSP and general-purpose ble high-performance module ideal for DSP and general-purpose computing in single- and multi-processor systems.computing in single- and multi-processor systems.
3-439
Sundance Multiprocessor Technology Ltd.
Development Hardware/TIM Module
Product Name: SMT303 Arithmetic Frame GrabberPlatforms Supported: PC, Sun, VXIDevices Supported: TMS320C40
Features and Benefits
•• Standard Size 2 TIM format moduleStandard Size 2 TIM format module•• Pixel sizes from 1 to 24 bits per pixel (monochrome to true-color)Pixel sizes from 1 to 24 bits per pixel (monochrome to true-color)•• FPGA user programmed to perform pixel operations including adding, FPGA user programmed to perform pixel operations including adding,
masking, shifting, etc.masking, shifting, etc.•• 2-MBytes VRAM in two banks of 1 MByte and 1-MByte fast SRAM2-MBytes VRAM in two banks of 1 MByte and 1-MByte fast SRAM•• Optional 16-MBytes page-mode DRAMOptional 16-MBytes page-mode DRAM
Product Description
The SMT303 arithmetic frame grabber provides a high-performance data The SMT303 arithmetic frame grabber provides a high-performance data capture node for TIM-based systems, and is the ideal partner to the capture node for TIM-based systems, and is the ideal partner to the SMT304 high-performance graphics TIM.SMT304 high-performance graphics TIM.
A monolithic triple 8-bit digitizer accepts two channels of RGB-type A monolithic triple 8-bit digitizer accepts two channels of RGB-type video signals. This digitized video is input into a high-density, high-speed video signals. This digitized video is input into a high-density, high-speed FPGA. The FPGA can be programmed to control two banks of VRAM, each FPGA. The FPGA can be programmed to control two banks of VRAM, each of which is capable of storing a 512 of which is capable of storing a 512 × 512 true-color image, or four 8-bit 512 true-color image, or four 8-bit grey-scale images. The FPGA performs all serial clocking and transfers to/grey-scale images. The FPGA performs all serial clocking and transfers to/from the VRAMs. As the FPGA has access to both banks of VRAMs simulta-from the VRAMs. As the FPGA has access to both banks of VRAMs simulta-neously, it is capable of performing simple arithmetic frame operations. neously, it is capable of performing simple arithmetic frame operations. These can include frame averaging or differencing—in real-time. 4 Mbits of These can include frame averaging or differencing—in real-time. 4 Mbits of EPROM are provided to hold the different configurations for the FPGA. EPROM are provided to hold the different configurations for the FPGA. This is sufficient to hold 40 different FPGA applications.This is sufficient to hold 40 different FPGA applications.
3-440
Sundance Multiprocessor Technology Ltd.
Development Hardware/TIM Module
Product Name: SMT304 Graphics Accelerator TIMPlatforms Supported: PC, Sun, VXIDevices Supported: TMS320C40
Features and Benefits
•• Weitek Power W9100 graphics controllerWeitek Power W9100 graphics controller•• 4 MBytes of VRAM, 1-MByte fast SRAM4 MBytes of VRAM, 1-MByte fast SRAM•• Brooktree Bt445 True Color RAMDACBrooktree Bt445 True Color RAMDAC•• Pixel depths from 1 to 32 bitsPixel depths from 1 to 32 bits•• Screen sizes of 1280 Screen sizes of 1280 × 1024 at 8-bits per pixel 1024 at 8-bits per pixel
Product Description
The SMT304 Size 2 TIM-40 is a graphics module providing a high-perfor-The SMT304 Size 2 TIM-40 is a graphics module providing a high-perfor-mance display node for TIM-based systems.mance display node for TIM-based systems.
1 MByte of fast SRAM is supplied as standard for storing code and data, 1 MByte of fast SRAM is supplied as standard for storing code and data, while an optional 16 MBytes of DRAM may be fitted for large memory appli-while an optional 16 MBytes of DRAM may be fitted for large memory appli-cations.cations.
The SMT304 integrates the TMS320C40 with a graphics controller. The SMT304 integrates the TMS320C40 with a graphics controller. This design ensures that the DSP device is free to process graphical infor-This design ensures that the DSP device is free to process graphical infor-mation while a dedicated graphics processor handles the screen image.mation while a dedicated graphics processor handles the screen image.
The TIM has 4 MBytes of VRAM which is shared between the ’C40 and The TIM has 4 MBytes of VRAM which is shared between the ’C40 and the W9100. This frame store memory is sufficient to support screen sizes of the W9100. This frame store memory is sufficient to support screen sizes of 1280 1280 × 1024 at 8 or 16 bits per pixel. 16-bits is represented as 5-bits per 1024 at 8 or 16 bits per pixel. 16-bits is represented as 5-bits per color plus 1-bit of overlay/cursor. Maximum true-color resolution is 1024 color plus 1-bit of overlay/cursor. Maximum true-color resolution is 1024 × 1024 (24 bits/pixel) with an additional 4-bit overlay and 2-bit cursor. Lower 1024 (24 bits/pixel) with an additional 4-bit overlay and 2-bit cursor. Lower resolutions, up to 1280 resolutions, up to 1280 × 1024 1024 × 8, may be double buffered. 8, may be double buffered.
3-441
Sundance Multiprocessor Technology Ltd.
Development Hardware/TIM Module
Product Name: SMT305 High Memory TIMPlatforms Supported: PC, Sun, VXIDevices Supported: TMS320C40
Features and Benefits
•• Size 2 high-memory-compute TIMSize 2 high-memory-compute TIM•• 64-MByte one-wait-state DRAM64-MByte one-wait-state DRAM•• 2-MBytes zero-wait-state SRAM2-MBytes zero-wait-state SRAM•• TIM global connectorTIM global connector
Product Description
The SMT305 module conforms to the published standards of a Size 2 TIM-The SMT305 module conforms to the published standards of a Size 2 TIM-40, including all six parallel links offering data transfer rates of 20 MBytes/s 40, including all six parallel links offering data transfer rates of 20 MBytes/s per link. This allows high-speed communication between multiple TIMs and per link. This allows high-speed communication between multiple TIMs and other TMS320C40-based systems or peripherals.other TMS320C40-based systems or peripherals.
Applications requiring large amounts of memory are satisfied using the Applications requiring large amounts of memory are satisfied using the SMT305. Each of the ’C40’s memory interfaces (global and local) is inter-SMT305. Each of the ’C40’s memory interfaces (global and local) is inter-faced to 32 MBytes of DRAM and 1 MByte of zero-wait-state SRAM. Each faced to 32 MBytes of DRAM and 1 MByte of zero-wait-state SRAM. Each memory interface has a bandwidth of 100 MBytes/s which may be sustained memory interface has a bandwidth of 100 MBytes/s which may be sustained for data and code placed in SRAM. The combination of fast SRAM and large for data and code placed in SRAM. The combination of fast SRAM and large DRAM memory results in the SMT305 being ideal for data acquisition sys-DRAM memory results in the SMT305 being ideal for data acquisition sys-tems where data has high burst rates and the ’C40 is unable to process the tems where data has high burst rates and the ’C40 is unable to process the data on-line.data on-line.
3-442
Sundance Multiprocessor Technology Ltd.
Development Hardware/TIM Module
Product Name: SMT306Platforms Supported: PC, Sun, VXIDevices Supported: TMS320C40
Features and Benefits
•• 16-bit neural instruction set processor16-bit neural instruction set processor•• Capable of processing in excess of 64K neurons and 128K synapse con-Capable of processing in excess of 64K neurons and 128K synapse con-
nectionsnections•• Any number of layersAny number of layers•• Suitable for: Kohonen competitive networks, Jordan sequential net-Suitable for: Kohonen competitive networks, Jordan sequential net-
works, DFT algorithmsworks, DFT algorithms•• Up to 80M interconnects per secondUp to 80M interconnects per second
Product Description
The SMT306 is a Size 2 TIM-40 module. By using two neural instruction set The SMT306 is a Size 2 TIM-40 module. By using two neural instruction set processors in conjunction with the TMS320C40 parallel DSP, a balance of processors in conjunction with the TMS320C40 parallel DSP, a balance of computational performance and data transfer bandwidth is achieved.computational performance and data transfer bandwidth is achieved.
Each neural instruction set processor (NiSP) device offers a peak com-Each neural instruction set processor (NiSP) device offers a peak com-putation rate of 40M Interconnects/sputation rate of 40M Interconnects/s allowing the potential to build real- allowing the potential to build real-time systems using the significant advantages offered by neural-network time systems using the significant advantages offered by neural-network techniques.techniques.
The TMS320C40 offers a data transfer bandwidth of approaching The TMS320C40 offers a data transfer bandwidth of approaching 120 MBytes/s via its six on-board communications.120 MBytes/s via its six on-board communications.
Software packages are available which are capable of generating files Software packages are available which are capable of generating files suitable for loading onto NiSP devices allowing rapid design and simulation suitable for loading onto NiSP devices allowing rapid design and simulation to be done on commercial neural network software packages.to be done on commercial neural network software packages.
3-443
Sundance Multiprocessor Technology Ltd.
Development Hardware/TIM Module
Product Name: SMT307 SCSI TIM ModulePlatforms Supported: PC, Sun, VXIDevices Supported: TMS320C44
Features and Benefits
•• 8-bit or 16-bit wide SCSI-2 interface8-bit or 16-bit wide SCSI-2 interface•• NCR 53C720 SCSI-2 I/O processorNCR 53C720 SCSI-2 I/O processor•• Synchronous transfer up to 20-MBytes/sSynchronous transfer up to 20-MBytes/s•• Asynchronous transfer up to 10 MBytes/sAsynchronous transfer up to 10 MBytes/s•• 4-MByte fast EDRAM4-MByte fast EDRAM•• Optional 512K-Bytes FLASH ROMOptional 512K-Bytes FLASH ROM
Product Description
The SMT307 is a Size 1 TIM-40-compliant module offering a fast SCSI-2 The SMT307 is a Size 1 TIM-40-compliant module offering a fast SCSI-2 connection between a TMS320C4x-based system and up to 16 devices.connection between a TMS320C4x-based system and up to 16 devices.
The module uses the SCSI-2 I/O processor and is capable of performing The module uses the SCSI-2 I/O processor and is capable of performing transfers of up to 20 MBytes/s synchronous or 10 MBytes/s asynchronous. transfers of up to 20 MBytes/s synchronous or 10 MBytes/s asynchronous. The on-board TMS320C44 is directly connected to the SCSI processor and The on-board TMS320C44 is directly connected to the SCSI processor and is responsible for initializing the controller. The SMT307 has 4 MBytes of is responsible for initializing the controller. The SMT307 has 4 MBytes of fast enhanced DRAM accessible by both the ’C44 and SCSI processor.fast enhanced DRAM accessible by both the ’C44 and SCSI processor.
The SCSI processor can read instructions (SCRIPTS file) from the The SCSI processor can read instructions (SCRIPTS file) from the EDRAM without intervention by the ’C44 processor. The ’C44 is directly EDRAM without intervention by the ’C44 processor. The ’C44 is directly connected to the 53C720’s host port, and is thus responsible for initializing connected to the 53C720’s host port, and is thus responsible for initializing the SCSI controller. The SMT307 supports 512K Bytes of Flash ROM which the SCSI controller. The SMT307 supports 512K Bytes of Flash ROM which may be factory fitted with Sundance’s Disc File System. The SMT307 pro-may be factory fitted with Sundance’s Disc File System. The SMT307 pro-vides a global bus interface.vides a global bus interface.
3-444
Sundance Multiprocessor Technology Ltd.
Development Hardware/TIM Module
Product Name: SMT308 Digital Video Interface TIMPlatforms Supported: PC, Sun, VXIDevices Supported: TMS320C4x
Features and Benefits
•• Standard Size 1 TIM format moduleStandard Size 1 TIM format module•• Digital camera input via 26-way IDC connectorDigital camera input via 26-way IDC connector•• 20-MBytes/s data bandwidth up to 220 frames per second20-MBytes/s data bandwidth up to 220 frames per second•• FPGA configured by on-board PROM or via download cableFPGA configured by on-board PROM or via download cable•• Controlled via host ’C40 processorControlled via host ’C40 processor
Product Description
The SMT308 is a Size 1 TIM-40 specification interface module providing a The SMT308 is a Size 1 TIM-40 specification interface module providing a means of inputting high-bandwidth digital video into TMS320C40-based means of inputting high-bandwidth digital video into TMS320C40-based systems. It is designed to be compatible with the SMT309 Run Length systems. It is designed to be compatible with the SMT309 Run Length Encoder TIM.Encoder TIM.
Programmable logic, in the form of a Field Programmable Gate Array Programmable logic, in the form of a Field Programmable Gate Array (FPGA) enables region-of-interest calculations to be performed on the in-(FPGA) enables region-of-interest calculations to be performed on the in-coming video information. ROI parameters are x-offset, y-offset, x-width, coming video information. ROI parameters are x-offset, y-offset, x-width, and y-width, and are input to the SMT308 via the control port, which by and y-width, and are input to the SMT308 via the control port, which by default is comm port 4.default is comm port 4.
The digital video signal is differentially buffered before entering the The digital video signal is differentially buffered before entering the FPGA and an output buffer is employed to interface between the SMT308 FPGA and an output buffer is employed to interface between the SMT308 and the ’C40-based system.and the ’C40-based system.
The SMT308 meets the RS-422A, RS-423A, and RS-485 standards. In The SMT308 meets the RS-422A, RS-423A, and RS-485 standards. In addition, it also meets the CCITT recommendation V.10, V.11, V.26, and addition, it also meets the CCITT recommendation V.10, V.11, V.26, and X.27.X.27.
3-445
Sundance Multiprocessor Technology Ltd.
Development Hardware/TIM Module
Product Name: SMT309 Run Length Encoder TIMPlatforms Supported: PC, Sun, VXIDevices Supported: TMS320C4x
Features and Benefits
•• Standard Size 1 TIM format moduleStandard Size 1 TIM format module•• Data reception up to 20 MBytes/sData reception up to 20 MBytes/s•• No overhead for encoding and providing run informationNo overhead for encoding and providing run information•• FPGA configured by on-board PROM or via download cableFPGA configured by on-board PROM or via download cable•• Controlled via host ’C40 processorControlled via host ’C40 processor
Product Description
The SMT309 is a Size 1 TIM-40 specification run-length encoder module The SMT309 is a Size 1 TIM-40 specification run-length encoder module providing a means of compressing high-bandwidth digital and outputting providing a means of compressing high-bandwidth digital and outputting the information via TMS320C40-compatible communication ports.the information via TMS320C40-compatible communication ports.
Many image-processing applications involve processing relatively small Many image-processing applications involve processing relatively small regions of interest. The SMT309 is configured by setting a threshold level. regions of interest. The SMT309 is configured by setting a threshold level. The module then outputs the data which is above this threshold along with The module then outputs the data which is above this threshold along with parameters relating to the length of the data and its position in the image.parameters relating to the length of the data and its position in the image.
The SMT309’s on-board programmable logic, in the form of a Field Pro-The SMT309’s on-board programmable logic, in the form of a Field Pro-grammable Gate Array (FPGA), is capable of inputting data at up to grammable Gate Array (FPGA), is capable of inputting data at up to 20 MBytes/s. There is no overhead incurred for encoding and providing 20 MBytes/s. There is no overhead incurred for encoding and providing information. information.
3-446
Sundance Multiprocessor Technology Ltd.
Development Hardware/TIM Module
Product Name: SMT311 FFT Accelerator TIMPlatforms Supported: PC, Sun, VXIDevices Supported: TMS320C44
Features and Benefits
•• Size 2 dual-processor FFT TIMSize 2 dual-processor FFT TIM•• SFT coprocessor - 40 MHzSFT coprocessor - 40 MHz•• 500 MOPS and 50 MFLOPS500 MOPS and 50 MFLOPS•• 2-D 512 2-D 512 × 512 real FFT in 39 ms 512 real FFT in 39 ms•• 1k complex FFT in 80 µs1k complex FFT in 80 µs
Product Description
The SMT311 is a Size 2 TIM-40 module which benefits from the integration The SMT311 is a Size 2 TIM-40 module which benefits from the integration of the versatile TMS320C44 with the performance of the SFT coprocessor. of the versatile TMS320C44 with the performance of the SFT coprocessor. The SFT coprocessor is ideal for performing computationally-intensive The SFT coprocessor is ideal for performing computationally-intensive mathematical operations on high-speed data inputs. In particular, the mathematical operations on high-speed data inputs. In particular, the device is highly optimized for Fast Fourier Transforms. The use of the SFT device is highly optimized for Fast Fourier Transforms. The use of the SFT coprocessor a coprocessor to the ’C44 facilitates flexible solutions for both coprocessor a coprocessor to the ’C44 facilitates flexible solutions for both uni- and multi-processor applications.uni- and multi-processor applications.
The ’C44 processor is connected to the SFT coprocessor via an FPGA The ’C44 processor is connected to the SFT coprocessor via an FPGA and FIFO on the local bus. The FPGA is used to control the SFT coproces-and FIFO on the local bus. The FPGA is used to control the SFT coproces-sor’s five-memory-bank address generators. The vector processors instruc-sor’s five-memory-bank address generators. The vector processors instruc-tions are loaded in to a FIFO. In addition the ’C44 has 512K Bytes of fast tions are loaded in to a FIFO. In addition the ’C44 has 512K Bytes of fast SRAM and 32K Bytes of boot and ID PROM.SRAM and 32K Bytes of boot and ID PROM.
The SFT coprocessor memory comprises a co-efficient bank, two pro-The SFT coprocessor memory comprises a co-efficient bank, two pro-cessing banks, and two input/output banks. Each may be configured as cessing banks, and two input/output banks. Each may be configured as either 16-, 32-, or 48-bit interfaces.either 16-, 32-, or 48-bit interfaces.
3-447
Sundance Multiprocessor Technology Ltd.
Development Hardware/TIM Module
Product Name: SMT312 RS-232 and Fibre Modem TIMPlatforms Supported: PC, Sun, VXIDevices Supported: TMS320C4x
Features and Benefits
•• Size 1 converter TIMSize 1 converter TIM•• Data via user-selectable TIM port or 24-way on-board Lemo connectorData via user-selectable TIM port or 24-way on-board Lemo connector•• Optional four-fibre modem linksOptional four-fibre modem links•• Full-duplex asynchronous communicationsFull-duplex asynchronous communications•• User-selectable data rate up to peak rate of 38,400 baudUser-selectable data rate up to peak rate of 38,400 baud
Product Description
The SMT312 RS-232 converter is a Size 1 TIM-40-compatible module and The SMT312 RS-232 converter is a Size 1 TIM-40-compatible module and connects a ’C4x communications port to four RS-232C connectors and also connects a ’C4x communications port to four RS-232C connectors and also offers four fibre-modem links as a factory-fitted option.offers four fibre-modem links as a factory-fitted option.
The module may either be used as a component in a TIM-based system The module may either be used as a component in a TIM-based system or standalone. When used in a TIM environment, the RS-232 channels are or standalone. When used in a TIM environment, the RS-232 channels are accessed via 10-way IDC-type headers. 10-pin Lemo connectors may be accessed via 10-way IDC-type headers. 10-pin Lemo connectors may be factory fitted for standalone operation.factory fitted for standalone operation.
Four fibre modem devices can optionally be fitted. They allow full-Four fibre modem devices can optionally be fitted. They allow full-duplex, high-speed asynchronous serial connection to a similar modem via duplex, high-speed asynchronous serial connection to a similar modem via a single bi-directional fibre.a single bi-directional fibre.
Due to the low data rates available with the RS-232 (as compared to Due to the low data rates available with the RS-232 (as compared to the comm ports) a simple single-buffered comm-port interface is used. the comm ports) a simple single-buffered comm-port interface is used. With an octal processor running at 10 MHz, data rates in excess of 100k With an octal processor running at 10 MHz, data rates in excess of 100k baud should be easily achievable.baud should be easily achievable.
3-448
Sundance Multiprocessor Technology Ltd.
Development Hardware/TIM Module
Product Name: SMT313 Dual-Processor �C44 EDRAM TIM ModulePlatforms Supported: PC, Sun, VXIDevices Supported: TMS320C44
Features and Benefits
•• Size 1 compute TIM-40Size 1 compute TIM-40•• Two processorsTwo processors•• 4-MBytes fast enhanced DRAM on each processor’s local bus4-MBytes fast enhanced DRAM on each processor’s local bus•• 100 MFLOPS, 550 MOPS (at 50 MHz)100 MFLOPS, 550 MOPS (at 50 MHz)•• Shared global bus connectorShared global bus connector
Product Description
The SMT313 module conforms to the published standards of a Size 1 TIM-The SMT313 module conforms to the published standards of a Size 1 TIM-40 and comprises two TMS320C44 devices along with 4 MBytes (or 40 and comprises two TMS320C44 devices along with 4 MBytes (or 1 MWord) of fast enhanced DRAM memory for each processor.1 MWord) of fast enhanced DRAM memory for each processor.
EDRAM memory increases normal DRAM speed by using 2 KBytes of EDRAM memory increases normal DRAM speed by using 2 KBytes of on-chip SRAM cache. This gives 15-ns access time for cache hits and 35-ns on-chip SRAM cache. This gives 15-ns access time for cache hits and 35-ns access time for cache misses. The use of EDRAM on the SMT313 offers the access time for cache misses. The use of EDRAM on the SMT313 offers the benefits of the speed of SRAM with the density of DRAM yielding the high benefits of the speed of SRAM with the density of DRAM yielding the high memory bandwidth required to give the highest performance of the ’C44.memory bandwidth required to give the highest performance of the ’C44.
The local bus is used to address on-board memory. The global bus of The local bus is used to address on-board memory. The global bus of each processor is mapped on to the TIM’s global bus connector. The pro-each processor is mapped on to the TIM’s global bus connector. The pro-cessors are capable of arbitrating for access to the global bus connector.cessors are capable of arbitrating for access to the global bus connector.
3-449
Sundance Multiprocessor Technology Ltd.
Development Hardware/TIM Module
Product Name: SMT318 Dual Digital Video Interface TIMPlatforms Supported: PC, Sun, VXIDevices Supported: TMS320C4x
Features and Benefits
•• Standard Size 1 TIM-40 format moduleStandard Size 1 TIM-40 format module•• 80-MBytes/s video input data rate80-MBytes/s video input data rate•• Dual 8-bit or single 16-bit RS-422 differential data interfaceDual 8-bit or single 16-bit RS-422 differential data interface•• Independent programmable I/O control linesIndependent programmable I/O control lines•• Cascadeable for multi-channel cameras such as RGB and high-resolu-Cascadeable for multi-channel cameras such as RGB and high-resolu-
tion linescantion linescan
Product Description
The SMT318 digital video interface TIM provides a high-performance data The SMT318 digital video interface TIM provides a high-performance data capture node for TIM-based systems. This Size 1 TIM-40 module provides a capture node for TIM-based systems. This Size 1 TIM-40 module provides a peak acquisition bandwidth of 80 MBytes/s over two 8-bit interfaces. These peak acquisition bandwidth of 80 MBytes/s over two 8-bit interfaces. These two channels can be concatenated to provide a single 16-bit interface if two channels can be concatenated to provide a single 16-bit interface if required.required.
Consisting of two 8-bit RS-422 differential interfaces, the SMT318 pro-Consisting of two 8-bit RS-422 differential interfaces, the SMT318 pro-vides independent control of each channel. Each channel has five outputs vides independent control of each channel. Each channel has five outputs and five inputs independently programmable via comm port 3.and five inputs independently programmable via comm port 3.
The FPGAs perform all clocking and transfers from the video interface The FPGAs perform all clocking and transfers from the video interface through to the ’C40 comm ports. Each data channel is transferred to ’C40 through to the ’C40 comm ports. Each data channel is transferred to ’C40 comm port via FIFO devices to smooth bandwidth variations.comm port via FIFO devices to smooth bandwidth variations.
3-450
Sundance Multiprocessor Technology Ltd.
Development Hardware/Plug-In PCI Board
Product Name: SMT319Platforms Supported: PC, Sun, VXIDevices Supported: TMS320C44
Features and Benefits
•• Three-channel digital video interfaceThree-channel digital video interface•• Up to 8-MBytes SRAM double-buffered frame store per channelUp to 8-MBytes SRAM double-buffered frame store per channel•• Color or independent mono captureColor or independent mono capture•• Screen sizes up to 2k Screen sizes up to 2k × 2k available 2k available•• Video SRAM shared by PCI and DSPVideo SRAM shared by PCI and DSP
Product Description
The SMT319 is an industry-standard PCI Bus frame grabber capable of The SMT319 is an industry-standard PCI Bus frame grabber capable of acquiring digital video data from up to three sources. By using the a DSP, a acquiring digital video data from up to three sources. By using the a DSP, a balance of computational performance and data transfer bandwidth is balance of computational performance and data transfer bandwidth is achieved.achieved.
Each channel supports capture at pixel rates of 40 MHz, programmable Each channel supports capture at pixel rates of 40 MHz, programmable camera interface control, a frame store capacity of up to 8 MBytes, and a camera interface control, a frame store capacity of up to 8 MBytes, and a programmable logic device to facilitate low-level pixel operations.programmable logic device to facilitate low-level pixel operations.
The DSP offers a data-transfer bandwidth of approaching 20 MBytes/s The DSP offers a data-transfer bandwidth of approaching 20 MBytes/s from each of its four on-board communications ports (comm ports) and from each of its four on-board communications ports (comm ports) and four DMA engines. The DSP’s modified Harvard Architecture CPU capable four DMA engines. The DSP’s modified Harvard Architecture CPU capable of 50-MFLOPs peak performance.of 50-MFLOPs peak performance.
3-451
Synetcom Digital, Inc.1426 Aviation Blvd., Suite 203Redondo Beach, CA 90278(310) 379-2000Fax: (310) 372-2331e-mail: 73122,[email protected]
Company Background Synetcom Digital specializes in providing development support and product to custom-Synetcom Digital specializes in providing development support and product to custom-ers engaged in wireless data communication product development—offering a unique ers engaged in wireless data communication product development—offering a unique blend of leading edge and highly-effective wireless technology and techniques.blend of leading edge and highly-effective wireless technology and techniques.
Areas of expertise include DSP prototyping, DSP-RF interfacing, radio modems, Areas of expertise include DSP prototyping, DSP-RF interfacing, radio modems, telecommunications signal processing, personal communication systems and networks, telecommunications signal processing, personal communication systems and networks, FIR-programmable and adaptive filters, industrial-control systems, instrumentation sys-FIR-programmable and adaptive filters, industrial-control systems, instrumentation sys-tems, and digital audio.tems, and digital audio.
Development Hardware/Standalone Board
Product Name: Packet Radio ModemPlatforms Supported: PCDevices Supported: TMS32010, TMS320C25, TMS320E25, TMS320C50
Features and Benefits
•• IF/Baseband GMSK modem on DSPIF/Baseband GMSK modem on DSP•• EB1000 modem evaluation boardEB1000 modem evaluation board•• Works with Mobitex packet radio networkWorks with Mobitex packet radio network•• Modulator/demodulator bit pumpModulator/demodulator bit pump
Product Description
The Mobitex packet radio modem is a TMS320 modem solution for use with The Mobitex packet radio modem is a TMS320 modem solution for use with portable and mobile radios operating on the Mobitex packet radio data portable and mobile radios operating on the Mobitex packet radio data communications network. The network provides cellular-like coverage and communications network. The network provides cellular-like coverage and furnishes reliable data communications at 8 Kbps between field, portable, furnishes reliable data communications at 8 Kbps between field, portable, and fixed subscriber locations.and fixed subscriber locations.
The modem contains complete GMSK modulator and demodulator sub-The modem contains complete GMSK modulator and demodulator sub-systems. The GMSK subsystems process outgoing and incoming Mobitex systems. The GMSK subsystems process outgoing and incoming Mobitex radio channel waveforms near optimally to provide reception over multipathradio channel waveforms near optimally to provide reception over multipath distorted or time varying radio channels.distorted or time varying radio channels.
Data originating from peripherals such as terminals, bar-code readers, Data originating from peripherals such as terminals, bar-code readers, field telemetry, and other computer-related hardware are candidates for field telemetry, and other computer-related hardware are candidates for the network. Automated dispatch, satellite location of vehicles, program-the network. Automated dispatch, satellite location of vehicles, program-mable billboards—are all other network applications—using the GMSK mable billboards—are all other network applications—using the GMSK modem.modem.
The EB1000 is a complete, low cost hardware evaluation board con-The EB1000 is a complete, low cost hardware evaluation board con-taining the modem available for radio system insertion and prototyping. taining the modem available for radio system insertion and prototyping. Analog and digital interfaces have been provided to offer flexible modem/Analog and digital interfaces have been provided to offer flexible modem/radio interface options.radio interface options.
3-452
Synopsys, Inc.700 East Middlefield RoadMountain View, CA 94043Modeling Products: 1-800-34MODELCOSSAP 1-800-388-9125Modeling Products email: [email protected] email: [email protected]: www.synopsys.com
Company Background Synopsys, Inc. is a leading provider of high-level design-automation models and soft-Synopsys, Inc. is a leading provider of high-level design-automation models and soft-ware for designers of integrated circuits (ICs) and electronic systems. The company ware for designers of integrated circuits (ICs) and electronic systems. The company pioneered the commercial development of synthesis technology, which serves as the pioneered the commercial development of synthesis technology, which serves as the foundation of the company’s high-level design methodology. Synopsys offers a compre-foundation of the company’s high-level design methodology. Synopsys offers a compre-hensive set of synthesis, simulation, test, and design-reuse solutions, which support hensive set of synthesis, simulation, test, and design-reuse solutions, which support both Verilog HDL and VHDL.both Verilog HDL and VHDL.
Device Models/Logic
Product Name: SmartModel� Behavioral ModelsPlatforms Supported: DEC, HP, IBM RS/6000, MIPS, NEC, PC, Silicon Graphics, Sun Devices Supported: TMS320C1x, TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Full pin-to-pin timing supportFull pin-to-pin timing support•• Built-in timing checks against manufacturer’s specificationsBuilt-in timing checks against manufacturer’s specifications•• On-line model data sheet with complete change historyOn-line model data sheet with complete change history
Product Description
The full line of Synopsys modeling products, including SmartModel, Model-The full line of Synopsys modeling products, including SmartModel, Model-Source™, SourceModel™, and LM-Family™ hardware modeling systems Source™, SourceModel™, and LM-Family™ hardware modeling systems comprises the most comprehensive modeling solution set in the industry. comprises the most comprehensive modeling solution set in the industry. This combination of hardware and software model products offers a com-This combination of hardware and software model products offers a com-prehensive solution to the simulation model needs of the TMS320 board prehensive solution to the simulation model needs of the TMS320 board and system designer.and system designer.
The SmartModel Library provides cost-effective behavioral model cov-The SmartModel Library provides cost-effective behavioral model cov-erage for over 8,000 complex standard devices including an extensive erage for over 8,000 complex standard devices including an extensive selection of TMS320Cxx SmartModels. With SmartModels, there’s no need selection of TMS320Cxx SmartModels. With SmartModels, there’s no need to manually write vectors or become an expert on the complex standard to manually write vectors or become an expert on the complex standard devices in your design. The models provide the knowledge and expertise. devices in your design. The models provide the knowledge and expertise. Every SmartModel includes advanced features such as full pin-to-pin tim-Every SmartModel includes advanced features such as full pin-to-pin tim-ing support, built-in timing checks against manufacturer’s specifications: ing support, built-in timing checks against manufacturer’s specifications: setup, hold, pulse width, cycle time, frequency, and on-line model data setup, hold, pulse width, cycle time, frequency, and on-line model data sheet with complete change history.sheet with complete change history.
3-453
Synopsys, Inc.
Device Models/Logic
Product Name: ModelSource, LM-Family Full-Functional Hardware ModelsPlatforms Supported: DEC, HP, IBM RS/6000, NEC, SunDevices Supported: TMS320C1x, TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Features and Benefits
•• Fully-functional, accurate simulation modelsFully-functional, accurate simulation models•• High performanceHigh performance•• Advanced modeling capabilites including PLL modelingAdvanced modeling capabilites including PLL modeling
Product Description
Synopsys hardware models use the actual silicon device as part of the Synopsys hardware models use the actual silicon device as part of the model to provide fully-functional, accurate simulation models for devices model to provide fully-functional, accurate simulation models for devices such as the TMS320Cxx series.such as the TMS320Cxx series.
These models are available for use with our industry-standard LM-fam-These models are available for use with our industry-standard LM-fam-ily hardware modeling servers and our affordable ModelSource 3000 series. ily hardware modeling servers and our affordable ModelSource 3000 series. Both the LM-family and the ModelSource product lines provide universal Both the LM-family and the ModelSource product lines provide universal modeling capabilities. Over 40 commercial simulators are supported.modeling capabilities. Over 40 commercial simulators are supported.
The ModelSource 3000 series extends hardware modeling technology The ModelSource 3000 series extends hardware modeling technology by offering modeling capabilities in an affordable, desktop package. The by offering modeling capabilities in an affordable, desktop package. The ModelSource 3000 series consists of the high-performance MS-3400, and ModelSource 3000 series consists of the high-performance MS-3400, and the lower-cost MS-3200 which delivers LM-family equivalent modeling the lower-cost MS-3200 which delivers LM-family equivalent modeling technology in a modular package.technology in a modular package.
3-454
Synopsys, Inc.
Simulators
Product Name: COSSAP DSP Design ToolsPlatforms Supported: Sun, HP, IBM RS/6000 (all UNIX)Devices Supported: TMS320C5x, TMS320C54x
Features and Benefits
•• Over 900 library models to build signal-processing systemsOver 900 library models to build signal-processing systems•• Application-specific wireless, image, speech-coding modelsApplication-specific wireless, image, speech-coding models•• Hardware implementation path through VHDL/Verilog code generationHardware implementation path through VHDL/Verilog code generation•• Software implementation through DSP code generationSoftware implementation through DSP code generation•• Hardware/Software verification using HDL simulators and instruction-Hardware/Software verification using HDL simulators and instruction-
set simulatorsset simulators
Product Description
COSSAP is a complete digital signal processing(DSP) design tool suite that COSSAP is a complete digital signal processing(DSP) design tool suite that is used by designers of DSP systems to create, explore, and test algorithms, is used by designers of DSP systems to create, explore, and test algorithms, architectures, and their implementations for a variety of DSP applications architectures, and their implementations for a variety of DSP applications such as speech coding, wireless transmission, data- and voice-band modem such as speech coding, wireless transmission, data- and voice-band modem design, data storage, and image processing.design, data storage, and image processing.
These algorithms are comprehensively specified by algorithm develop-These algorithms are comprehensively specified by algorithm develop-ers using the COSSAP block-diagram editor. These block diagrams are used ers using the COSSAP block-diagram editor. These block diagrams are used as input specifications for simulation and implementation. Extensive as input specifications for simulation and implementation. Extensive parameterized simulations are performed using the stream-driven simula-parameterized simulations are performed using the stream-driven simula-tor, which is a dataflow simulator. These features are used by algorithm tor, which is a dataflow simulator. These features are used by algorithm developers to verify the performance and behavior of the algorithm(s).developers to verify the performance and behavior of the algorithm(s).
Implementation on programmable DSPs is done by DSP system and Implementation on programmable DSPs is done by DSP system and firmware designers in COSSAP through DSP assembly-code generation, firmware designers in COSSAP through DSP assembly-code generation, where C/assembly code is generated for a target processor. Implementation where C/assembly code is generated for a target processor. Implementation onto hardwired DSP architectures is done by designers first exploring the onto hardwired DSP architectures is done by designers first exploring the architecture space, and taking the optimum architecture to gates. This is architecture space, and taking the optimum architecture to gates. This is done in COSSAP via behavioral and RTL HDL code generation, and then done in COSSAP via behavioral and RTL HDL code generation, and then using Synopsys’ Behavioral Compiler for architectural exploration, and using Synopsys’ Behavioral Compiler for architectural exploration, and Design Compiler for logic synthesis.Design Compiler for logic synthesis.
Verification of these implementations is done at the system level by Verification of these implementations is done at the system level by DSP system and hardware designers through co-simulation of the imple-DSP system and hardware designers through co-simulation of the imple-mentation-specific representation (DSP assembly code or HDL).mentation-specific representation (DSP assembly code or HDL).
3-455
SYNTECHMelle N. HuelinAREP CenterTraverse des BrucsSophia Antipolis06560 ValbonneFrance+ (33) 93 65 28 66Fax: + (33) 93 65 22 93e-mail: [email protected]
Company Background SYNTECH, based at Sophia Antipolis, has developed high-quality DSP hardware and SYNTECH, based at Sophia Antipolis, has developed high-quality DSP hardware and software products for applications in the following areas: multimedia, medical imagery, software products for applications in the following areas: multimedia, medical imagery, ultrasound, pursuit radar, filtering, geological radar, optical character recognition, ultrasound, pursuit radar, filtering, geological radar, optical character recognition, meteorological image processing, security, robotics, instrumentation, process control, meteorological image processing, security, robotics, instrumentation, process control, scientific calculations, signal recognition, and synthesis.scientific calculations, signal recognition, and synthesis.
Development Hardware/Plug-In ISA Board
Product Name: DIVA�SPlatforms Supported: PC (Windows 3.1, 95, NT)Devices Supported: TMS320C32
Features and Benefits
•• High-technology DSP, up to 60 MHz-at low costHigh-technology DSP, up to 60 MHz-at low cost•• On-board acquisition (A/V), interchangable daughter boardOn-board acquisition (A/V), interchangable daughter board•• Triple-access data memory (SRAM zero-wait-state) up to 2 MBytesTriple-access data memory (SRAM zero-wait-state) up to 2 MBytes•• Highly-integrated short AT boardHighly-integrated short AT board•• Integration of the multitasking kernel VIRTUOSOIntegration of the multitasking kernel VIRTUOSO
Product Description
DIVA’S is a PC-AT (ISA) compatible mini-board based on TI’s TMS320C31, DIVA’S is a PC-AT (ISA) compatible mini-board based on TI’s TMS320C31, 32-bit floating-point DSP, and is composed of a mother board and a daugh-32-bit floating-point DSP, and is composed of a mother board and a daugh-ter-acquisition board.ter-acquisition board.
Central to the board’s architecture, the LCA (XILINX) circuit, by con-Central to the board’s architecture, the LCA (XILINX) circuit, by con-trolling the triple-access memory, the local bus, and the ISA bus, makes trolling the triple-access memory, the local bus, and the ISA bus, makes DIVA’S highly flexible.DIVA’S highly flexible.
In addition to the triple-access SRAM memory, DIVA’S also possesses a In addition to the triple-access SRAM memory, DIVA’S also possesses a local DSP memory of 512 kbyte (zero-wait-state) and is equipped with a TI local DSP memory of 512 kbyte (zero-wait-state) and is equipped with a TI standard emulator connector.standard emulator connector.
DIVA’S application daughter acquisition board has a multiplex six-DIVA’S application daughter acquisition board has a multiplex six-channel capacity for both audio (14 bits, 1–100 kHz) and video (PAL/channel capacity for both audio (14 bits, 1–100 kHz) and video (PAL/NTSC, color, and monochrome), as well as an analogic audio output (4W).NTSC, color, and monochrome), as well as an analogic audio output (4W).
3-456
SYNTECH
Development Hardware/Multiprocessor Board
Product Name: DUOPlatforms Supported: PC (Windows 3.1, 95, NT)
Devices Supported: TMS320C44
Features and Benefits
•• 2 2 × TMS320C44 DSP on the same short PCI 32-bit board TMS320C44 DSP on the same short PCI 32-bit board•• DUO Interlinkage option allowing four-DSP architecture DUO Interlinkage option allowing four-DSP architecture •• Integration of the multitasking kernel VIRTUOSOIntegration of the multitasking kernel VIRTUOSO•• Dynamic Linker Processor allowing multiple architecturesDynamic Linker Processor allowing multiple architectures•• MPEG-1 coder/decoder application daughter boardMPEG-1 coder/decoder application daughter board
Product Description
DUO is a PCI 32-bit short board, consisting of a mother board: two DSP DUO is a PCI 32-bit short board, consisting of a mother board: two DSP ’C44s, memory, PCI interface, and a daughter board: audio/video acquisi-’C44s, memory, PCI interface, and a daughter board: audio/video acquisi-tion and video coding/decoding MPEG-1 (chip C-Cube). A specialized tion and video coding/decoding MPEG-1 (chip C-Cube). A specialized Dynamic Linker Processor (DLP) consisting of programmable logic arrays, Dynamic Linker Processor (DLP) consisting of programmable logic arrays, handles and configures supplementary inter-DSP connections. It can be handles and configures supplementary inter-DSP connections. It can be easily user programmed by simply modifying a configuration description easily user programmed by simply modifying a configuration description file whose semantics describe the desired board topology. Each DSP has its file whose semantics describe the desired board topology. Each DSP has its own local memory (up to 2 MBytes), but can also access a DRAM bank (8–own local memory (up to 2 MBytes), but can also access a DRAM bank (8–16 MBytes) and the PCI bus via the DLP. As well as audio and video acqui-16 MBytes) and the PCI bus via the DLP. As well as audio and video acqui-sition (four multiplexed channels), the daughter board allows encoding/sition (four multiplexed channels), the daughter board allows encoding/decoding of MPEG sequences so that DSPs are free for other processing decoding of MPEG sequences so that DSPs are free for other processing fonctions (audio, tracking, etc...). The use of both DLP and the VIRTUOSO fonctions (audio, tracking, etc...). The use of both DLP and the VIRTUOSO real-time kernel enables parallel processing whilst remaining highly flexi-real-time kernel enables parallel processing whilst remaining highly flexi-ble.ble.
3-457
SYNTECH
Development Hardware/PCMCIA Board
Product Name: EXPORTPlatforms Supported: PC (Windows 3.1, 95, NT)
Devices Supported: TMS320C32
Features and Benefits
•• PCMCIA board, type IIIPCMCIA board, type III•• Low-cost TMS320C32, up to 60 MHzLow-cost TMS320C32, up to 60 MHz•• On-board acquisition (audio/video)On-board acquisition (audio/video)•• Integration of the multitasking kernel VIRTUOSOIntegration of the multitasking kernel VIRTUOSO
Product Description
EXPORT is a PCMCIA type-III board with a 32-bit floating-point DSP ’C32.EXPORT is a PCMCIA type-III board with a 32-bit floating-point DSP ’C32.EXPORT exists in numerous versions:EXPORT exists in numerous versions:
•• Audio:Audio: On-board acquisition and compression of up to two audio chan- On-board acquisition and compression of up to two audio chan-nels (LD-CELP, G.728)nels (LD-CELP, G.728)
•• Video: Video: Two-channel acquisition (PAL/NTSC) and image compression Two-channel acquisition (PAL/NTSC) and image compression (JPEG)(JPEG)
•• Modulo:Modulo: On-board access to the Modulo (Eonic) library, enabling On-board access to the Modulo (Eonic) library, enabling highly-optimized scientific calculations for DSP (vectors, matrices, highly-optimized scientific calculations for DSP (vectors, matrices, FFT, etc...)FFT, etc...)Under development:Under development:
•• Signal acquisition module, up to 100 MHzSignal acquisition module, up to 100 MHz•• Combined audio/video module for both acquisition and compression Combined audio/video module for both acquisition and compression
functionsfunctions
3-458
Tartan, Inc.300 Oxford DriveMonroeville, PA 15146-2346(412) 856-3600(800) 856-5255Fax: (412) 856-3636e-mail: [email protected]: http://www.tartan.com
Company Background Tartan, Inc. develops, markets, and supports highly-optimizing C, C++, and Ada cross-Tartan, Inc. develops, markets, and supports highly-optimizing C, C++, and Ada cross-compilation systems and related software tools, including debuggers, assemblers, profil-compilation systems and related software tools, including debuggers, assemblers, profil-ers, and math libraries, for developers of real-time, embedded applications, particularly ers, and math libraries, for developers of real-time, embedded applications, particularly for DSPs. Tartan has pioneered the development of production-quality C++ and Ada for DSPs. Tartan has pioneered the development of production-quality C++ and Ada compilers for DSPs.compilers for DSPs.
High-Level Language Compilers: Ada
Product Name: Tartan Ada Development Systemsfor Texas Instruments TMS320C3x and �C40 DSPs
Platforms Supported: VAX/VMS, SunDevices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Small, fast application code for embedded systemsSmall, fast application code for embedded systems•• Modular run-times and selective linkingModular run-times and selective linking•• Ada 95 language features for real-time developmentAda 95 language features for real-time development•• Convenient, productive debugging environmentConvenient, productive debugging environment•• Program analysis toolsProgram analysis tools
Product Description
The Tartan Ada ’C3x and ’C4x Development Systems combine a highly The Tartan Ada ’C3x and ’C4x Development Systems combine a highly optimizing compiler, modular run-times and selective linking to produce optimizing compiler, modular run-times and selective linking to produce the small, fast code needed for real-time embedded applications. Applica-the small, fast code needed for real-time embedded applications. Applica-tion code execution speed and compactness are enhanced by DSP-specific tion code execution speed and compactness are enhanced by DSP-specific optimizations such as repeat single and repeat block instruction, auto-optimizations such as repeat single and repeat block instruction, auto-incrementing, delayed branches, and 24-bit multiply and built-in faster mathincrementing, delayed branches, and 24-bit multiply and built-in faster math computations including complex arithmetic and a double-precision float type.computations including complex arithmetic and a double-precision float type.
Version 5.1 offers Ada 95 features including protected types, hierarchi-Version 5.1 offers Ada 95 features including protected types, hierarchi-cal libraries, priority queuing, and a full Ada 95 parser. Tartan’s AdaScope cal libraries, priority queuing, and a full Ada 95 parser. Tartan’s AdaScope debugger provides powerful source-and machine-level debugging in a win-debugger provides powerful source-and machine-level debugging in a win-dow-based, menu-driven, customizable environment. The development dow-based, menu-driven, customizable environment. The development system includes the validated cross compiler, Ada librarian, run-time sys-system includes the validated cross compiler, Ada librarian, run-time sys-tem, linker, AdaScope debugger, packages to access DSP hardware fea-tem, linker, AdaScope debugger, packages to access DSP hardware fea-tures such as circular and bit-reversed addressing, math packages, static tures such as circular and bit-reversed addressing, math packages, static analyzer and utilities. An optional profiler, assembler, simulator, multi-pro-analyzer and utilities. An optional profiler, assembler, simulator, multi-pro-cessor debugger, and SPOX-DSP interface are available.cessor debugger, and SPOX-DSP interface are available.
3-459
Tartan, Inc.
High-Level Language Compilers: C++
Product Name: Tartan Development Systems for C/C++Platforms Supported: PC, Sun
Devices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Small, fast application code for embedded systemsSmall, fast application code for embedded systems•• Facilitates an easy transition from C to C++Facilitates an easy transition from C to C++•• Modular run-times and selective linkingModular run-times and selective linking•• Convenient, productive debugging environmentConvenient, productive debugging environment•• Built-in high-performance math functionsBuilt-in high-performance math functions
Product Description
Tartan development systems for C++ combine a highly optimizing com-Tartan development systems for C++ combine a highly optimizing com-piler, modular run-times and selective linking to produce the small, fast piler, modular run-times and selective linking to produce the small, fast code needed for real-time embedded applications. The product allows code needed for real-time embedded applications. The product allows development in C, C++, or a combination, facilitating an easy transition development in C, C++, or a combination, facilitating an easy transition from C to C++.from C to C++.
Application code execution speed and compactness are enhanced by Application code execution speed and compactness are enhanced by DSP-specific optimizations and built-in access to DSP hardware features DSP-specific optimizations and built-in access to DSP hardware features such as circular and bit-reversed addressing. Tartan Development Systems such as circular and bit-reversed addressing. Tartan Development Systems for C++ include a highly optimizing compiler, run-time library, flexible for C++ include a highly optimizing compiler, run-time library, flexible linker, the Tartan FasTar library of high-performance math functions, the linker, the Tartan FasTar library of high-performance math functions, the Tartan FloTar double-precision DSP floating-point math library, and utili-Tartan FloTar double-precision DSP floating-point math library, and utili-ties.ties.
Debugging tools for C++ are packaged separately. The package Debugging tools for C++ are packaged separately. The package includes a source- and machine-level symbolic debugger that enhances the includes a source- and machine-level symbolic debugger that enhances the compile-debug-test cycle by patching prototype changes before recompil-compile-debug-test cycle by patching prototype changes before recompil-ing. The debugger offers a window-based, menu-driven, customizable envi-ing. The debugger offers a window-based, menu-driven, customizable envi-ronment. The debugging tools package can also include a simulator. ronment. The debugging tools package can also include a simulator.
3-460
Tartan, Inc.
High-Level Language Compilers: C
Product Name: Tartan Development Systems for CPlatforms Supported: PC, Sun
Devices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Small, fast application code for embedded systemsSmall, fast application code for embedded systems•• Low cost alternative for developers not using C++Low cost alternative for developers not using C++•• Modular run-times and selective linkingModular run-times and selective linking•• Convenient, productive debugging environmentConvenient, productive debugging environment•• Built-in high-performance math functionsBuilt-in high-performance math functions
Product Description
Tartan development systems for C combine a highly optimizing compiler, Tartan development systems for C combine a highly optimizing compiler, modular run-times and selective linking to produce the small, fast code modular run-times and selective linking to produce the small, fast code needed for real-time embedded applications. The product provides a cost-needed for real-time embedded applications. The product provides a cost-effective solution for DSP developers using C source code and not planning effective solution for DSP developers using C source code and not planning to move to C++.to move to C++.
Application code execution speed and compactness are enhanced by Application code execution speed and compactness are enhanced by DSP-specific optimizations and built-in access to DSP hardware features DSP-specific optimizations and built-in access to DSP hardware features such as circular and bit-reversed addressing. Tartan development systems such as circular and bit-reversed addressing. Tartan development systems for C include a highly optimizing compiler, run-time library, flexible linker, for C include a highly optimizing compiler, run-time library, flexible linker, the Tartan FasTar library of high-performance math functions, and utilities.the Tartan FasTar library of high-performance math functions, and utilities.
Debugging tools for C are packaged separately. The package includes a Debugging tools for C are packaged separately. The package includes a source- and machine-level symbolic debugger that enhances the compile-source- and machine-level symbolic debugger that enhances the compile-debug-test cycle by patching prototype changes before recompiling. The debug-test cycle by patching prototype changes before recompiling. The debugger offers a window-based, menu-driven, customizable environment. debugger offers a window-based, menu-driven, customizable environment. The debugging tools package can also include a simulator.The debugging tools package can also include a simulator.
3-461
Tartan, Inc.
Application Software: Mathematics Libraries
Product Name: FasTar, FloTar, VecTar, and SigTar Math LibrariesPlatforms Supported: PC, Sun
Devices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Highly optimized to ’C3x and ’C4x processor architectureHighly optimized to ’C3x and ’C4x processor architecture•• Advantages range from speed and compactness to precision and sim-Advantages range from speed and compactness to precision and sim-
plicityplicity•• Lets the user focus on the application, not the mathLets the user focus on the application, not the math•• Called as simply as any standard C run-time functionCalled as simply as any standard C run-time function•• No complex installation or linking proceduresNo complex installation or linking procedures
Product Description
FasTar is an extensive library of elementary, transcendental, and trigono-FasTar is an extensive library of elementary, transcendental, and trigono-metric functions that significantly improve execution times. FasTar is metric functions that significantly improve execution times. FasTar is included in the Tartan C and C++ development systems.included in the Tartan C and C++ development systems.
FloTar provides 16 digits of precision rather than the six digits avail-FloTar provides 16 digits of precision rather than the six digits avail-able on the hardware; included in Tartan C++ development systems.able on the hardware; included in Tartan C++ development systems.
VecTar is a collection of over 230 user-callable routines that perform a VecTar is a collection of over 230 user-callable routines that perform a full range of both real and complex vector and matrix manipulations.full range of both real and complex vector and matrix manipulations.
SigTar is an optimized digital signal processing library of routines that SigTar is an optimized digital signal processing library of routines that perform essential signal and image processing functions.perform essential signal and image processing functions.
Tartan math libraries are fully compatible with Tartan development Tartan math libraries are fully compatible with Tartan development systems for C and C++, the Texas Instruments C compiler, and all ’C3x and systems for C and C++, the Texas Instruments C compiler, and all ’C3x and ’C4x hardware boards. No royalty fee is charged for unlimited use in target ’C4x hardware boards. No royalty fee is charged for unlimited use in target applications.applications.
3-462
Tasking Software Italia, S.r.l.Via Napo Torriani29 - 20124 MilanoItaly+39 (2) 6698 2207Fax: +39 (2) 6698 2189e-mail: [email protected] support_it@[email protected]
Company Background Founded in 1988, Tasking Software Italia (TSI) is the Italian subsidiary of BSO/TASK-Founded in 1988, Tasking Software Italia (TSI) is the Italian subsidiary of BSO/TASK-ING international group leader in developing toolchain (C compiler, Assembler, Linker, ING international group leader in developing toolchain (C compiler, Assembler, Linker, Debuggers, ROM Monitors) for 8-/16-bit microcontrollers, RISC processors, and DSP Debuggers, ROM Monitors) for 8-/16-bit microcontrollers, RISC processors, and DSP processors.processors.
In Italy, TSI is involved in the development, testing, debugging, on microprocessor-In Italy, TSI is involved in the development, testing, debugging, on microprocessor-based products on the embedded market distributing products like C cross compiler based products on the embedded market distributing products like C cross compiler (BSO/TASKING, Intermetrics, Microtec, Cosmic, Byte Craft), HLL Debuggers (BSO/(BSO/TASKING, Intermetrics, Microtec, Cosmic, Byte Craft), HLL Debuggers (BSO/TASKING, Intermetrics, Microtec, Cosmic, Byte Craft), Real-Time Operating Systems TASKING, Intermetrics, Microtec, Cosmic, Byte Craft), Real-Time Operating Systems (RTXC by E.S.P, NUCLEUS by A.T.I., PXROS by HighTec EDV), Emulators (Signum (RTXC by E.S.P, NUCLEUS by A.T.I., PXROS by HighTec EDV), Emulators (Signum Systems, EST, iSYSTEM, Periscope Company, Dr. Krohn & Stiller, Advanced Trans-Systems, EST, iSYSTEM, Periscope Company, Dr. Krohn & Stiller, Advanced Trans-data), Simulators (ChipTools), Logic Analyzer (Tektronix) and special products data), Simulators (ChipTools), Logic Analyzer (Tektronix) and special products (Pacific Softworks, General Software Inc., Annabooks).(Pacific Softworks, General Software Inc., Annabooks).
Development Hardware/Emulator
Product Name: USP-10 for TMS320C10/�C15/�C16/�C17USP-25 for TMS32OC25/�C26
Platforms Supported: PCDevices Supported: USP-10: TMS320C10/�C15/�C16/�C17, USP-25: TMS320C25/�C26
Features and Benefits
•• On-the-fly access to program and data memoriesOn-the-fly access to program and data memories•• On-the-fly trace buffer viewing during program execution On-the-fly trace buffer viewing during program execution
(32k frame (32k frame × 80 bits) with time stamp 80 bits) with time stamp•• Eight-level hardware-break sequencerEight-level hardware-break sequencer•• Unlimited software breakpointsUnlimited software breakpoints•• Source-level language debuggerSource-level language debugger
Product Description
These are In-Circuit Emulators designed to plug directly into the DSP These are In-Circuit Emulators designed to plug directly into the DSP socket. The main innovation of this product is the dual-ported RAM archi-socket. The main innovation of this product is the dual-ported RAM archi-tecture with a double bus, which allows the user to read and write memory tecture with a double bus, which allows the user to read and write memory in real-time while the processor is running and to execute an on-the-fly in real-time while the processor is running and to execute an on-the-fly real-time trace with a 32k frame real-time trace with a 32k frame × 80-bits depth. 80-bits depth.
3-463
Tasking Software Italia, S.r.l.
Operating System
Product Name: RTXCPlatforms Supported: PCDevices Supported: TMS320C16, TMS320C3x
Features and Benefits
•• Multitasking with pre-emptive, round-robin, and time-sliced task Multitasking with pre-emptive, round-robin, and time-sliced task schedulingscheduling
•• Support for static- and dynamically-created tasks changeable task pri-Support for static- and dynamically-created tasks changeable task pri-orityority
•• Fixed and dynamically-changeable task prioritiesFixed and dynamically-changeable task priorities•• Static and dynamic memory partitionsStatic and dynamic memory partitions•• Royalty free with source code includedRoyalty free with source code included
Product Description
Flexible, field-proven, multitasking real-time kernel, it manages tasks and Flexible, field-proven, multitasking real-time kernel, it manages tasks and time, synchronous with events and permits transferal of data between time, synchronous with events and permits transferal of data between tasks.tasks.
3-464
Tasking Software Italia, S.r.l.
Operating System
Product Name: NucleusPlatforms Supported: PCDevices Supported: TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x
Features and Benefits
•• Multitasking with pre-emptive, round-robin, and time-sliced task Multitasking with pre-emptive, round-robin, and time-sliced task schedulingscheduling
•• Support for static- and dynamically-created tasks changeable task pri-Support for static- and dynamically-created tasks changeable task pri-orityority
•• Fixed and dynamically-changeable task prioritiesFixed and dynamically-changeable task priorities•• Static and dynamic memory partitionsStatic and dynamic memory partitions•• Royalty free with source code includedRoyalty free with source code included
Product Description
Multitasking executive designed for real-time embedded applications; Multitasking executive designed for real-time embedded applications; Nucleus provides facilities to easily manage the task communications and Nucleus provides facilities to easily manage the task communications and synchronization, system resources, pre-defined memory partitions, synchronization, system resources, pre-defined memory partitions, dynamic-length memory, system debug support, and performance analysis.dynamic-length memory, system debug support, and performance analysis.
3-465
Technology 80 Inc.658 Mendelssohn Avenue NorthMinneapolis, MN 55427(800) 545-2980(612) 542-9545Fax: 612/542-9785e-mail: [email protected]: www.industry.net/tech80/
Company Background Technology 80 Inc. is a leading provider of board-level encoder interfaces and servo and Technology 80 Inc. is a leading provider of board-level encoder interfaces and servo and step-motion controllers to medical, packaging, semiconductor, and other industries. step-motion controllers to medical, packaging, semiconductor, and other industries. Tech 80’s products feature open-architecture designs for the IP, PC/104, PC/ISA, SBX, Tech 80’s products feature open-architecture designs for the IP, PC/104, PC/ISA, SBX, STD, and VME systems and carry non-proprietary software libraries that support C, STD, and VME systems and carry non-proprietary software libraries that support C, C++, Basic, Pascal, and Windows DLLs. C++, Basic, Pascal, and Windows DLLs.
Development Hardware/Motor Control
Product Name: Models 50A and 51A Servo Motor ControllersPlatforms Supported: IP, PC, VMEDevices Supported: TMS320C14
Features and Benefits
•• 1–4 axes of servo motion control (1–2 axes for Model 51A)1–4 axes of servo motion control (1–2 axes for Model 51A)•• Contoured, ‘S’-curve and trapezoidal-velocity profile modesContoured, ‘S’-curve and trapezoidal-velocity profile modes•• Coordinated or independent motion between axesCoordinated or independent motion between axes•• Electronic-gearing capabilitiesElectronic-gearing capabilities•• Brushless motor commutation (Model 51A only)Brushless motor commutation (Model 51A only)
Product Description
This double-wide IP module provides up to four axes of servo-motion con-This double-wide IP module provides up to four axes of servo-motion con-trol. With its TI320 DSP to handle algorithms for all four axes, the 50A and trol. With its TI320 DSP to handle algorithms for all four axes, the 50A and 51A perform the intensive computational tasks required for high-perfor-51A perform the intensive computational tasks required for high-perfor-mance digital velocity, torque, and position control. The board can control mance digital velocity, torque, and position control. The board can control servos simultaneously or independently.servos simultaneously or independently.
Plugging directly into any industry-standard IP carrier board and other Plugging directly into any industry-standard IP carrier board and other IP modules, the Models 50A and 51A offer a powerful yet cost-effective IP modules, the Models 50A and 51A offer a powerful yet cost-effective solution to complex motion-control applications.solution to complex motion-control applications.
3-466
Technology 80 Inc.
Development Hardware/Motor Control
Product Name: Models 4350A and 4351A STD Servo Motor ControllersPlatforms Supported: STD
Devices Supported: TMS320C14
Features and Benefits
•• 1–4 axes of servo motion control (1–2 axes for Model 4351A)1–4 axes of servo motion control (1–2 axes for Model 4351A)•• Contoured, ‘S’-curve and trapezoidal-velocity profile modesContoured, ‘S’-curve and trapezoidal-velocity profile modes•• Coordinated or independent motion between axes and backplanesCoordinated or independent motion between axes and backplanes•• Electronic-gearing capabilitiesElectronic-gearing capabilities•• Brushless-motor commutation (Model 4351A only)Brushless-motor commutation (Model 4351A only)
Product Description
The Model 4350A and 4351A bring DSP-based technology to STD/STD32 The Model 4350A and 4351A bring DSP-based technology to STD/STD32 motion-control applications. The motion control can be independent or motion-control applications. The motion control can be independent or coordinated between each axis and through a series of 4350As or 4351As coordinated between each axis and through a series of 4350As or 4351As that share a backplane.that share a backplane.
Using its TMS320C14 DSP, the 4350A performs intensive computa-Using its TMS320C14 DSP, the 4350A performs intensive computa-tional tasks required for high-performance digital velocity, torque, and tional tasks required for high-performance digital velocity, torque, and position control.position control.
3-467
Technology 80 Inc.
Development Hardware/Motor Control
Product Name: Models 5650A and 5651A PC Servo Motor ControllersPlatforms Supported: PC, PC/104
Devices Supported: TMS320C14
Features and Benefits
•• 1–4 axes of servo motion control (1–2 axes for Model 5651A)1–4 axes of servo motion control (1–2 axes for Model 5651A)•• PC/104 interfacePC/104 interface•• Contoured, ‘S’-curve and trapezoidal-velocity profile modesContoured, ‘S’-curve and trapezoidal-velocity profile modes•• Coordinated or independent motion between axes and backplanesCoordinated or independent motion between axes and backplanes•• Brushless-motor commutation (Model 5651A only)Brushless-motor commutation (Model 5651A only)
Product Description
The Model 5650A and 5651A are the designer’s choice for performance and The Model 5650A and 5651A are the designer’s choice for performance and value in multi-axis servo-motion control in the PC bus. Its half-sized board value in multi-axis servo-motion control in the PC bus. Its half-sized board design makes it ideally suited for OEM applications such as embedded design makes it ideally suited for OEM applications such as embedded machine control, packaging, and semiconductor processing.machine control, packaging, and semiconductor processing.
The 5650A and 5651A offer impressive processing power and versatil-The 5650A and 5651A offer impressive processing power and versatil-ity through its DSP chip set and PC/104 interface. Standalone applications ity through its DSP chip set and PC/104 interface. Standalone applications can take advantage of the board’s powerful, but compact design by simply can take advantage of the board’s powerful, but compact design by simply adding a PC/104 CPU expansion board.adding a PC/104 CPU expansion board.
3-468
TEIMA AudiotexFrancisco de Diego 3
28040 Madrid
Spain
+34 1 311-3878
Fax: +34 1 311 -2819
Company Background TEIMA Audiotex is a company specialized in algorithms and hardware/software tools for TEIMA Audiotex is a company specialized in algorithms and hardware/software tools for real-time digital signal processing. TEIMA boards, based on floating-point TI DSPs, are real-time digital signal processing. TEIMA boards, based on floating-point TI DSPs, are being applied in a broad range of applications: computer-telephony integration, profes-being applied in a broad range of applications: computer-telephony integration, profes-sional digital audio, image processing in telesurveillance, industrial control, etc. PDSoft, sional digital audio, image processing in telesurveillance, industrial control, etc. PDSoft, the software development environment of TEIMA, allows DSP engineers tackle the the software development environment of TEIMA, allows DSP engineers tackle the problem of debugging and integrating complex signal-processing algorithms running in problem of debugging and integrating complex signal-processing algorithms running in real-time.real-time.
Development Hardware/Plug-In ISA Module
Product Name: TEIMA21Platforms Supported: MS-DOS, Windows 3.1, Windows 95, Windows-NT, UNIX
Devices Supported: TMS320C31
Features and Benefits
•• Based on TMS320C31 running up to 50 MHzBased on TMS320C31 running up to 50 MHz•• Up to 640-KB SRAMUp to 640-KB SRAM•• Dual-port memory interfaces with the ISA busDual-port memory interfaces with the ISA bus•• Two analog subscriber loop ports, with RJ 11 connectorsTwo analog subscriber loop ports, with RJ 11 connectors•• Supported by PDSoft development environmentSupported by PDSoft development environment
Product Description
TEIMA21 is a PC-hosted board for CTI (Computer-Telephony Integration) TEIMA21 is a PC-hosted board for CTI (Computer-Telephony Integration) applications. The board is based on TMS320C31, 32-bit floating-point signal applications. The board is based on TMS320C31, 32-bit floating-point signal processors running at 40/50 MHz, and can be connected to 2 ASL (Analog processors running at 40/50 MHz, and can be connected to 2 ASL (Analog Subscriber Loops).Subscriber Loops).
Thanks to its computing power, complex CTI applications can be run Thanks to its computing power, complex CTI applications can be run on this board on both ASL ports simultaneously: voice recognition, text-to-on this board on both ASL ports simultaneously: voice recognition, text-to-speech conversion, call progress analysis, fax-on-demand, etc. The board speech conversion, call progress analysis, fax-on-demand, etc. The board has two banks of SRAM memory of 32-/128-kword and zero-wait states, so has two banks of SRAM memory of 32-/128-kword and zero-wait states, so the memory configurations are 32/64/128/160 kword. Besides, it has a dual-the memory configurations are 32/64/128/160 kword. Besides, it has a dual-port memory of 2 kwords and one-wait state to exchange information with port memory of 2 kwords and one-wait state to exchange information with the PC.the PC.
3-469
TEIMA Audiotex
Development Hardware/Plug-In ISA Module
Product Name: TA-MC3040Platforms Supported: MS-DOS; Windows 3.1, Windows 95, Windows-NT, UNIX
Devices Supported: TMS320C30, TMS320C40
Features and Benefits
•• Based on TMS320C30 running at 40 MHzBased on TMS320C30 running at 40 MHz•• 512-KB SRAM, up to 32-MB DRAM512-KB SRAM, up to 32-MB DRAM•• Dual-port memory interfaces with the ISA busDual-port memory interfaces with the ISA bus•• Three sockets for TIM-40 modulesThree sockets for TIM-40 modules•• Supported by PDSoft development environmentSupported by PDSoft development environment•• Flexible I/O through daughter boards: speech, audio, video, analog sub-Flexible I/O through daughter boards: speech, audio, video, analog sub-
scriber loops, SCbus, etc.scriber loops, SCbus, etc.
Product Description
TA-MC3040 is a high-performance board for real-time digital signal pro-TA-MC3040 is a high-performance board for real-time digital signal pro-cessing. It consists of a mother board for ISA buses with a TMS320C30 pro-cessing. It consists of a mother board for ISA buses with a TMS320C30 pro-cessor at 40 MHz. Up to three modules, TMS320C40 processors with a TIM-cessor at 40 MHz. Up to three modules, TMS320C40 processors with a TIM-40 format, can be added. A board can hold one ’C30 and three ’C40’s, with a 40 format, can be added. A board can hold one ’C30 and three ’C40’s, with a peak performance of 190 MFLOPS. A maximum of eight TA-MC3040 cards peak performance of 190 MFLOPS. A maximum of eight TA-MC3040 cards can be jointly connected in an architecture of three-dimensional cube type, can be jointly connected in an architecture of three-dimensional cube type, with cycles of three ’C40 processors in the vertexes, supplying up to 1520 with cycles of three ’C40 processors in the vertexes, supplying up to 1520 MFLOPS.MFLOPS.
The base board is equipped with one TMS320C30, 128 kwords of The base board is equipped with one TMS320C30, 128 kwords of SRAM, from 4 to 32 Mbytes of DRAM memory and 2 kwords of dual-port SRAM, from 4 to 32 Mbytes of DRAM memory and 2 kwords of dual-port memory for communication with the PC.memory for communication with the PC.
3-470
TEIMA Audiotex
Development Hardware/Plug-In ISA Module
Product Name: TA-C31Platforms Supported: MS-DOS; Windows 3.1, Windows 95, Windows-NT, UNIX
Devices Supported: TMS320C31
Features and Benefits
•• Based on TMS320C31 running up to 50 MHzBased on TMS320C31 running up to 50 MHz•• Up to 1 MB SRAMUp to 1 MB SRAM•• Dual-port memory interfaces with the ISA busDual-port memory interfaces with the ISA bus•• Stereo audio HIFI interfaceStereo audio HIFI interface•• Supported by PDSoft development environmentSupported by PDSoft development environment
Product Description
TA-C31 is a PC-hosted board for digital signal processing applications TA-C31 is a PC-hosted board for digital signal processing applications based on the TMS320C31, a 32-bit floating-point processor, running at 40/ based on the TMS320C31, a 32-bit floating-point processor, running at 40/ 50 MHz. The board has two banks of SRAM memory of 32/128 kword and 50 MHz. The board has two banks of SRAM memory of 32/128 kword and zero-wait states, so the memory configurations are 32/64/128/256 kword. zero-wait states, so the memory configurations are 32/64/128/256 kword. Besides, it has a dual-port memory of 2 kwords and one-wait state to Besides, it has a dual-port memory of 2 kwords and one-wait state to exchange information with the PC.exchange information with the PC.
The analog input/output is based on a CS4215 audio CODEC, made by The analog input/output is based on a CS4215 audio CODEC, made by Crystal Semiconductors, industrial “de facto” standard. The main features Crystal Semiconductors, industrial “de facto” standard. The main features of this audio interface are: two channels, sampling frequency up to 50 kHz of this audio interface are: two channels, sampling frequency up to 50 kHz (including, among others, the frequencies of 8, 10, 16, 32, and 44.1 KHz), (including, among others, the frequencies of 8, 10, 16, 32, and 44.1 KHz), 16-bits resolution and SNR better than 80 dB.16-bits resolution and SNR better than 80 dB.
3-471
Tektronix Inc.Howard Vollum Industrial ParkP.O. Box 500Beaverton, OR 97077(800) 426-2200 ask for code 483 www: http://www.tek.com
Company Background Tektronix Inc. is a portfolio of measurement, color printing, video, and networking busi-Tektronix Inc. is a portfolio of measurement, color printing, video, and networking busi-nesses dedicated to applying technology excellence to customer challenges. Tektronix nesses dedicated to applying technology excellence to customer challenges. Tektronix is headquartered in Wilsonville, Oregon and has operations in 23 countries outside the is headquartered in Wilsonville, Oregon and has operations in 23 countries outside the United States. Founded in 1946, the company had revenues of $1.5 billion in fiscal 1995.United States. Founded in 1946, the company had revenues of $1.5 billion in fiscal 1995.
Tektronix is a leading supplier of instruments for digital-systems development, with Tektronix is a leading supplier of instruments for digital-systems development, with a range of products designed to help engineers meet the productivity and time-to-mar-a range of products designed to help engineers meet the productivity and time-to-mar-ket challenges in today’s global markets.ket challenges in today’s global markets.
Logic Analyzers
Product Name: DAS® NT / DAS XP, TLA 510/520 Platforms Supported: PC, Sun, X-TerminalsDevices Supported: TMS320C3x, TMS320C4x
Features and Benefits
•• Real-time trace of embedded systemsReal-time trace of embedded systems•• Off-line analysis available with LA-OffLine™ softwareOff-line analysis available with LA-OffLine™ software•• High-level-language source code trace available with LA-Browser™ High-level-language source code trace available with LA-Browser™
softwaresoftware•• 100-MHz synchronous acquisition100-MHz synchronous acquisition•• Trace depths available to 2-M bus cyclesTrace depths available to 2-M bus cycles
Product Description
The DAS NT and DAS XP and TLA 500 series of logic-analyzer products The DAS NT and DAS XP and TLA 500 series of logic-analyzer products provide a simple connection to your target system for real-time monitoring provide a simple connection to your target system for real-time monitoring of instruction execution and event timing. Memory depths up to 2-M sam-of instruction execution and event timing. Memory depths up to 2-M sam-ples permit capturing both the symptom and cause of complex problems. ples permit capturing both the symptom and cause of complex problems. Trace data is analyzed to show actual execution and branch behavior and Trace data is analyzed to show actual execution and branch behavior and displayed in a variety of useful disassembly formats.displayed in a variety of useful disassembly formats.
Trace data can be viewed and analyzed locally or moved off-line and Trace data can be viewed and analyzed locally or moved off-line and viewed on a host computer (Sun or IBM-PC) using LA-OffLine software. viewed on a host computer (Sun or IBM-PC) using LA-OffLine software. This frees up both the acquisition system and the prototype for others to This frees up both the acquisition system and the prototype for others to use while data is analyzed. It also enables a large number of users to ana-use while data is analyzed. It also enables a large number of users to ana-lyze the data simultaneously when critical problems arise.lyze the data simultaneously when critical problems arise.
LA-Browser software (Sun and IBM-PC versions available) presents a LA-Browser software (Sun and IBM-PC versions available) presents a view of high-level-language source code in a window time-correlated to the view of high-level-language source code in a window time-correlated to the DAS/TLA or the LA-OffLine software window and uses the real-time trace DAS/TLA or the LA-OffLine software window and uses the real-time trace data to enable you to follow the actual execution of source code.data to enable you to follow the actual execution of source code.
3-472
Transtech Parallel Systems Corporation20 Thornwood Drive Transtech Parallel Systems LtdIthaca, NY 14850-1263 17-19 Manor CourtyardUSA Hughenden Avenue(607) 257 6502 High Wycombe, HP13 5RE UKFax: (607) 257 3980 +44(0)1494 474777email: [email protected] Fax: +44(0)1494 463686www: http://www.transtech.com email: [email protected]
www: http://www.transtech.com
Company Background Transtech Parallel Systems was founded in 1986 as a specialist supplier of parallel com-Transtech Parallel Systems was founded in 1986 as a specialist supplier of parallel com-puting and signal processing products. Today Transtech is a world leader in the applica-puting and signal processing products. Today Transtech is a world leader in the applica-tion of multiprocessor techniques to the signal processing and high-performance tion of multiprocessor techniques to the signal processing and high-performance computing needs of industrial, scientific research, and military systems users.computing needs of industrial, scientific research, and military systems users.
Transtech Parallel Systems designs and manufactures ’C4x-based parallel DSP Transtech Parallel Systems designs and manufactures ’C4x-based parallel DSP board-level products and software for OEM and end-user applications. Transtech also board-level products and software for OEM and end-user applications. Transtech also supplies turnkey systems and full-custom designs for OEMs.supplies turnkey systems and full-custom designs for OEMs.
Development Hardware/Plug-In Board/PCI Bus
Product Name: TDMB414Platforms Supported: DOS, WindowsDevices Supported: TMS320C40, TMS320C44
Features and Benefits
•• 1/2-size PCI card, rev 2.0 compliant, one TIM-40 site1/2-size PCI card, rev 2.0 compliant, one TIM-40 site•• ParaDICE JTAG debug masterParaDICE JTAG debug master•• Fast 32-bit FIFO-based PCI interfaceFast 32-bit FIFO-based PCI interface•• Optional high-performance shared-memory interfaceOptional high-performance shared-memory interface•• Plug & Play jumperless-interface configurationPlug & Play jumperless-interface configuration
Product Description
The TDMB414 is a half-size PCI card with a single TIM-40 slot. It offers PCI The TDMB414 is a half-size PCI card with a single TIM-40 slot. It offers PCI performance and plug-and-play benefits to ’C4x-based DSP systems devel-performance and plug-and-play benefits to ’C4x-based DSP systems devel-opers, and is an ideal way of exploiting the wide range of interface and data opers, and is an ideal way of exploiting the wide range of interface and data acquisition cards available for the PCI bus.acquisition cards available for the PCI bus.
The TDMB414 supports both a FIFO-based data streaming interface The TDMB414 supports both a FIFO-based data streaming interface and (optionally) a high-bandwidth dual-port memory interface via the glo-and (optionally) a high-bandwidth dual-port memory interface via the glo-bal memory connector on the TIM-40 site.bal memory connector on the TIM-40 site.
An XDS510-type JTAG master interface supports the Texas Instru-An XDS510-type JTAG master interface supports the Texas Instru-ments ’C4x debugger via Transtech’s ParaDICE intelligent JTAG intercon-ments ’C4x debugger via Transtech’s ParaDICE intelligent JTAG intercon-nect. The board is provided with Windows 3.1 and Windows 95 VxD drivers nect. The board is provided with Windows 3.1 and Windows 95 VxD drivers for binary register compatibility with TDMB412 and XDS510 boards.for binary register compatibility with TDMB412 and XDS510 boards.
3-473
Transtech Parallel Systems Corporation
Development Hardware/Plug-In ISA Board
Product Name: TDMB412Platforms Supported: DOS, WindowsDevices Supported: TMS320C40, TMS320C44
Features and Benefits
•• Four TIM-40 site PC/AT ISA carrier boardFour TIM-40 site PC/AT ISA carrier board•• ParaDICE JTAG debug masterParaDICE JTAG debug master•• Fast 16-bit FIFO-based ISA interfaceFast 16-bit FIFO-based ISA interface•• 12 comm ports available for configuration12 comm ports available for configuration
Product Description
The TDMB412 is a high-performance PC-hosted TIM-40 carrier board. Four The TDMB412 is a high-performance PC-hosted TIM-40 carrier board. Four sites are available for any combination of size one, two, or three TIM-40 sites are available for any combination of size one, two, or three TIM-40 ModulesModules..
To ensure maximum flexibility, 12 of the TMS320C40 communication To ensure maximum flexibility, 12 of the TMS320C40 communication ports are accessible allowing user-defined parallel topologies and intercon-ports are accessible allowing user-defined parallel topologies and intercon-nection of multiple motherboards in an industrial PC rack. One of the nection of multiple motherboards in an industrial PC rack. One of the comm ports is buffered and is available on the board end-plate.comm ports is buffered and is available on the board end-plate.
The TDMB412, when populated with four TDM441 dual-’C40 TIM-40s, The TDMB412, when populated with four TDM441 dual-’C40 TIM-40s, will provide up to 400 MFLOPS of DSP processing power. The host inter-will provide up to 400 MFLOPS of DSP processing power. The host inter-face uses a 16-bit FIFO-based architecture which gives fast communica-face uses a 16-bit FIFO-based architecture which gives fast communica-tions between the host and the ’C40 network.tions between the host and the ’C40 network.
The TDMB412 has a ParaDICE-compatible JTAG master on-board The TDMB412 has a ParaDICE-compatible JTAG master on-board which gives the functionality of the Texas Instruments XDS510 In-System which gives the functionality of the Texas Instruments XDS510 In-System Emulator. The JTAG master is controlled over the VMEbus. Transtech’s Emulator. The JTAG master is controlled over the VMEbus. Transtech’s ParaDICE system allows daisy-chaining of the JTAG ports of multiple ParaDICE system allows daisy-chaining of the JTAG ports of multiple boards. This simplifies the debugging of systems with multiple mother-boards. This simplifies the debugging of systems with multiple mother-boards by allowing a single JTAG master to access processors on other boards by allowing a single JTAG master to access processors on other boards in the chain.boards in the chain.
3-474
Transtech Parallel Systems Corporation
Development Hardware/Plug-In Board/VMEbus
Product Name: TDMB423Platforms Supported: Solaris, VxWorksDevices Supported: TMS320C40, TMS320C44
Features and Benefits
•• Three TIM-40 site 6U VME slaveThree TIM-40 site 6U VME slave•• Fast FIFO-based interfaceFast FIFO-based interface•• JTAG, reset, and config on P2JTAG, reset, and config on P2•• ParaDICE multi-board JTAG debug masterParaDICE multi-board JTAG debug master
Product Description
The TDMB423 is a 6U VME board designed to accept size 1, 2, or 3 TIM-40 The TDMB423 is a 6U VME board designed to accept size 1, 2, or 3 TIM-40 Modules, including the TDM435 image-processing module. When fully pop-Modules, including the TDM435 image-processing module. When fully pop-ulated with 50-MHz TDM441 dual-’C40 processing modules, up to 300 ulated with 50-MHz TDM441 dual-’C40 processing modules, up to 300 MFLOPS is available in a single VME slot.MFLOPS is available in a single VME slot.
The TDMB423 provides an interface between the VMEbus and commu-The TDMB423 provides an interface between the VMEbus and commu-nications port 3 of the TIM-40 at site 1. The VME interface supports three nications port 3 of the TIM-40 at site 1. The VME interface supports three types of VMEbus cycles, byte-wide D8(OE), D16, and long-word wide types of VMEbus cycles, byte-wide D8(OE), D16, and long-word wide (D32). For some applications, a simple polled interface may not provide (D32). For some applications, a simple polled interface may not provide sufficient performance, so the TDMB423 also supports variable-length sufficient performance, so the TDMB423 also supports variable-length burst transfers via a FIFO with a level register. Repeated polling is not burst transfers via a FIFO with a level register. Repeated polling is not required with this arrangement because the number of bytes left in the required with this arrangement because the number of bytes left in the FIFO is known, allowing the number of reads to be set exactly.FIFO is known, allowing the number of reads to be set exactly.
3-475
Transtech Parallel Systems Corporation
Development Hardware/Plug-In Board/VMEbus
Product Name: TDMB424Platforms Supported: Solaris, VxWorksDevices Supported: TMS320C40, TMS320C44
Features and Benefits
•• Two TIM-40 site 6U VME slaveTwo TIM-40 site 6U VME slave•• Two IndustryPack sites interfaced to Global BusTwo IndustryPack sites interfaced to Global Bus•• Fast FIFO-based interface Fast FIFO-based interface •• ParaDICE multi-board JTAG debug masterParaDICE multi-board JTAG debug master
Product Description
The TDMB424 is a 6U VME board designed to provide ’C40-based signal-The TDMB424 is a 6U VME board designed to provide ’C40-based signal-processing systems with flexible analog and digital I/O facilities using processing systems with flexible analog and digital I/O facilities using IndustryPack modules. The IndustryPack modular I/O system, developed IndustryPack modules. The IndustryPack modular I/O system, developed by GreenSpring Computers, is a recognized industry standard with over by GreenSpring Computers, is a recognized industry standard with over 100 different plug-in I/O modules available from different manufacturers. 100 different plug-in I/O modules available from different manufacturers. Two single IndustryPacks or one double IndustryPack can be fitted. The Two single IndustryPacks or one double IndustryPack can be fitted. The IndustryPacks are accessed by either or both TIM-40 sites as memory-IndustryPacks are accessed by either or both TIM-40 sites as memory-mapped peripherals on the Global Bus, allowing programmed and DMA mapped peripherals on the Global Bus, allowing programmed and DMA transfers. The TDMB424 is supplied with an IndustryPack Support Library, transfers. The TDMB424 is supplied with an IndustryPack Support Library, examples of two drivers (a memory IP and an A to D converter IP) and a examples of two drivers (a memory IP and an A to D converter IP) and a test program that supports reading and writing from any address in the IPs test program that supports reading and writing from any address in the IPs and the TDMB424 registers. The VME interface is similar to that of the and the TDMB424 registers. The VME interface is similar to that of the TDMB423.TDMB423.
3-476
Transtech Parallel Systems Corporation
Development Hardware/Plug-In Board/VMEbus
Product Name: TDMB428Platforms Supported: Solaris, VxWorksDevices Supported: TMS320C40, TMS320C44
Features and Benefits
•• Four TIM-40 site 6U VME slaveFour TIM-40 site 6U VME slave•• Also available without VME interfaceAlso available without VME interface•• Fast FIFO-based VME interface Fast FIFO-based VME interface •• JTAG, reset, config on P2JTAG, reset, config on P2
Product Description
The TDMB428 is a 6U VME board designed to accept four size 1 or two size The TDMB428 is a 6U VME board designed to accept four size 1 or two size 2 TIM-40 Modules. When fully populated with 50-MHz TDM441 dual-’C40 2 TIM-40 Modules. When fully populated with 50-MHz TDM441 dual-’C40 processing modules, up to 400 MFLOPS is available in a single VME slot.processing modules, up to 400 MFLOPS is available in a single VME slot.
The VME interface is similar to that of the TDMB423. A version of the The VME interface is similar to that of the TDMB423. A version of the board is available without the VME interface as TDMB428-NI.board is available without the VME interface as TDMB428-NI.
The TDMB428 operates as a ParaDICE slave, that is it allows the JTAG The TDMB428 operates as a ParaDICE slave, that is it allows the JTAG scan path to be routed through it, allowing any processor on the TDMB428 scan path to be routed through it, allowing any processor on the TDMB428 or other ParaDICE-equipped cards further down the ParaDICE chain to be or other ParaDICE-equipped cards further down the ParaDICE chain to be debugged from a single master (such as a TDMB423 or TDMB424) at the debugged from a single master (such as a TDMB423 or TDMB424) at the start of the chain. The TDMB428 is shipped with device-driver software to start of the chain. The TDMB428 is shipped with device-driver software to run under Solaris 1 and 2, full source code for the driver is supplied. run under Solaris 1 and 2, full source code for the driver is supplied.
3-477
Transtech Parallel Systems Corporation
Development Hardware/TIM-40 Module
Product Name: TDM430 Memory/Boot ModulePlatforms Supported: TIM-40Devices Supported: TMS320C40, TMS320C44
Features and Benefits
•• PCMCIA memory/boot TIM-40PCMCIA memory/boot TIM-40•• 1-MByte SRAM or 4-MBytes Flash ROM1-MByte SRAM or 4-MBytes Flash ROM•• Flash programming utility Flash programming utility •• Programs 5-V or 12-V Flash memory cardsPrograms 5-V or 12-V Flash memory cards
Product Description
The TDM430 is a Size 1 TIM-40 designed for non-volatile data storage and The TDM430 is a Size 1 TIM-40 designed for non-volatile data storage and boot program storage in TMS320C4x-processing networks. boot program storage in TMS320C4x-processing networks.
The TDM430 provides an interface ’C4x comm port to a PC card mem-The TDM430 provides an interface ’C4x comm port to a PC card mem-ory card with standard options of either 1 MByte of battery-backed SRAM ory card with standard options of either 1 MByte of battery-backed SRAM or 4 MBytes of Flash memory. The interface can be routed to either of or 4 MBytes of Flash memory. The interface can be routed to either of comm ports 0 or 3, and has two modes of operation: simple I/O and a boot comm ports 0 or 3, and has two modes of operation: simple I/O and a boot mode which outputs the contents of the memory down the selected comm mode which outputs the contents of the memory down the selected comm port after receiving a reset.port after receiving a reset.
A programming utility in both 3L Parallel C and PaCE is provided A programming utility in both 3L Parallel C and PaCE is provided which can be run on an adjacent processing TIM-40 to program memory on which can be run on an adjacent processing TIM-40 to program memory on the TDM430. Source code for the programming utility is supplied for use the TDM430. Source code for the programming utility is supplied for use with other operating environments such as Helios. with other operating environments such as Helios.
3-478
Transtech Parallel Systems Corporation
Development Hardware/TIM-40 Module
Product Name: TDM431 Dual-Channel Analog I/O ModulePlatforms Supported: TIM-40Devices Supported: TMS320C40, TMS320C44
Features and Benefits
•• Dual 12-bit 250-kHz ADCs and DACsDual 12-bit 250-kHz ADCs and DACs•• 4-kbytes FIFO per channel4-kbytes FIFO per channel•• 39–250-kHz internal sample clock39–250-kHz internal sample clock•• External sample clock inputExternal sample clock input
Product Description
The TDM431 dual-channel 12-bit analog input/output subsystem is con-The TDM431 dual-channel 12-bit analog input/output subsystem is con-structed on a size 1 TIM-40. It is capable of analog-to-digital and digital-to-structed on a size 1 TIM-40. It is capable of analog-to-digital and digital-to-analog conversion at sampling rates up to 250 kHz with FIFO buffering to analog conversion at sampling rates up to 250 kHz with FIFO buffering to two ’C4x comm ports.two ’C4x comm ports.
Data from comm port 4 or 5 is buffered by a 4-kbyte FIFO and is fed to Data from comm port 4 or 5 is buffered by a 4-kbyte FIFO and is fed to the two 12-bit digital-to-analog converters. The analog output is bipolar the two 12-bit digital-to-analog converters. The analog output is bipolar with a range of ±3 V. A selectable reconstruction filter on the DAC outputs with a range of ±3 V. A selectable reconstruction filter on the DAC outputs has a cut-off frequency of 125 kHz.has a cut-off frequency of 125 kHz.
The sampling rate is identical for all four channels and is software The sampling rate is identical for all four channels and is software adjustable from 39 to 250 kHz in 100-ns increments (3L Parallel C and adjustable from 39 to 250 kHz in 100-ns increments (3L Parallel C and PaCE routines are provided with source code for other environments), PaCE routines are provided with source code for other environments), alternatively an external TTL clock at any frequency from 0 up to 250 kHz alternatively an external TTL clock at any frequency from 0 up to 250 kHz may be connected to the external clock input.may be connected to the external clock input.
3-479
Transtech Parallel Systems Corporation
Development Hardware/TIM-40 Module
Product Name: TDM432 Fiber Optic LinkPlatforms Supported: TIM-40Devices Supported: TMS320C40, TMS320C44
Features and Benefits
•• ST optical connectors for low-cost fiber cablingST optical connectors for low-cost fiber cabling•• Transmits comm port, reset, and JTAGTransmits comm port, reset, and JTAG•• One bidirectional or two unidirctional comm portsOne bidirectional or two unidirctional comm ports•• High 17.5-Mbps data rateHigh 17.5-Mbps data rate
Product Description
The TDM432 is a size 1 module that provides either two independent undi-The TDM432 is a size 1 module that provides either two independent undi-rectional comm-port links or one bidirectional link over a high-speed fiber-rectional comm-port links or one bidirectional link over a high-speed fiber-optic interface. In addition, it allows a host to reset and debug a target sys-optic interface. In addition, it allows a host to reset and debug a target sys-tem by sending reset and JTAG signals over the same fiber link.tem by sending reset and JTAG signals over the same fiber link.
In normal use as a comm-port interface, the TDM432 allows a comm-In normal use as a comm-port interface, the TDM432 allows a comm-port bandwidth of 17.5 Mbps. When using the module to transfer JTAG port bandwidth of 17.5 Mbps. When using the module to transfer JTAG data as well, the JTAG signals steal approximately 5 Mbps of bandwidth—data as well, the JTAG signals steal approximately 5 Mbps of bandwidth—still leaving around 12-Mbps comm-port bandwidth.still leaving around 12-Mbps comm-port bandwidth.
3-480
Transtech Parallel Systems Corporation
Development Hardware/TIM-40 Module
Product Name: TDM435 Image-Processing ModulePlatforms Supported: TIM-40Devices Supported: TMS320C40
Features and Benefits
•• Programmable monochrome capture and color display Programmable monochrome capture and color display •• Two 1024 Two 1024 × 1024 1024 × 8-bit frame buffers 8-bit frame buffers•• 1024 1024 × 1024 1024 × 4-bit overlay plane 4-bit overlay plane•• 4-MBytes zero-wait-state local memory4-MBytes zero-wait-state local memory
Product Description
The TDM435 is a Size 3 TIM-40 Module incorporating a programmable res-The TDM435 is a Size 3 TIM-40 Module incorporating a programmable res-olution 8-bit monochrome framegrabber, TMS320C40 processor, and a olution 8-bit monochrome framegrabber, TMS320C40 processor, and a 1024 1024 × 1024 1024 × 8-bit RGB graphics display section with a 4-bit overlay plane. 8-bit RGB graphics display section with a 4-bit overlay plane.
Video from one of four selectable inputs is captured into one of two Video from one of four selectable inputs is captured into one of two 1k 1k × 1k blocks of VRAM even if display and ’C40 access is to the same 1k blocks of VRAM even if display and ’C40 access is to the same block. An advanced data path architecture allows the ’C40 access to one block. An advanced data path architecture allows the ’C40 access to one block of VRAM while the other is capturing. Block write, flash write, hard-block of VRAM while the other is capturing. Block write, flash write, hard-ware byte shifting for fast-byte-mode access, and persistent masked writes ware byte shifting for fast-byte-mode access, and persistent masked writes to VRAM are supported.to VRAM are supported.
An optional library, i40, provides over 130 hand-coded assembler An optional library, i40, provides over 130 hand-coded assembler image-processing and analysis functions. i40 routines can be called from image-processing and analysis functions. i40 routines can be called from programs running on a TDM435 or any Transtech-processing TIM-40. Fos-programs running on a TDM435 or any Transtech-processing TIM-40. Fos-ter Findlay’s C_Images, a very extensive C library of image processing and ter Findlay’s C_Images, a very extensive C library of image processing and analysis routines, is also available.analysis routines, is also available.
3-481
Transtech Parallel Systems Corporation
Development Hardware/TIM-40 Module
Product Name: TDM436 Color/Monochrome FramegrabberPlatforms Supported: TIM-40Devices Supported: TMS320C40
Features and Benefits
•• RGB/Composite/S-Video/Mono captureRGB/Composite/S-Video/Mono capture•• Up to 40-MHz digitizing rateUp to 40-MHz digitizing rate•• 4-MBytes zero-wait-state local memory 4-MBytes zero-wait-state local memory •• Programmable capture resolutionProgrammable capture resolution•• Trigger input and outputs for event synchronizationTrigger input and outputs for event synchronization
Product Description
The TDM436 is a high-performance color and monochrome framegrabber The TDM436 is a high-performance color and monochrome framegrabber on a size 2 TIM-40 Module. Input video formats supported include NTSC, on a size 2 TIM-40 Module. Input video formats supported include NTSC, PAL, S-Video and RGB color, and RS170/330 and CCIR monochrome. Four PAL, S-Video and RGB color, and RS170/330 and CCIR monochrome. Four video inputs are provided, which can be used for four selectable composite video inputs are provided, which can be used for four selectable composite inputs, two S-Video inputs, or one RGB input which can also be used to inputs, two S-Video inputs, or one RGB input which can also be used to capture three separate monochrome images simultaneously.capture three separate monochrome images simultaneously.
Maximum digitizing rates are 15 MHz for composite and S-Video, 20 Maximum digitizing rates are 15 MHz for composite and S-Video, 20 MHz for RGB, and 40 MHz for monochrome.MHz for RGB, and 40 MHz for monochrome.
Capture clock frequency, start address, interline period, frame length, Capture clock frequency, start address, interline period, frame length, and line length are fully programmable allowing square-pixel capture at any and line length are fully programmable allowing square-pixel capture at any resolution up to 1024 resolution up to 1024 × 1024 24-bit pixels. 1024 24-bit pixels.
Three user I/O pins are provided for synchronization with, or control Three user I/O pins are provided for synchronization with, or control of, external events such as position sensors or strobe lighting. One pin can of, external events such as position sensors or strobe lighting. One pin can be used as a timer or I/O pin, the other two can be I/O or ’C40 interrupts.be used as a timer or I/O pin, the other two can be I/O or ’C40 interrupts.
3-482
Transtech Parallel Systems Corporation
Development Hardware/Plug-In Board/VMEbus
Product Name: TDM4xx SeriesPlatforms Supported: TIM-40Devices Supported: TMS320C40, TMS320C44
Features and Benefits
•• TMS320C40 and TMS320C44 processorsTMS320C40 and TMS320C44 processors•• Single- and dual-processor modulesSingle- and dual-processor modules•• SRAM, EDRAM, and DRAM memory optionsSRAM, EDRAM, and DRAM memory options•• Up to 32-MBytes memory sizeUp to 32-MBytes memory size•• 50- and 60-MHz clock speed versions50- and 60-MHz clock speed versions
Product Description
Transtech’s TDM4xx Series of TIM-40 processing modules offer a choice of Transtech’s TDM4xx Series of TIM-40 processing modules offer a choice of one or two ’C40 or ’C44 processors with a wide variety of memory options one or two ’C40 or ’C44 processors with a wide variety of memory options including SRAM, mixed SRAM/DRAM, EDRAM (EDRAM combines near-including SRAM, mixed SRAM/DRAM, EDRAM (EDRAM combines near-SRAM speed with DRAM density), and high-capacity DRAM. Versions with SRAM speed with DRAM density), and high-capacity DRAM. Versions with clock speeds up to 60 MHz are available. For full details on the whole series clock speeds up to 60 MHz are available. For full details on the whole series please call for a catalog, or see our web page.please call for a catalog, or see our web page.
3-483
Traquair Data Systems, Inc.114 Sheldon Road,Ithaca, NY 14850(607) 266 6000Fax: (607) 266 8221e-mail: [email protected]
Company Background Traquair Data Systems is a specialist supplier of parallel DSP and image-processing sys-Traquair Data Systems is a specialist supplier of parallel DSP and image-processing sys-tems, which focuses exclusively on providing TIM-40-based solutions that utilize single tems, which focuses exclusively on providing TIM-40-based solutions that utilize single or multiple TMS320C4x processors.or multiple TMS320C4x processors.
Through its sole commitment to the TMS320C4x family, customers benefit from a Through its sole commitment to the TMS320C4x family, customers benefit from a diverse range of product configurations and capabilities. They also gain access to unri-diverse range of product configurations and capabilities. They also gain access to unri-valed expertise, technical support, and the most up-to-date developments in processor valed expertise, technical support, and the most up-to-date developments in processor configurations and related technologies.configurations and related technologies.
Development Hardware/Plug-In VMEbus Board
Development Hardware/Multiprocessor Board
Product Name: HEV40: VME Slave TIM-40 MotherboardPlatforms Supported: Sun and VME Platforms Running Solaris or VxWorksDevices Supported: TMS320C4x
Features and Benefits
•• 6U VME parallel-DSP and image-processing platform with VME slave 6U VME parallel-DSP and image-processing platform with VME slave interface.interface.
•• Up to four TIM-40 modules per 6U VME slot.Up to four TIM-40 modules per 6U VME slot.•• Up to eight TMS320C40 or 16 TMS320C44 DSPs per board.Up to eight TMS320C40 or 16 TMS320C44 DSPs per board.•• Integral JTAG in-circuit emulation hardware with optional TI C Source Integral JTAG in-circuit emulation hardware with optional TI C Source
debugger software.debugger software.
Product Description
The HEV40 is a 6U VME form factor TIM-40 motherboard with VME slave The HEV40 is a 6U VME form factor TIM-40 motherboard with VME slave interface, integral JTAG-emulation hardware, and four TIM-40 module interface, integral JTAG-emulation hardware, and four TIM-40 module slots.slots.
Systems consisting of single- or multiple-HEV40 and HEV40M (see Systems consisting of single- or multiple-HEV40 and HEV40M (see separate entry for HEV40M) TIM-40 motherboards can be configured to separate entry for HEV40M) TIM-40 motherboards can be configured to achieve varying levels of computational performance.achieve varying levels of computational performance.
Processors are added in the form of TIM-40 modules to provide a truly Processors are added in the form of TIM-40 modules to provide a truly flexible and scalable systems architecture. As many as four TMS320C4x flexible and scalable systems architecture. As many as four TMS320C4x processors can be fitted to a single TIM-40 module slot, allowing for very-processors can be fitted to a single TIM-40 module slot, allowing for very-high processor densities. The wide range of DSP, image processing, con-high processor densities. The wide range of DSP, image processing, con-trol, video, and other peripheral interface TIM-40 modules available from trol, video, and other peripheral interface TIM-40 modules available from Traquair allows a wide range of applications to be addressed.Traquair allows a wide range of applications to be addressed.
The HEV40 is supported by Solaris device drivers, together with a wide The HEV40 is supported by Solaris device drivers, together with a wide variety of software development tools, in-circuit emulation tools, and hand-variety of software development tools, in-circuit emulation tools, and hand-coded software libraries.coded software libraries.
3-484
Traquair Data Systems, Inc.
Development Hardware/Plug-In VMEbus Board
Development Hardware/Multiprocessor Board
Product Name: HEV40M: VME Bus Master TIM-40 MotherboardsPlatforms Supported: Sun and VME Platforms Running Solaris or VxWorksDevices Supported: TMS320C4x
Features and Benefits
•• 6U VME parallel-DSP and image-processing platform.6U VME parallel-DSP and image-processing platform.•• 6U VME slave and VMEbus master capabilities.6U VME slave and VMEbus master capabilities.•• Two TIM-40 module slots.Two TIM-40 module slots.•• Optional SBus interface for integration with Sun SPARCstations.Optional SBus interface for integration with Sun SPARCstations.
Product Description
The HEV40M is a 6U VME form factor TIM-40 motherboard with VME mas-The HEV40M is a 6U VME form factor TIM-40 motherboard with VME mas-ter interface, controller, and two TIM-40 module slots.ter interface, controller, and two TIM-40 module slots.
Systems consisting of single- or multiple-HEV40 and HEV40M TIM-40 Systems consisting of single- or multiple-HEV40 and HEV40M TIM-40 motherboards can be configured to achieve varying levels of computational motherboards can be configured to achieve varying levels of computational performance.performance.
Processors are added in the form of TIM-40 modules to provide a truly Processors are added in the form of TIM-40 modules to provide a truly flexible and scalable systems architecture. As many as four TMS320C4x flexible and scalable systems architecture. As many as four TMS320C4x processors can be fitted to a single TIM-40 module slot, allowing for very- processors can be fitted to a single TIM-40 module slot, allowing for very- high processor densities. The wide range of DSP, image processing, con-high processor densities. The wide range of DSP, image processing, con-trol, video, and other peripheral interface TIM-40 modules available from trol, video, and other peripheral interface TIM-40 modules available from Traquair allows a wide range of applications to be addressed.Traquair allows a wide range of applications to be addressed.
The HEV40M is supported by a wide variety of software development The HEV40M is supported by a wide variety of software development tools, in-circuit emulation tools, and hand-coded software libraries.tools, in-circuit emulation tools, and hand-coded software libraries.
HEV40M TIM-40 Motherboard fittedHEV40M TIM-40 Motherboard fittedwith Fiber Optic TAXI Modulewith Fiber Optic TAXI Module
3-485
Traquair Data Systems, Inc.
Development Hardware/Plug-In SBus Board
Development Hardware/Multiprocessor Board
Product Name: HESB40: SBus Interface and In-Circuit EmulatorPlatforms Supported: Sun SPARCstations Running SunOS or SolarisDevices Supported: TMS320C4x
Features and Benefits
•• SBus interface for TMS320C4x-based processing systems.SBus interface for TMS320C4x-based processing systems.•• Supports entire range of Traquair TIM-40 motherboards.Supports entire range of Traquair TIM-40 motherboards.•• Optional Pizza Box for adding TIM-40 hardware.Optional Pizza Box for adding TIM-40 hardware.•• Integral JTAG in-circuit emulation hardware with optional TI C source Integral JTAG in-circuit emulation hardware with optional TI C source
debugger software.debugger software.
Product Description
Traquair Data Systems offers the HESB40 SBus interface for TMS320C40- Traquair Data Systems offers the HESB40 SBus interface for TMS320C40- and TMS320C44-based parallel DSP and image-processing systems. It is and TMS320C44-based parallel DSP and image-processing systems. It is intended for use with Sun SPARCstations, and provides a single comm port intended for use with Sun SPARCstations, and provides a single comm port interface to externally-connected TIM-40 hardware and JTAG interface for interface to externally-connected TIM-40 hardware and JTAG interface for in-circuit emulation.in-circuit emulation.
HESB40 can be used to control any of the TIM-40-based TMS320C40 HESB40 can be used to control any of the TIM-40-based TMS320C40 and TMS320C44 products provided by Traquair, including those fitted with and TMS320C44 products provided by Traquair, including those fitted with other host interfaces. The HESB40 can be supported by a ported version of other host interfaces. The HESB40 can be supported by a ported version of the Texas Instruments C source debugger, which utilizes the integral JTAG the Texas Instruments C source debugger, which utilizes the integral JTAG in-circuit emulation hardware to control and diagnose the operation of sin-in-circuit emulation hardware to control and diagnose the operation of sin-gle- or multiple-TMS320C4x processor configurations. The HESB40 inter-gle- or multiple-TMS320C4x processor configurations. The HESB40 inter-face is suitable for DSP, image processing, and other numerically-intensive face is suitable for DSP, image processing, and other numerically-intensive applications.applications.
The HESB40 is supported by Solaris device drivers, together with a The HESB40 is supported by Solaris device drivers, together with a wide variety of software development tools, in-circuit emulation tools, and wide variety of software development tools, in-circuit emulation tools, and hand-coded software libraries.hand-coded software libraries.
3-486
Traquair Data Systems, Inc.
Development Hardware/Plug-In ISA Bus Module
Development Hardware/Multiprocessor ISA Bus Board
Product Name: HEPC2: TIM-40 Motherboard and In-Circuit EmulatorPlatforms Supported: PCs Running DOS, Windows 3.1, Windows 95, Windows NTDevices Supported: TMS320C4x
Features and Benefits
•• PC/AT parallel-DSP and image-processing platform.PC/AT parallel-DSP and image-processing platform.•• Four TIM-40 module slots.Four TIM-40 module slots.•• Support for up to eight TMS320C40 or 16 TMS320C44 DSPs per board.Support for up to eight TMS320C40 or 16 TMS320C44 DSPs per board.•• Integral JTAG in-circuit emulation hardware with optional TI C source Integral JTAG in-circuit emulation hardware with optional TI C source
debugger software.debugger software.
Product Description
The HEPC2 is an ISA form-factor TIM-40 motherboard with ISA bus inter-The HEPC2 is an ISA form-factor TIM-40 motherboard with ISA bus inter-face, integral JTAG emulation hardware, and four TIM-40 module slots. It is face, integral JTAG emulation hardware, and four TIM-40 module slots. It is intended for use with IBM PC/AT and compatible PC systems, and can sup-intended for use with IBM PC/AT and compatible PC systems, and can sup-port up to eight TMS320C40 or 16 TMS320C44 processors.port up to eight TMS320C40 or 16 TMS320C44 processors.
The HECP2 is used for constructing powerful systems by utilizing The HECP2 is used for constructing powerful systems by utilizing groups of TIM-40-based TMS320C40 and TMS320C44 processors and com-groups of TIM-40-based TMS320C40 and TMS320C44 processors and com-bining their performance for use in digital signal processing, parallel pro-bining their performance for use in digital signal processing, parallel pro-cessing, and other numerically-intensive applications.cessing, and other numerically-intensive applications.
The HEPC2 is also supported by a wide variety of software-develop-The HEPC2 is also supported by a wide variety of software-develop-ment tools suitable for use with DOS, Windows 3.1, Windows 95, and Win-ment tools suitable for use with DOS, Windows 3.1, Windows 95, and Win-dows NT, in-circuit emulation tools from Texas Instruments and GO DSP, dows NT, in-circuit emulation tools from Texas Instruments and GO DSP, and hand-coded software libraries.and hand-coded software libraries.
HEPC2 TIM-40 Motherboard with HEPC3HEPC2 TIM-40 Motherboard with HEPC3and HEPC104and HEPC104
3-487
Traquair Data Systems, Inc.
Development Hardware/Plug-In PCI Module
Development Hardware/Multiprocessor Board
Product Name: HEPC3: TIM-40 Motherboard and In-Circuit EmulatorPlatforms Supported: PCIDevices Supported: TMS320C4x
Features and Benefits
•• PCI parallel-DSP and image-processing platform.PCI parallel-DSP and image-processing platform.•• On-board TIM-40 module slot.On-board TIM-40 module slot.•• Support for up to two TMS320C40 or four TMS320C44 DSPs per board.Support for up to two TMS320C40 or four TMS320C44 DSPs per board.•• Integral JTAG in-circuit emulation hardware with optional TI C source Integral JTAG in-circuit emulation hardware with optional TI C source
debugger software.debugger software.
Product Description
The HEPC3 is a PCI-form-factor TIM-40 motherboard with PCI slave and The HEPC3 is a PCI-form-factor TIM-40 motherboard with PCI slave and PCI master-mode interfaces, integral JTAG-emulation hardware, and on-PCI master-mode interfaces, integral JTAG-emulation hardware, and on-board TIM-40 module slot. It can support single- or multiple-TMS320C4x board TIM-40 module slot. It can support single- or multiple-TMS320C4x processors when fitted directly, or connected via its TMS320C4x communi-processors when fitted directly, or connected via its TMS320C4x communi-cation port interface.cation port interface.
The HECP3 is used for constructing powerful systems by utilizing The HECP3 is used for constructing powerful systems by utilizing groups of TIM-40 based TMS320C40 and TMS320C44 processors and com-groups of TIM-40 based TMS320C40 and TMS320C44 processors and com-bining their performance for use in digital signal processing, image process-bining their performance for use in digital signal processing, image process-ing, and other numerically-intensive applications.ing, and other numerically-intensive applications.
The HEPC3 is also supported by a wide variety of software-develop-The HEPC3 is also supported by a wide variety of software-develop-ment tools suitable for use with DOS, Windows 3.1, Windows 95, and Win-ment tools suitable for use with DOS, Windows 3.1, Windows 95, and Win-dows NT, in-circuit emulation tools from Texas Instruments, and hand-dows NT, in-circuit emulation tools from Texas Instruments, and hand-coded software libraries.coded software libraries.
3-488
Traquair Data Systems, Inc.
Development Hardware/Plug-In PC/104 Board
Development Hardware/Multiprocessor Board
Product Name: HEPC2104: Slave PC/104 DSP BoardPlatforms Supported: PC/104 PCs Supporting DOS, Windows 3.1, Windows 95, Windows NTDevices Supported: TMS320C44
Features and Benefits
•• PC/104 parallel-DSP and image-processing platform.PC/104 parallel-DSP and image-processing platform.•• 50-MFLOP TMS320C44 processor, 2-MBytes zero-wait-state SRAM50-MFLOP TMS320C44 processor, 2-MBytes zero-wait-state SRAM•• Power-down modePower-down mode
Product Description
The HEPC2104 is an PC/104-form-factor single-processor DSP board with The HEPC2104 is an PC/104-form-factor single-processor DSP board with PC/104 slave interface. It is intended for use with PC/104-based PC sys-PC/104 slave interface. It is intended for use with PC/104-based PC sys-tems. tems.
The HECP2104 is used for constructing powerful systems by utilizing The HECP2104 is used for constructing powerful systems by utilizing one or more TMS320C44 processors and combining their performance for one or more TMS320C44 processors and combining their performance for use in digital signal processing, image processing, and other numerically-use in digital signal processing, image processing, and other numerically-intensive applications. Multiple HEPC2104 boards can be stacked together intensive applications. Multiple HEPC2104 boards can be stacked together using the PC/104 stack-through connectors.using the PC/104 stack-through connectors.
The HEPC2104 features power-down-control circuitry that allows the The HEPC2104 features power-down-control circuitry that allows the on-board TMS320C44 processor to reduce power consumption when the on-board TMS320C44 processor to reduce power consumption when the DSP resource is not required. Operation is reinsured via control from the DSP resource is not required. Operation is reinsured via control from the host PC/104 computer via the PC/104 bus.host PC/104 computer via the PC/104 bus.
The HEPC2104 is also supported by a wide variety of software develop-The HEPC2104 is also supported by a wide variety of software develop-ment tools suitable for use with DOS, Windows 3.1, Windows 95, and Win-ment tools suitable for use with DOS, Windows 3.1, Windows 95, and Win-dows NT, in-circuit emulation tools, and hand-coded software libraries.dows NT, in-circuit emulation tools, and hand-coded software libraries.
3-489
Traquair Data Systems, Inc.
Development Hardware/TIM ModuleDevelopment Hardware/Plug-In TIM Module
Product Name: HET40: Single-Processor TIM-40 Processing ModulesPlatforms Supported: Traquair TIM-40 MotherboardsDevices Supported: TMS320C40
Features and Benefits
•• 50-/60-MHz TMS320C40 processor configurations50-/60-MHz TMS320C40 processor configurations•• Up to 2 MBytes or 8 MBytes of zero-wait-state SRAMUp to 2 MBytes or 8 MBytes of zero-wait-state SRAM•• Up to 8 MBytes of Enhanced DRAM (EDRAM)Up to 8 MBytes of Enhanced DRAM (EDRAM)•• Up to 32 MBytes of DRAMUp to 32 MBytes of DRAM•• Up to 1 MByte of zero-wait-state SRAM and 16 MBytes of DRAMUp to 1 MByte of zero-wait-state SRAM and 16 MBytes of DRAM•• Single slot (2.5" Single slot (2.5" × 4.2") TIM-40 Module form factor 4.2") TIM-40 Module form factor
Product Description
Traquair offers a range of single processor TIM-40 processing modules, fit-Traquair offers a range of single processor TIM-40 processing modules, fit-ted with either zero-wait-state SRAM, Enhanced DRAM (EDRAM), DRAM, ted with either zero-wait-state SRAM, Enhanced DRAM (EDRAM), DRAM, or a combination of SRAM and DRAM.or a combination of SRAM and DRAM.
Single-Processor TIM-40 Processing Modules
Part No. Description TMS320C40 Memory Configuration
HET40SXHET40SX SRAMSRAMTIM-40 Processing ModuleTIM-40 Processing Module
50/60 MHz50/60 MHz 256 kbytes, 1 MByte, or 4 MBytes of zero-256 kbytes, 1 MByte, or 4 MBytes of zero-wait-state SRAM fitted to each bus of the wait-state SRAM fitted to each bus of the TMS320C40TMS320C40
HET40EXHET40EX SRAMSRAMTIM-40 Processing ModuleTIM-40 Processing Module
50/60 MHz50/60 MHz 4 MBytes of Enhanced DRAM (EDRAM) fit-4 MBytes of Enhanced DRAM (EDRAM) fit-ted to each bus of the TMS320C40ted to each bus of the TMS320C40
HET40XHET40X DRAMDRAMTIM-40 Processing ModuleTIM-40 Processing Module
50 MHz50 MHz 4 MBytes or 16 MBytes of fast-page-mode 4 MBytes or 16 MBytes of fast-page-mode controlled DRAM fitted to each bus of the controlled DRAM fitted to each bus of the TMS320C40TMS320C40
HET40SDxHET40SDx SRAM/DRAMSRAM/DRAMTIM-40 Processing ModuleTIM-40 Processing Module
50 MHz50 MHz 1 MByte of zero-wait-state SRAM fitted to 1 MByte of zero-wait-state SRAM fitted to bus and 16 MBytes of fast-page-mode con-bus and 16 MBytes of fast-page-mode con-trolled DRAM fitted to the global bus of the trolled DRAM fitted to the global bus of the TMS320C40TMS320C40
IPTIMIPTIM Enhanced-Processing and Enhanced-Processing and Image-Processing ModuleImage-Processing Module
50/60 MFLOPS50/60 MFLOPS TMS320C44, 2 MBytes of SRAM, and support TMS320C44, 2 MBytes of SRAM, and support for automatic/direct access and manipulation for automatic/direct access and manipulation of packed 8-bit, 16-bit, and 32-bit dataof packed 8-bit, 16-bit, and 32-bit data
3-490
Traquair Data Systems, Inc.
Development Hardware/TIM ModuleDevelopment Hardware/Plug-In TIM Module
Product Name: HETWIN: Twin-Processor TIM-40 Processing ModulesPlatforms Supported: Traquair TIM-40 MotherboardsDevices Supported: TMS320C4x
Features and Benefits
•• Two 50-/60-MHz TMS320C40 and TMS320C44 configurationsTwo 50-/60-MHz TMS320C40 and TMS320C44 configurations•• Up to 2 MBytes or 8 MBytes of zero-wait-state SRAMUp to 2 MBytes or 8 MBytes of zero-wait-state SRAM•• Up to 8 MBytes of Enhanced DRAM (EDRAM)Up to 8 MBytes of Enhanced DRAM (EDRAM)•• Single slot (2.5" Single slot (2.5" × 4.2") TIM-40 Module form factor 4.2") TIM-40 Module form factor
Product Description
HETWIN TIM-40 modules offer twice the performance of regular “single-HETWIN TIM-40 modules offer twice the performance of regular “single-processor” TIM-40 processing modules, by incorporating two TMS320C40 processor” TIM-40 processing modules, by incorporating two TMS320C40 or TMS320C44 processors. They offer a cost-effective solution for high-per-or TMS320C44 processors. They offer a cost-effective solution for high-per-formance applications requiring large numbers of power DSP processors.formance applications requiring large numbers of power DSP processors.
TWIN-Processor TIM-40 Processing Modules
Part No. Description TMS320C40 Processor/Memory Configuration
HETWIN-C40HETWIN-C40 TMS320C40TMS320C40TWIN Processor ModuleTWIN Processor Module
50/60 MHz50/60 MHz 512 kbytes of zero-wait-state SRAM fitted to 512 kbytes of zero-wait-state SRAM fitted to the local bus of each of two TMS320C40 pro-the local bus of each of two TMS320C40 pro-cessorscessors
HETWIN-4x0.5HETWIN-4x0.5 TMS320C44TMS320C44TWIN Processor ModuleTWIN Processor Module
50/60 MHz50/60 MHz 512 kbytes of zero-wait-state SRAM fitted 512 kbytes of zero-wait-state SRAM fitted each bus of each of two TMS320C44 proces-each bus of each of two TMS320C44 proces-sorssors
HETWIN-4x2HETWIN-4x2 TMS320C44TMS320C44TWIN Processor ModuleTWIN Processor Module
50/60 MHz50/60 MHz 2 MBytes of zero-wait-state SRAM fitted each 2 MBytes of zero-wait-state SRAM fitted each bus of each of two TMS320C44 processorsbus of each of two TMS320C44 processors
HETWIN-E-4x2HETWIN-E-4x2 TMS320C44 with EDRAMTMS320C44 with EDRAMTWIN Processor ModuleTWIN Processor Module
50/60 MHz50/60 MHz 2 MBytes of Enhanced DRAM (EDRAM) fit-2 MBytes of Enhanced DRAM (EDRAM) fit-ted each bus of each of two TMS320C44 pro-ted each bus of each of two TMS320C44 pro-cessorscessors
3-491
Traquair Data Systems, Inc.
Development Hardware/TIM ModuleDevelopment Hardware/Plug-In TIM Module
Product Name: HEQUAD: Quad-Processor TIM-40 Processing ModulesPlatforms Supported: Traquair TIM-40 MotherboardsDevices Supported: TMS320C44
Features and Benefits
•• Four 50-/60-MHz TMS320C40 and TMS320C44 configurationsFour 50-/60-MHz TMS320C40 and TMS320C44 configurations•• 2 MBytes of zero-wait-state SRAM2 MBytes of zero-wait-state SRAM•• Single-slot (2.5" Single-slot (2.5" × 4.2") TIM-40 Module form factor 4.2") TIM-40 Module form factor
Product Description
The HEQUAD provides the highest TMS320C4x-processor density of all The HEQUAD provides the highest TMS320C4x-processor density of all TIM-40 processing modules, by fitting four TMS320C44 processors in a sin-TIM-40 processing modules, by fitting four TMS320C44 processors in a sin-gle TIM-40 Module slot, and can be used to considerably increase the per-gle TIM-40 Module slot, and can be used to considerably increase the per-formance of single- and multiple-TIM-40 motherboard configurations.formance of single- and multiple-TIM-40 motherboard configurations.
QUAD-Processor TIM-40 Processing Modules
Part No. Description TMS320C4x Processor/Memory Configuration
HEQUADHEQUAD TMS320C44TMS320C44Quad Processor ModuleQuad Processor Module
50/60 MHz50/60 MHz 512 kbytes of zero-wait-state SRAM fitted to 512 kbytes of zero-wait-state SRAM fitted to the local bus of each of four TMS320C44 pro-the local bus of each of four TMS320C44 pro-cessorscessors
3-492
Traquair Data Systems, Inc.
Development Hardware/TIM ModuleDevelopment Hardware/Plug-In TIM Module
Product Name: Image-Processing ModulesPlatforms Supported: Traquair TIM-40 MotherboardsDevices Supported: TMS320C4x
Features and Benefits
•• Modular TMS320C4x hardware for real-time image processingModular TMS320C4x hardware for real-time image processing•• Analog and digital frame grabbersAnalog and digital frame grabbers•• Digital video interfacingDigital video interfacing•• Enhanced hardware configurations for higher 8-bit/16-bit processing Enhanced hardware configurations for higher 8-bit/16-bit processing
performanceperformance
Product Description
Traquair provides a range of TIM-40 Module products supporting analog Traquair provides a range of TIM-40 Module products supporting analog and digital video frame capture, enhanced hardware support for 8-bit and and digital video frame capture, enhanced hardware support for 8-bit and 16-bit data manipulation, high-speed convolution, and high-resolution dis-16-bit data manipulation, high-speed convolution, and high-resolution dis-play control.play control.
TMS320C4x TIM-40 Image Processing Modules
Part No. DescriptionMaximum
Performance Description
VIPTIMVIPTIM Image-Processing ModuleImage-Processing Module 10 Million Pixels 10 Million Pixels per Secondper Second
TMS320C40, 4-MBytes DRAM, and TMS320C40, 4-MBytes DRAM, and 1-D/2-D convolver1-D/2-D convolver
IPTIMIPTIM Enhanced-Processing and Enhanced-Processing and Image-Processing ModuleImage-Processing Module
50/60 MFLOPS50/60 MFLOPS TMS320C44, TMS320C44, 2-MBytes SRAM, and support 2-MBytes SRAM, and support for automatic/for automatic/direct access and manipula-direct access and manipula-tion of packed 8-bit, 16-bit, and 32-bit datation of packed 8-bit, 16-bit, and 32-bit data
HETVIOHETVIO 8-Bit Video I/O Module8-Bit Video I/O Module 50 MFLOPS50 MFLOPS TMS320C40, 4-MBytes DRAM, 4 video input TMS320C40, 4-MBytes DRAM, 4 video input channels, 1 video output channel, frame-channels, 1 video output channel, frame-store, overlay plane, and hardware cursor.store, overlay plane, and hardware cursor.
HECFG44HECFG44 24-Bit RGB Frame Grabber24-Bit RGB Frame Grabber 50 MFLOPS50 MFLOPS TMS320C40, 4-MBytes DRAM, 2 RGB-video TMS320C40, 4-MBytes DRAM, 2 RGB-video input channels, and framestore.input channels, and framestore.
HECCFG44HECCFG44 24-Bit Composite Frame 24-Bit Composite Frame GrabberGrabber
50 MFLOPS50 MFLOPS TMS320C40, 4-MBytes DRAM, 4 Composite TMS320C40, 4-MBytes DRAM, 4 Composite or Y/C video input channels, and framestore.or Y/C video input channels, and framestore.
SMT304SMT304 24-bit RGB Display 24-bit RGB Display ControllerController
50 MFLOPS50 MFLOPS TMS320C40, 1-MByte SRAM, (optional 16-TMS320C40, 1-MByte SRAM, (optional 16-MBytes DRAM, framestore Weitek, video MBytes DRAM, framestore Weitek, video output, hardware cursor, and overlay plane.output, hardware cursor, and overlay plane.
SMT318SMT318 8-Bit/16-Bit Digital Cam-8-Bit/16-Bit Digital Cam-era Interfaceera Interface
N/AN/A Comm port interface supporting dual 8-bit Comm port interface supporting dual 8-bit or single 16-bit digital camera input(s).or single 16-bit digital camera input(s).
3-493
Traquair Data Systems, Inc.
Development Hardware/TIM ModuleDevelopment Hardware/Plug-In TIM Module
Product Name: HEXTIM: Hardware Expansion and Prototyping TIM-40 ModulePlatforms Supported: Traquair TIM-40 MotherboardsDevices Supported: TMS320C40
Features and Benefits
•• TMS320C40-based TIM-40 module with hardware prototyping areaTMS320C40-based TIM-40 module with hardware prototyping area•• Supports user-defined hardware on TMS320C40 Global BusSupports user-defined hardware on TMS320C40 Global Bus•• TMS320C40 configured with either SRAM or DRAM on its Local BusTMS320C40 configured with either SRAM or DRAM on its Local Bus
Product Description
The HEXTIM is a hardware expansion and prototyping module which The HEXTIM is a hardware expansion and prototyping module which allows developers to add their own hardware to TMS320C40- and TIM-40- allows developers to add their own hardware to TMS320C40- and TIM-40- based architectures. based architectures.
By providing a ready-to-use and operational TMS320C40 processor By providing a ready-to-use and operational TMS320C40 processor configuration, for use with PC/AT, PCI, VME, or SBus-hosted development configuration, for use with PC/AT, PCI, VME, or SBus-hosted development systems, users can design and add additional hardware with minimal design systems, users can design and add additional hardware with minimal design and production effort. The HEXTIM is an ideal solution for the proving of and production effort. The HEXTIM is an ideal solution for the proving of design concepts, integrating propriety hardware interfaces not already design concepts, integrating propriety hardware interfaces not already available, and for education purposes.available, and for education purposes.
3-494
Traquair Data Systems, Inc.
Development Hardware/TIM ModuleDevelopment Hardware/Plug-In TIM Module
Product Name: IPackTIM: IndustryPack TIM-40 ModulePlatforms Supported: Traquair TIM-40 MotherboardsDevices Supported: TMS320C40
Features and Benefits
•• TMS320C40-based TIM-40 Module with single-height IndustryPack slotTMS320C40-based TIM-40 Module with single-height IndustryPack slot•• Supports a single-height IndustryPack on TMS320C40 Global BusSupports a single-height IndustryPack on TMS320C40 Global Bus•• TMS320C40 configured with either SRAM or DRAM on its Local BusTMS320C40 configured with either SRAM or DRAM on its Local Bus
Product Description
The IPackTIM is a “Size 2” TIM-40 Module, measuring 4.2" The IPackTIM is a “Size 2” TIM-40 Module, measuring 4.2" × 5.1", which 5.1", which supports a TMS320C40 processor, fitted with 1 MByte of zero-wait-state supports a TMS320C40 processor, fitted with 1 MByte of zero-wait-state SRAM or 4 MBytes of fast-page-mode controlled DRAM, and a single-height SRAM or 4 MBytes of fast-page-mode controlled DRAM, and a single-height IndustryPack slot.IndustryPack slot.
It provides developers with a means to add a variety of I/O and cus-It provides developers with a means to add a variety of I/O and cus-tomer interfaces to TIM-40-based systems, using IndustryPack plug-in tomer interfaces to TIM-40-based systems, using IndustryPack plug-in expansion modules. The type interfaces include: analog interfacing, digital expansion modules. The type interfaces include: analog interfacing, digital interfacing, communication, control systems, and instrumentation.interfacing, communication, control systems, and instrumentation.
3-495
Traquair Data Systems, Inc.
Development Hardware/TIM ModuleDevelopment Hardware/Plug-In TIM Module
Product Name: HEXTAXI: Fiber Optic Interface TIM-40 ModulesPlatforms Supported: Traquair TIM-40 MotherboardsDevices Supported: TMS320C4x
Features and Benefits
•• Fiber optic transmitter and receiver TIM-40 ModulesFiber optic transmitter and receiver TIM-40 Modules•• Supports TAXI fiber optic communication protocolSupports TAXI fiber optic communication protocol•• Configured as TMS320C4x comm port interface supporting 10-MBytes Configured as TMS320C4x comm port interface supporting 10-MBytes
per second sustainable throughputper second sustainable throughput
Product Description
The TAXI modules are new series of second-generation TIM-40 products The TAXI modules are new series of second-generation TIM-40 products now supported by Traquair. They are intended for use with TIM-40-based now supported by Traquair. They are intended for use with TIM-40-based TMS320C4x systems to provide TIM-40 systems to support long-haul TMS320C4x systems to provide TIM-40 systems to support long-haul comm-port-based communication.comm-port-based communication.
The combination of a TAXI transmitter and TAXI receiver module pro-The combination of a TAXI transmitter and TAXI receiver module pro-vides a transparent fiber-optic interface between two TMS320C4x comm vides a transparent fiber-optic interface between two TMS320C4x comm ports, allowing the processors to communicate with each other great dis-ports, allowing the processors to communicate with each other great dis-tances, or between a TMS320C4x processor and a peripheral device utiliz-tances, or between a TMS320C4x processor and a peripheral device utiliz-ing a TAXI format fiber-optic interface.ing a TAXI format fiber-optic interface.
3-496
Traquair Data Systems, Inc.
Development Hardware/TIM ModuleDevelopment Hardware/Plug-In TIM Module
Product Name: Multiple Channel 12-Bit and 16-Bit Analog InterfacesPlatforms Supported: Traquair TIM-40 MotherboardsDevices Supported: TMS320C4x
Features and Benefits
•• Multiple channel 12-bit and 12-bit A/D convertersMultiple channel 12-bit and 12-bit A/D converters•• Implemented as TMS320C4x comm-port-based interfacesImplemented as TMS320C4x comm-port-based interfaces
Product Description
Traquair provides several TIM-40-based analog-to-digital interfaces. Traquair provides several TIM-40-based analog-to-digital interfaces. Options include a 1–16 channel 12-bit A/D interface supporting sampling Options include a 1–16 channel 12-bit A/D interface supporting sampling rates to 3 MHz, and an 8-channel 16-bit A/D interface supporting sampling rates to 3 MHz, and an 8-channel 16-bit A/D interface supporting sampling rates to 125 kHz.rates to 125 kHz.
The modules are implemented in the “Size 1” TIM-40 Module form fac-The modules are implemented in the “Size 1” TIM-40 Module form fac-tor. They do not contain an on-board TMS320C4x processor, but are tor. They do not contain an on-board TMS320C4x processor, but are intended for use as comm-port-based interfaces. No special software intended for use as comm-port-based interfaces. No special software beyond that of what is typically used to manipulate TMS320C4x comm beyond that of what is typically used to manipulate TMS320C4x comm ports is required.ports is required.
3-497
Traquair Data Systems, Inc.
Development Hardware/TIM ModuleDevelopment Hardware/Plug-In TIM Module
Product Name: HETAIO: Stereo Audio InterfacePlatforms Supported: Traquair TIM-40 MotherboardsDevices Supported: TMS320C4x
Features and Benefits
•• Stereo input stereo output analog interfaceStereo input stereo output analog interface•• Connects to standard TMS320C4x comm portConnects to standard TMS320C4x comm port•• Suitable for wide range of 16-bit A/D and D/A applicationsSuitable for wide range of 16-bit A/D and D/A applications•• 48-kHz maximum sampling rate48-kHz maximum sampling rate
Product Description
The HETAIO analog interface module is part of a series of second-genera-The HETAIO analog interface module is part of a series of second-genera-tion TIM-40 Module products now supported by Traquair. It is intended for tion TIM-40 Module products now supported by Traquair. It is intended for use with TIM-40-based TMS320C4x systems to provide CD-quality audio use with TIM-40-based TMS320C4x systems to provide CD-quality audio input and output, providing dual-channel analog conversion, at 16-bit reso-input and output, providing dual-channel analog conversion, at 16-bit reso-lution, at sampling rates up to 48 kHz per channel.lution, at sampling rates up to 48 kHz per channel.
3-498
Traquair Data Systems, Inc.
Development Hardware/TIM ModuleDevelopment Hardware/Plug-In TIM Module
Product Name: HESCSI: Disk Controller and SCSI InterfacePlatforms Supported: Traquair TIM-40 MotherboardsDevices Supported: TMS320C44
Features and Benefits
•• High-performance SCSI interface utilizing NCR 53C720 SCSI controllerHigh-performance SCSI interface utilizing NCR 53C720 SCSI controller•• TMS320C44 processor fitted with 4 MBytes of Enhanced DRAMTMS320C44 processor fitted with 4 MBytes of Enhanced DRAM•• “Size 1” TIM-40 Module form factor“Size 1” TIM-40 Module form factor
Product Description
The HESCSI provides TMS320C4x-based TIM-40 systems with the ability The HESCSI provides TMS320C4x-based TIM-40 systems with the ability to directly interface and control SCSI-based peripherals. It is implemented to directly interface and control SCSI-based peripherals. It is implemented as a “Size 1” TIM-40 Module and is provided with a 1-GByte SCSI disk drive.as a “Size 1” TIM-40 Module and is provided with a 1-GByte SCSI disk drive.
The HESCSI is intended for the wide range of applications which The HESCSI is intended for the wide range of applications which require the ability to store or log data without being dependent on the require the ability to store or log data without being dependent on the existence or limited performance of a host bus interface, such as the ISA existence or limited performance of a host bus interface, such as the ISA bus.bus.
3-499
V.D.S. Video Display Systems s.r.l.Via M. Clementi, 16
50127 Firenze, Italy
+39-55-4378831
Fax: +39-55-416996
e-mail: [email protected]
Company BackgroundV.D.S. Video Display Systems s.r.l. is a privately-owned company established in 1979 by V.D.S. Video Display Systems s.r.l. is a privately-owned company established in 1979 by a team of experienced industrial researchers who had been developing innovative pro-a team of experienced industrial researchers who had been developing innovative pro-jects. The manufacturing activity of V.D.S. includes both hardware and software prod-jects. The manufacturing activity of V.D.S. includes both hardware and software prod-ucts in industrial automation and scientific and research equipment areas. The list of ucts in industrial automation and scientific and research equipment areas. The list of hardware applications includes high-performance image-acquisition and processing sys-hardware applications includes high-performance image-acquisition and processing sys-tems, industrial-automation controllers, VMEbus-based frame grabbers and processing tems, industrial-automation controllers, VMEbus-based frame grabbers and processing modules.modules.
Development Hardware/Standalone Board
Product Name: VP280Platforms Supported: DOS, UNIX
Devices Supported: TMS320C80
Features and Benefits
•• VideophoneVideophone•• GSTN connectionGSTN connection•• Low-bit-rate data transmissionsLow-bit-rate data transmissions•• Standard ITU-T audio and video codingStandard ITU-T audio and video coding
Product Description
VP280 is a general-purpose standalone controller board that can be used to VP280 is a general-purpose standalone controller board that can be used to process and transmit images and sounds operating over the General process and transmit images and sounds operating over the General Switched Telephone Line Network (GSTN). It consists of a single board Switched Telephone Line Network (GSTN). It consists of a single board design based on the TMS320C80 and a communication processor. VP280 design based on the TMS320C80 and a communication processor. VP280 can transmit video frames captured by a special retina-like camera. This can transmit video frames captured by a special retina-like camera. This kind of sensor produces very small images (about 8k pixels) that can be kind of sensor produces very small images (about 8k pixels) that can be compressed and transmitted at a rate of up to 15 images/sec using a stan-compressed and transmitted at a rate of up to 15 images/sec using a stan-dard V.34 connection. An HDLC protocol over a serial line dard V.34 connection. An HDLC protocol over a serial line connected to a connected to a syncronous modem has been used as requested by the ITU-Tsyncronous modem has been used as requested by the ITU-T H.324 Recom- H.324 Recom-mendation. The video and audio data compression algorithms are executed mendation. The video and audio data compression algorithms are executed by the internal DSP units of the ’C80 according respectively to the ITU-T by the internal DSP units of the ’C80 according respectively to the ITU-T H.263 (Video Coding For Low-Bit-Rate Communication) and G.723 (Dual-H.263 (Video Coding For Low-Bit-Rate Communication) and G.723 (Dual-Rate Speech Coder for Multimedia Communications) Recommendations. Rate Speech Coder for Multimedia Communications) Recommendations. The system includes an 8-MBytes dynamic RAM bank shared between the The system includes an 8-MBytes dynamic RAM bank shared between the two processors. Incoming data are processed by another ’C80 DSP unit to two processors. Incoming data are processed by another ’C80 DSP unit to rebuild the original image and stored in a video RAM buffer, that is com-rebuild the original image and stored in a video RAM buffer, that is com-pletely and directly connected to the ’C80 Video Controller unit, to be dis-pletely and directly connected to the ’C80 Video Controller unit, to be dis-played on the monitor.played on the monitor.
3-500
VisionSmart Inc.10367-59 AveEdmonton, AlbertaCanada T6H 1E7(403) 435-7082Fax: (403) 436-0963e-mail [email protected]
Company Background VisionSmart provides multiprocessing hardware for use in high-speed applications. VisionSmart provides multiprocessing hardware for use in high-speed applications. VisionSmart has developed several DSP products for use in industrial-scanning applica-VisionSmart has developed several DSP products for use in industrial-scanning applica-tions, including scanning and processing for WEB processes. The products have been tions, including scanning and processing for WEB processes. The products have been used in many custom applications engineered by VisionSmart. These include scanning used in many custom applications engineered by VisionSmart. These include scanning coins, wood, eggs, oriented strand board, ceramics, pulp, and logs. The boards can be coins, wood, eggs, oriented strand board, ceramics, pulp, and logs. The boards can be used anywhere data is digitized and high-processing rates are required to extract a used anywhere data is digitized and high-processing rates are required to extract a result.result.
Development Hardware/Plug-In PCI Board
Product Name: VS 1C8x-1Platforms Supported: PC, MacDevices Supported: TMS320C8x
Features and Benefits
•• Flash A/D converter for frame or line-scan image data.Flash A/D converter for frame or line-scan image data.•• One ’C8x for high-speed image processing with PCI interface.One ’C8x for high-speed image processing with PCI interface.•• Timing and camera-image configuration controlled by FPGAs.Timing and camera-image configuration controlled by FPGAs.•• Basic image-processing software built into Flash memory.Basic image-processing software built into Flash memory.•• Video RAM double buffered for real-time processing.Video RAM double buffered for real-time processing.
Product Description
The VS 1C8x-1 uses the ’C80 for image processing in a similar style to our The VS 1C8x-1 uses the ’C80 for image processing in a similar style to our older processing boards. The use of multiprocessing became much easier older processing boards. The use of multiprocessing became much easier with the introduction of the ’C80. The complex logic incorporated on the with the introduction of the ’C80. The complex logic incorporated on the ’C80 makes it a perfect addition to our line of high-speed data engines. The ’C80 makes it a perfect addition to our line of high-speed data engines. The PCI interface enables fast transfer of data to and from the host computer. PCI interface enables fast transfer of data to and from the host computer. All timing and image setup is performed using FPGAs. Software image algo-All timing and image setup is performed using FPGAs. Software image algo-rithms are coded into Flash memory for easy image-processing use. Basic rithms are coded into Flash memory for easy image-processing use. Basic code for the ’C8x is in a script form which enables fast coding using existing code for the ’C8x is in a script form which enables fast coding using existing calls. New calls can be added or custom software can be written for users’ calls. New calls can be added or custom software can be written for users’ applications. This a new product with an expected release date of mid-96.applications. This a new product with an expected release date of mid-96.
3-501
VisionSmart Inc.
Development Hardware/Plug-In VMEbus Board
Product Name: VS 9C50-1Platforms Supported: PC, Mac, Sun
Devices Supported: TMS320C5x
Features and Benefits
•• Flash A/D converter, 8-bit data up to 10 Mbps, FIFO bufferedFlash A/D converter, 8-bit data up to 10 Mbps, FIFO buffered•• Eight ’C50 slave processors share data to be processed.Eight ’C50 slave processors share data to be processed.•• Timing and data movement controlled by FPGAs.Timing and data movement controlled by FPGAs.•• One ’C50 controls secondary data processing and VME transfers.One ’C50 controls secondary data processing and VME transfers.•• Serial data from the eight slaves’ DMAs to dual-port RAM.Serial data from the eight slaves’ DMAs to dual-port RAM.
Product Description
The VS 9C50-1 is a VMEbus-based multiprocessor board with several built The VS 9C50-1 is a VMEbus-based multiprocessor board with several built in features to enhance high-speed data flow. It is intended for reduction of in features to enhance high-speed data flow. It is intended for reduction of camera data or other sensor data to object lists. It features an integral FIFO camera data or other sensor data to object lists. It features an integral FIFO buffer between the A/D and slave processors, as well as dual-port RAM buffer between the A/D and slave processors, as well as dual-port RAM between the slaves and the master and the VME. Internal communications between the slaves and the master and the VME. Internal communications are handled via FPGAs and include both serial and TDM transfers. The are handled via FPGAs and include both serial and TDM transfers. The VMEbus interface allows boards to be configured as either master or slaves. VMEbus interface allows boards to be configured as either master or slaves. For special applications the FPGAs may be further reconfigured.For special applications the FPGAs may be further reconfigured.
3-502
VisionSmart Inc.
Development Hardware/Standalone Board
Product Name: VS 8C50-2Platforms Supported: PC, Mac, Sun
Devices Supported: TMS320C5x
Features and Benefits
•• Six Flash A/Ds , 8-bit data up to 10 Mbps per channel, FIFO buffered.Six Flash A/Ds , 8-bit data up to 10 Mbps per channel, FIFO buffered.•• Seven ’C50s communicate using TDM.Seven ’C50s communicate using TDM.•• Flash memory boot for real-time processing engine.Flash memory boot for real-time processing engine.•• Optically-isolated input for tachometer and input signal.Optically-isolated input for tachometer and input signal.•• Two serial RS-422 channels, a CAN bus interface, and other I/O.Two serial RS-422 channels, a CAN bus interface, and other I/O.
Product Description
The VisionSmart VS 8C50-2 is a wonderful board for multi-sensor data The VisionSmart VS 8C50-2 is a wonderful board for multi-sensor data fusion. Each of the six slave processors has a Flash A/D converter feeding fusion. Each of the six slave processors has a Flash A/D converter feeding separate FIFOs. The A/D converter can be used up to 20 Mbps, but FIFO separate FIFOs. The A/D converter can be used up to 20 Mbps, but FIFO size will limit the amount of data at these high rates. Basic processing of size will limit the amount of data at these high rates. Basic processing of data is done in real-time using the ’C50 on-chip RAM. Processed data or data is done in real-time using the ’C50 on-chip RAM. Processed data or solutions are then sent to the master processor using TDM for real-world solutions are then sent to the master processor using TDM for real-world connections through CAN (high-speed serial bus), RS-422 serial, and paral-connections through CAN (high-speed serial bus), RS-422 serial, and paral-lel. The master processor has other inputs for tachometer and broken beam lel. The master processor has other inputs for tachometer and broken beam sensors, all optically isolated. Many software functions are implemented for sensors, all optically isolated. Many software functions are implemented for the hardware. These include serial download, TDM, CAN, tachometer, the hardware. These include serial download, TDM, CAN, tachometer, write to Flash memory, and debug programs.write to Flash memory, and debug programs.
3-503
VisionSmart Inc.
Development Hardware/Multiprocessor Board
Product Name: VS 9C25-1, VS 9C26-2Platforms Supported: PC, Mac, Sun
Devices Supported: TMS320C25, TMS320C26
Features and Benefits
•• Eight ’C25, ’C26 slave processors share data to be processed.Eight ’C25, ’C26 slave processors share data to be processed.•• One ’C25, ’C26 controls secondary data processing and bus transfers.One ’C25, ’C26 controls secondary data processing and bus transfers.•• Serial data from eight slaves connects to single master.Serial data from eight slaves connects to single master.•• Uses VME backplane: not standard VME.Uses VME backplane: not standard VME.•• Two serial channels, one parallel channel, parallel bus interface.Two serial channels, one parallel channel, parallel bus interface.
Product Description
The VS 9C25-1 and VS 9C26-2 are 6U VME boards. The boards use both the The VS 9C25-1 and VS 9C26-2 are 6U VME boards. The boards use both the P1 and P2 planes to transfer data in a non-standard VME method. There is P1 and P2 planes to transfer data in a non-standard VME method. There is an optional three-channel A/D Flash converter card that will provide data an optional three-channel A/D Flash converter card that will provide data for input to the boards through the data bus. Data is divided between the for input to the boards through the data bus. Data is divided between the eight slave processors and processed data is transferred to the master pro-eight slave processors and processed data is transferred to the master pro-cessor using a multiplexed serial channel. Output from the master normally cessor using a multiplexed serial channel. Output from the master normally consists of object lists. In addition, processed data can be output at high consists of object lists. In addition, processed data can be output at high speeds to other optional boards for further processing (like object han-speeds to other optional boards for further processing (like object han-dling). This option would be valuable for complex rule-based decision mak-dling). This option would be valuable for complex rule-based decision mak-ing. These boards provide a low-cost solution to your parallel-processing ing. These boards provide a low-cost solution to your parallel-processing requirements. Software is available to easily use the platform, and custom requirements. Software is available to easily use the platform, and custom software can be added for your application.software can be added for your application.
3-504
Voice Processing CorporationOne Main StreetCambridge, MA 02142 USA(617) 494-0100Fax: (617) 494-4970www: http://www.vpro.com
Company Background Voice Processing Corporation (VPC) is one of the leading suppliers of automated Voice Processing Corporation (VPC) is one of the leading suppliers of automated speech recognition systems. VPC’s products are used in the telecommunications, cellu-speech recognition systems. VPC’s products are used in the telecommunications, cellu-lar, and personal computer markets to enable computers to understand conversational lar, and personal computer markets to enable computers to understand conversational human speech. The company’s VPro™ product line is sold to original equipment manu-human speech. The company’s VPro™ product line is sold to original equipment manu-facturers (OEMs), value-added resellers (VARs), system integrators, and application facturers (OEMs), value-added resellers (VARs), system integrators, and application developers. VPC’s speech-recognition systems are currently used to enable or enhance developers. VPC’s speech-recognition systems are currently used to enable or enhance voice mail, voice-activated dialing, interactive voice response, telephone attendants, voice mail, voice-activated dialing, interactive voice response, telephone attendants, and command and control of personal computers.and command and control of personal computers.
Development Hardware/Multiprocessor Board
Product Name: VPro-42, VPro-84, VPro-88Platforms Supported: IBM PC/AT, DOS, UNIX, OS/2Devices Supported: TMS320C3x
Features and Benefits
•• Talkover™Talkover™•• Word spottingWord spotting•• Over 15 languages supportedOver 15 languages supported•• Wireline and wireless supportWireline and wireless support•• High accuracyHigh accuracy
Product Description
The VPro line of hardware products provides board-level implementations The VPro line of hardware products provides board-level implementations of VPC technologies allowing speech recognition functions to operate inde-of VPC technologies allowing speech recognition functions to operate inde-pendently from host processors. VPro hardware solutions process mulpendently from host processors. VPro hardware solutions process multiple tiple languages and vocabularies simultaneously and with dynamic-resourcelanguages and vocabularies simultaneously and with dynamic-resource allo-allo-cation, are able to load and swap languages and vocabularies on demand.cation, are able to load and swap languages and vocabularies on demand.
The VPro platforms are ISA-bus-compliant boards. VPro platforms sup-The VPro platforms are ISA-bus-compliant boards. VPro platforms sup-port industry-standard interfaces including simultaneous support for both port industry-standard interfaces including simultaneous support for both PEB and MVIP PCM audio buses. Each board supports four to eight Virtual PEB and MVIP PCM audio buses. Each board supports four to eight Virtual Speech Processors (VSPs). Each VSP, depending on load factors, can han-Speech Processors (VSPs). Each VSP, depending on load factors, can han-dle multiple telephone lines. Application and host computers communicate dle multiple telephone lines. Application and host computers communicate with each of the VSPs as separate autonomous units. At the heart of the with each of the VSPs as separate autonomous units. At the heart of the VPro platforms are Texas Instruments TMS320C31 DSPs which provide up VPro platforms are Texas Instruments TMS320C31 DSPs which provide up to 133-MFLOPS of compute power. The platforms can have up to 8 mega-to 133-MFLOPS of compute power. The platforms can have up to 8 mega-bytes of memory shared among all processors. In addition, each processor bytes of memory shared among all processors. In addition, each processor has 512 kBytes of local memory. VPC also supports emerging standards has 512 kBytes of local memory. VPC also supports emerging standards such as the Signal Computing System Architecture (SCSA) API with the such as the Signal Computing System Architecture (SCSA) API with the VPro-on-Antares offering.VPro-on-Antares offering.
3-505
Wavelink Technology Inc.8 F-2, No. 22, Wu-Chun 2nd Road
Wu-Ku Industrial Park
Taipei, Taiwan
+886-2-2995994
Fax: +886-2-2996026
e-mail: [email protected]
Company Background Wavelink is committed to developing state-of-the-art hardware systems, providing Wavelink is committed to developing state-of-the-art hardware systems, providing industry with the edge it needs to succeed in the competitive ’90s. Wavelink designs and industry with the edge it needs to succeed in the competitive ’90s. Wavelink designs and implements complex systems products such as A/D and D/A systems, graphical display implements complex systems products such as A/D and D/A systems, graphical display products for framegrabber, VR, and amusement machines. We also provide consultancy products for framegrabber, VR, and amusement machines. We also provide consultancy and research and development contracting services to a diverse range of clients in the and research and development contracting services to a diverse range of clients in the Asia area. Many of these solutions are commissioned by clients, while others have been Asia area. Many of these solutions are commissioned by clients, while others have been developed in-house and are marketed developed in-house and are marketed
Development Hardware/Plug-In ISA Board
Product Name: WTIM004 SRAM Processing TIM-40Platforms Supported: PC/AT
Devices Supported: TMS320C4x
Features and Benefits
•• 50-/60-MHz TMS320C4x 50-/60-MHz TMS320C4x •• The TIM-40 is a ’C40-based module that provides up to 1-MByte SRAMThe TIM-40 is a ’C40-based module that provides up to 1-MByte SRAM•• The communication ports are made available external to the module The communication ports are made available external to the module
via the primary connectorsvia the primary connectors•• Comm port speed: 20 MbpsComm port speed: 20 Mbps
Product Description
The WTIM004 is a size one TIM-40 plug-in module with a single The WTIM004 is a size one TIM-40 plug-in module with a single TMS320C40 parallel DSP processor and 1 MByte of fast zero-wait-state TMS320C40 parallel DSP processor and 1 MByte of fast zero-wait-state static RAM on the local bus.static RAM on the local bus.
This module is designed to the TIM-40 Module specification. Together This module is designed to the TIM-40 Module specification. Together with the WTIM001, extensive parallel system optimization can be achieved. with the WTIM001, extensive parallel system optimization can be achieved. The JTAG port is used for debugging, and enables use of the TI tools for the The JTAG port is used for debugging, and enables use of the TI tools for the TMS320C40.TMS320C40.
3-506
Wavelink Technology Inc.
Development Hardware/Plug-In ISA Board
Product Name: WTIM001 AT-Based TIM-40Platforms Supported: PC/AT
Devices Supported: TMS320C4x
Features and Benefits
•• Communication link from ’C40 mapped to host PC I/O spaceCommunication link from ’C40 mapped to host PC I/O space•• JTAG boundary-scan interface for non-intrusive control and debugJTAG boundary-scan interface for non-intrusive control and debug•• 16-bit, 50-Mbps peak input rate16-bit, 50-Mbps peak input rate•• 16 bit, 25-Mbps peak output rate16 bit, 25-Mbps peak output rate•• The WTTM001 can be populated with 4/16 MBytes of RAM on the local The WTTM001 can be populated with 4/16 MBytes of RAM on the local
busbus
Product Description
The WTIM001 is a full-length AT-format add-in board for parallel DSP and The WTIM001 is a full-length AT-format add-in board for parallel DSP and parallel processing applications. It has a single TMS320C40 parallel DSP parallel processing applications. It has a single TMS320C40 parallel DSP processor with 4/16 MBytes of memory. The board can be configured with processor with 4/16 MBytes of memory. The board can be configured with up to two single-width ’C40 processor nodes, or specified I/O application up to two single-width ’C40 processor nodes, or specified I/O application modules.modules.
The TMS320C40 communicates with the host computer via FIFO I/O The TMS320C40 communicates with the host computer via FIFO I/O interface facility. Large systems are built by the processor communication interface facility. Large systems are built by the processor communication ports between WTIM001 and additional Wavelink TIM-40 Modules. Addi-ports between WTIM001 and additional Wavelink TIM-40 Modules. Addi-tional JTAG interface to the PC is provided for boundary-scan multiproces-tional JTAG interface to the PC is provided for boundary-scan multiproces-sor debug using TI-based code.sor debug using TI-based code.
The WTIM001 also offers 16-bit input and 16-bit output interface con-The WTIM001 also offers 16-bit input and 16-bit output interface con-nector. The 16-bit, 50-Mbps input interface allows off-board peripheral nector. The 16-bit, 50-Mbps input interface allows off-board peripheral data to be caught as quickly as possible. The other 16-bit, 25-Mbps output data to be caught as quickly as possible. The other 16-bit, 25-Mbps output port allows the maximum data transfer rate to be achieved.port allows the maximum data transfer rate to be achieved.
3-507
Wavelink Technology Inc.
Development Hardware/Plug-In ISA Board
Product Name: C4F True Color FramegrabberPlatforms Supported: PC/AT
Devices Supported: TMS320C4x
Features and Benefits
•• 50-/60-MHz TMS320C4x parallel DSP CPU50-/60-MHz TMS320C4x parallel DSP CPU•• Capable of digitizing four-channel RGB video signals, generating up to Capable of digitizing four-channel RGB video signals, generating up to
24 bits of color pixel information24 bits of color pixel information•• A 1-MByte zero-wait cache SRAM to speed up the real-time processing. A 1-MByte zero-wait cache SRAM to speed up the real-time processing.
A minimum of 4-MBytes working DRAM containing the codes neces-A minimum of 4-MBytes working DRAM containing the codes neces-sary for ’C4x to interface to the host or other ’C4x networksary for ’C4x to interface to the host or other ’C4x network
•• A 512 A 512 × 512 512 × 24-bit pixel VRAM containing the captured image data, 24-bit pixel VRAM containing the captured image data, which can be concurrently accessed by the framegrabbing hardware which can be concurrently accessed by the framegrabbing hardware and on-board ’C4x processorand on-board ’C4x processor
•• A 35-watt power supply as well as an industrial-standard chassis are A 35-watt power supply as well as an industrial-standard chassis are provided to reduce RFT-induced noises and to be a standalone opera-provided to reduce RFT-induced noises and to be a standalone opera-tion designtion design
Product Description
Wavelink’s True Color Composite Video C4F Framegrabber converts NTSC Wavelink’s True Color Composite Video C4F Framegrabber converts NTSC and PAL composite or Y/C analog signals to digital RGB video data at pixel and PAL composite or Y/C analog signals to digital RGB video data at pixel rates from 80 MHz to 16.5 MHz. The C4F is a true-color framegrabber sys-rates from 80 MHz to 16.5 MHz. The C4F is a true-color framegrabber sys-tem to give you real-time image-capture capability at a video rate. On the tem to give you real-time image-capture capability at a video rate. On the TMS320C4x, bit-reversed addressing can be implemented to compute FFT. TMS320C4x, bit-reversed addressing can be implemented to compute FFT. In image compression or image-recognition applications, normalization of In image compression or image-recognition applications, normalization of data values is necessary. The ’C4x also provides a single-cycle reciprocal of data values is necessary. The ’C4x also provides a single-cycle reciprocal of the square root instruction to speed up the normalization computing. Data the square root instruction to speed up the normalization computing. Data can be stored either in RGB or YUV pixel formats. This unique combination can be stored either in RGB or YUV pixel formats. This unique combination of processing hardware facilitates a wide range of multimedia, image com-of processing hardware facilitates a wide range of multimedia, image com-pression, and other applications that require a large amount of image pro-pression, and other applications that require a large amount of image pro-cessing or computation.cessing or computation.
3-508
Wavelink Technology Inc.
Development Hardware/Plug-In ISA Board
Product Name: C4D Data-Acquisition SystemPlatforms Supported: PC/AT
Devices Supported: TMS320C4x
Features and Benefits
•• 50-/60-MHz TMS320C4x parallel DSP CPU50-/60-MHz TMS320C4x parallel DSP CPU•• Three complete 12-bit 1.25 MSPS monolithic A/D converterThree complete 12-bit 1.25 MSPS monolithic A/D converter•• Four 12-bit current output DACs with 1.5-sec output current setting Four 12-bit current output DACs with 1.5-sec output current setting
timetime•• A 1-MByte zero-wait cache SRAM to speed up the real-time processing A 1-MByte zero-wait cache SRAM to speed up the real-time processing
A minimum 4-MBytes working DRAM containing the codes necessary A minimum 4-MBytes working DRAM containing the codes necessary for ’C4x to interface to the host or other ’C4x networkfor ’C4x to interface to the host or other ’C4x network
•• A 35-watt power supply as well as industrial-standard chassis is pro-A 35-watt power supply as well as industrial-standard chassis is pro-vided to reduce RFT-induced noises and to be a standalone operation vided to reduce RFT-induced noises and to be a standalone operation designdesign
Product Description
Wavelink’s C4D is a truly unique data-acquisition system to give you real-Wavelink’s C4D is a truly unique data-acquisition system to give you real-time data streaming support for your A/Ds, D/As, digital I/O, JTAG header, time data streaming support for your A/Ds, D/As, digital I/O, JTAG header, and ’C4x comm port. With the TI C, 3L C, or Helios operating system com-and ’C4x comm port. With the TI C, 3L C, or Helios operating system com-piler, you have full command shells and communication support for UNIX, piler, you have full command shells and communication support for UNIX, MS-Windows, and MS-DOS hosts.MS-Windows, and MS-DOS hosts.
Wavelink has a total DSP solution for you. Wavelink’s C4D DSP-based Wavelink has a total DSP solution for you. Wavelink’s C4D DSP-based data-acquisition system allows you to measure and convert your analog data-acquisition system allows you to measure and convert your analog information on each of three channels at the same time and in real-time.information on each of three channels at the same time and in real-time.
Simultaneous sampling is ideally suited for applications involving in-Simultaneous sampling is ideally suited for applications involving in-phase and quadrature carriers, instantaneous transfer function calculation, phase and quadrature carriers, instantaneous transfer function calculation, or synchronous calculations, and effect measurements. The conversion or synchronous calculations, and effect measurements. The conversion time for the three simultaneous channels is 800 ns without aperture delay.time for the three simultaneous channels is 800 ns without aperture delay.
The supported third-party software treats ’C4x DSP processors as net-The supported third-party software treats ’C4x DSP processors as net-work devices and adapts to any system configuration, from simple single-work devices and adapts to any system configuration, from simple single-processor chassis to extensive multiprocessor systems in any topology.processor chassis to extensive multiprocessor systems in any topology.
3-509
Wavelink Technology Inc.
Development Hardware/Plug-In ISA Board
Product Name: C4T Dual-Processor ModulePlatforms Supported: PC/AT
Devices Supported: TMS320C4x
Features and Benefits
•• Dual 50-/60-MHz TMS320C4x parallel DSP CPUsDual 50-/60-MHz TMS320C4x parallel DSP CPUs•• 50-/60-MFLOPS sustaining rate per ’C4x50-/60-MFLOPS sustaining rate per ’C4x•• 330-/275-MOPS instruction rate per ’C4x330-/275-MOPS instruction rate per ’C4x•• A 1-MByte zero-wait cache SRAM per ’C4x to speed up the real-time A 1-MByte zero-wait cache SRAM per ’C4x to speed up the real-time
processing. A minimum 4-MBytes working DRAM per ’C4x containing processing. A minimum 4-MBytes working DRAM per ’C4x containing the codes necessary for ’C4x to interface to the host or other ’C4x net-the codes necessary for ’C4x to interface to the host or other ’C4x net-workwork
•• A 35-watt power supply as well as an industrial-standard chassis is pro-A 35-watt power supply as well as an industrial-standard chassis is pro-vided to reduce RFI-induced noise and to be a standalone operation vided to reduce RFI-induced noise and to be a standalone operation designdesign
Product Description
Wavelink’s Dual-Processor Module, C4T, which supports up to two Wavelink’s Dual-Processor Module, C4T, which supports up to two TMS320C4x processors carrying SRAM and DRAM, is capable of delivering TMS320C4x processors carrying SRAM and DRAM, is capable of delivering up to 525 Million Operations Per Second (MOPS) and 240-MBytes inter-up to 525 Million Operations Per Second (MOPS) and 240-MBytes inter-processor communication. The C4T is used individually or in groups for processor communication. The C4T is used individually or in groups for constructing arrays of TMS320C4x processors and combining their perfor-constructing arrays of TMS320C4x processors and combining their perfor-mance for use in computing-intensive applications, such as molecular mod-mance for use in computing-intensive applications, such as molecular mod-eling, fluid dynamics computations, weather forecasting, financial eling, fluid dynamics computations, weather forecasting, financial modeling, image processing, digital signal processing, parallel processing, modeling, image processing, digital signal processing, parallel processing, and other numerically-intensive applications. The C4T is electrically com-and other numerically-intensive applications. The C4T is electrically com-pliant with the TI TIM-40 specification.pliant with the TI TIM-40 specification.
A system of any size can be implemented. The wide range of module A system of any size can be implemented. The wide range of module products available allows developers to choose the most appropriate mix of products available allows developers to choose the most appropriate mix of performance and functionality. With Wavelink’s C4F, C4D, or C4G, users performance and functionality. With Wavelink’s C4F, C4D, or C4G, users can build up the image-processing application, digital-control application, can build up the image-processing application, digital-control application, or high-speed graphics application system.or high-speed graphics application system.
Comm port A or comm port B from the C4T is used as a connection to Comm port A or comm port B from the C4T is used as a connection to the ISA bus through Wavelink’s C4XAT Comm Port Adapter, or used as a the ISA bus through Wavelink’s C4XAT Comm Port Adapter, or used as a connection to the other ’C4x processors. The dual-’C4x comm port, and the connection to the other ’C4x processors. The dual-’C4x comm port, and the remaining ports, are brought to the 15-pin D-type connectors.remaining ports, are brought to the 15-pin D-type connectors.
3-510
White Mountain DSP, Inc.410 Amherst St., Suite 325Nashua, NH 03063(603) 883-2430Fax: (603) 882-2655e-mail: [email protected]
Company Background White Mountain DSP, Inc. is the leading third-party supplier of emulators and develop-White Mountain DSP, Inc. is the leading third-party supplier of emulators and develop-ment systems for the Texas Instruments DSP product line. Emulators from White ment systems for the Texas Instruments DSP product line. Emulators from White Mountain DSP are available for both PC-AT and Sun workstation hosts providing devel-Mountain DSP are available for both PC-AT and Sun workstation hosts providing devel-opment support for the Texas Instruments TMS320C2xx, TMS320C3x, TMS320C4x, opment support for the Texas Instruments TMS320C2xx, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C54x, and TMS320C8x DSPs. In addition, White Mountain DSP is TMS320C5x, TMS320C54x, and TMS320C8x DSPs. In addition, White Mountain DSP is a licensed distributor of Texas Instruments, Tartan, and GO DSP source-level debug-a licensed distributor of Texas Instruments, Tartan, and GO DSP source-level debug-gers and code-generation products.gers and code-generation products.
Development Hardware/Emulator
Product Name: Mountain-510 Universal EmulatorPlatforms Supported: DOS, Windows, OS/2Devices Supported: TMS320C2xx, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C54x, TMS320C8x
Features and Benefits
•• Half-size PC-AT card supports both ’C3x and all JTAG DSPsHalf-size PC-AT card supports both ’C3x and all JTAG DSPs•• ’C2xx/’C3x/’C4x/’C5x/’C54x system runs under DOS and Windows ’C2xx/’C3x/’C4x/’C5x/’C54x system runs under DOS and Windows
(’C8x runs under Windows NT)(’C8x runs under Windows NT)•• Rugged 3-V/5-V emulation podRugged 3-V/5-V emulation pod•• Available with Texas Instruments C/assembly source debugger, Vista-Available with Texas Instruments C/assembly source debugger, Vista-
MP multiprocessor debugger, Tartan C/C++ debugger, and/or GO DSP MP multiprocessor debugger, Tartan C/C++ debugger, and/or GO DSP Code Composer environment.Code Composer environment.
•• Surveyor™ test environment included at no extra charge (subject to Surveyor™ test environment included at no extra charge (subject to availability)availability)
Product Description
The White Mountain DSP Mountain-510 Universal Emulator eliminates the The White Mountain DSP Mountain-510 Universal Emulator eliminates the cost barrier to DSP development by providing C/assembly source level cost barrier to DSP development by providing C/assembly source level debugging capability for the entire ’C2xx, ’C3x, ’C4x, ’C5x, ’C54x, and ’C8x debugging capability for the entire ’C2xx, ’C3x, ’C4x, ’C5x, ’C54x, and ’C8x DSP families. The Mountain-510’s scan-path connection controls the DSPs DSP families. The Mountain-510’s scan-path connection controls the DSPs within the targeted applications as well as both internal and external mem-within the targeted applications as well as both internal and external mem-ory. The Mountain-510 is bundled with one or more of the most popular ory. The Mountain-510 is bundled with one or more of the most popular debuggers for TI’s DSPs including the Texas Instruments C/assembly debuggers for TI’s DSPs including the Texas Instruments C/assembly source debugger, the White Mountain DSP multiprocessor version of the source debugger, the White Mountain DSP multiprocessor version of the Texas Instruments debugger for DOS and Windows, the Tartan C/C++ Texas Instruments debugger for DOS and Windows, the Tartan C/C++ debugger, or the GO DSP Code Composer environment. This flexible com-debugger, or the GO DSP Code Composer environment. This flexible com-bination of universal emulator and debug environment provides a rich fea-bination of universal emulator and debug environment provides a rich fea-ture set for all TMS320 DSP development environments.ture set for all TMS320 DSP development environments.
3-511
White Mountain DSP, Inc.
Development Hardware/Emulator
Product Name: Mountain-510/LT Universal EmulatorPlatforms Supported: DOS, Windows
Devices Supported: TMS320C2xx, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C54x, TMS320C8x
Features and Benefits
•• Type III (PCMCIA) PC Card supports all portables with Type III slotsType III (PCMCIA) PC Card supports all portables with Type III slots•• ’C2xx/’C3x/’C4x/’C5x/’C54x system runs under DOS and Windows’C2xx/’C3x/’C4x/’C5x/’C54x system runs under DOS and Windows•• ’C8x system runs under Windows-NT’C8x system runs under Windows-NT•• Rugged 3-V/5-V emulation podRugged 3-V/5-V emulation pod•• Available with Texas Instruments C/assembly source debugger, Vista-Available with Texas Instruments C/assembly source debugger, Vista-
MP multiprocessor debugger, Tartan C/C++ debugger, and/or GO DSP MP multiprocessor debugger, Tartan C/C++ debugger, and/or GO DSP Code Composer environmentCode Composer environment
•• Surveyor test environment included at no extra charge (subject to Surveyor test environment included at no extra charge (subject to availability)availability)
Product Description
The White Mountain DSP Mountain-510/LT Universal Emulator provides The White Mountain DSP Mountain-510/LT Universal Emulator provides support for all portable computers with a Type III (PCMCIA) slot making support for all portable computers with a Type III (PCMCIA) slot making mobile emulation a reality. The Mountain-510/LT is bundled with one or mobile emulation a reality. The Mountain-510/LT is bundled with one or more of the most popular debuggers for TI’s DSPs including the Texas more of the most popular debuggers for TI’s DSPs including the Texas Instruments C/assembly source debugger, the White Mountain DSP multi-Instruments C/assembly source debugger, the White Mountain DSP multi-processor version of the Texas Instruments debugger for DOS and Win-processor version of the Texas Instruments debugger for DOS and Win-dows, the Tartan C/C++ debugger, or the GO DSP Code Composer dows, the Tartan C/C++ debugger, or the GO DSP Code Composer environment. This flexible combination of universal emulator and debug environment. This flexible combination of universal emulator and debug environment provides a rich feature set for all TMS320 DSP development environment provides a rich feature set for all TMS320 DSP development environments.environments.
3-512
White Mountain DSP, Inc.
Development Hardware/Emulator
Product Name: Mountain-510/WS Universal Workstation EmulatorPlatforms Supported: SunOS 4.1.x, Solaris 2.x
Devices Supported: TMS320C2xx, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C54x, TMS320C8x
Features and Benefits
•• Sun SBus card supports both ’C3x and all JTAG DSPsSun SBus card supports both ’C3x and all JTAG DSPs•• Runs as an X-Window application under OpenWindows version 3Runs as an X-Window application under OpenWindows version 3•• Rugged 3-V/5-V emulation podRugged 3-V/5-V emulation pod•• Available with Texas Instruments C/assembly source debugger and/or Available with Texas Instruments C/assembly source debugger and/or
Tartan C/C++ debuggerTartan C/C++ debugger•• Support for SunOS 4.1.x and Solaris 2.x availableSupport for SunOS 4.1.x and Solaris 2.x available•• Support for Tartan’s AdaScope debugger availableSupport for Tartan’s AdaScope debugger available
Product Description
The White Mountain DSP Mountain-510/WS Universal Workstation Emula-The White Mountain DSP Mountain-510/WS Universal Workstation Emula-tor provides Sun SBus-compatible C/assembly source level debugging for tor provides Sun SBus-compatible C/assembly source level debugging for the ’C2xx, ’C3x, ’C4x, ’C5x, ’C54x, and ’C8x DSP families. The Mountain-the ’C2xx, ’C3x, ’C4x, ’C5x, ’C54x, and ’C8x DSP families. The Mountain-510/WS scan path connection controls the DSPs within the targeted appli-510/WS scan path connection controls the DSPs within the targeted appli-cation and allows access to the complete register set as well as both inter-cation and allows access to the complete register set as well as both inter-nal and external memory. The Mountain-510/WS is bundled with one or nal and external memory. The Mountain-510/WS is bundled with one or more of the most popular debuggers for TI’s DSPs including the Texas more of the most popular debuggers for TI’s DSPs including the Texas Instruments C/assembly source debugger, the Tartan C/C++ debugger, and Instruments C/assembly source debugger, the Tartan C/C++ debugger, and the Tartan AdaScope debugger. This flexible combination of universal emu-the Tartan AdaScope debugger. This flexible combination of universal emu-lator and debug environment provides a rich feature set for all TMS320 lator and debug environment provides a rich feature set for all TMS320 DSP development environments.DSP development environments.
3-513
White Mountain DSP, Inc.
Application Software/Debugging Tools
Product Name: Vista-MP�Platforms Supported: DOS, WindowsDevices Supported: TMS320C2xx, TMS320C4x, TMS320C5x, TMS320C54x
Features and Benefits
•• DOS and Windows versions of the Texas Instruments C source debug-DOS and Windows versions of the Texas Instruments C source debug-ger support debugging of multiple TMS320C2xx, TMS320C4x, ger support debugging of multiple TMS320C2xx, TMS320C4x, TMS320C5x, and TMS320C54x DSP systems, OS/2 is not requiredTMS320C5x, and TMS320C54x DSP systems, OS/2 is not required
•• Full parallel debug manager (PDM) capabilities Full parallel debug manager (PDM) capabilities •• Available for the Texas Instruments XDS510, XDS510XL, the White Available for the Texas Instruments XDS510, XDS510XL, the White
Mountain DSP Mountain series of boards, and other third-party hard-Mountain DSP Mountain series of boards, and other third-party hard-wareware
•• Speeds both hardware development and software debugging with the Speeds both hardware development and software debugging with the familiar DOS and Windows operating environmentsfamiliar DOS and Windows operating environments
•• Runs under DOS 5.0, Windows 3.0 or later, and Windows 95Runs under DOS 5.0, Windows 3.0 or later, and Windows 95
Product Description
Vista-MP provides the ability to debug multiple TMS320C2xx, TMS320C4x, Vista-MP provides the ability to debug multiple TMS320C2xx, TMS320C4x, TMS320C5x, and TMS320C54x DSP systems under DOS or Windows, a TMS320C5x, and TMS320C54x DSP systems under DOS or Windows, a task that could originally only be performed under OS/2, slowing software task that could originally only be performed under OS/2, slowing software development due to the learning curve required to work in an operating development due to the learning curve required to work in an operating system most DSP engineers find unfamiliar.system most DSP engineers find unfamiliar.
Vista-MP is a direct result of customer requests for a DOS and Win-Vista-MP is a direct result of customer requests for a DOS and Win-dows-based multiple-DSP debugger. Years of experience in developing dows-based multiple-DSP debugger. Years of experience in developing Texas Instruments C source debugger-based products have been combined Texas Instruments C source debugger-based products have been combined with a from-the-ground-up approach to Windows to come up with an ideal with a from-the-ground-up approach to Windows to come up with an ideal multiprocessor debug environment.multiprocessor debug environment.
Vista-MP replaces the OS/2 versions of the TI C source debugger with Vista-MP replaces the OS/2 versions of the TI C source debugger with DOS and Windows versions which support debugging of one or any combi-DOS and Windows versions which support debugging of one or any combi-nation of JTAG DSPs on target hardware (DOS, Windows respectively).nation of JTAG DSPs on target hardware (DOS, Windows respectively).
3-514
White Mountain DSP, Inc.
Application Software/Debugging Tools
Product Name: Surveyor�Platforms Supported: DOS, WindowsDevices Supported: TMS320C2xx, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C54x
Features and Benefits
•• Emulator test and analysisEmulator test and analysis•• Emulator/DSP scan-path functional testsEmulator/DSP scan-path functional tests•• DSP CPU and memory testsDSP CPU and memory tests•• DSP environment variable searchDSP environment variable search•• Extensive Windows help file for both emulator and DSPExtensive Windows help file for both emulator and DSP
Product Description
Surveyor solves one of the most common problems existing today in Surveyor solves one of the most common problems existing today in TMS320 DSP development: initial DSP board debug. Often new DSP board TMS320 DSP development: initial DSP board debug. Often new DSP board designs inhibit the scan-based emulators available for the Texas Instru-designs inhibit the scan-based emulators available for the Texas Instru-ments DSPs from correctly operating. When this happens, the developer is ments DSPs from correctly operating. When this happens, the developer is left with little in the way of test resources as the emulator is considered the left with little in the way of test resources as the emulator is considered the primary vehicle for both hardware and software test and integration. Sur-primary vehicle for both hardware and software test and integration. Sur-veyor provides an abundance of information to assist the engineer in bring-veyor provides an abundance of information to assist the engineer in bring-ing up the target DSP board using an XDS510 emulator or compatible.ing up the target DSP board using an XDS510 emulator or compatible.
Surveyor replaces the “CANNOT INITIALIZE THE TARGET” message Surveyor replaces the “CANNOT INITIALIZE THE TARGET” message with a full complement of menus and options that allow developers to get with a full complement of menus and options that allow developers to get inside of their hardware and examine the causes of these problems. Devel-inside of their hardware and examine the causes of these problems. Devel-opers follow a step-by-step process for tracking down problems within their opers follow a step-by-step process for tracking down problems within their target system rather than working by trial and error and guesswork. Sur-target system rather than working by trial and error and guesswork. Sur-veyor is included at no extra charge with all White Mountain DSP Moun-veyor is included at no extra charge with all White Mountain DSP Moun-tain-510 hardware (subject to availability).tain-510 hardware (subject to availability).
3-515
White Mountain DSP, Inc.
Development Hardware/Emulator
Product Name: Mountain-30 Emulator/Target SystemPlatforms Supported: DOS, Windows
Devices Supported: TMS320C3x
Features and Benefits
•• PC-AT compatible (16-bit) full-size card mappable to one of 12 I/O PC-AT compatible (16-bit) full-size card mappable to one of 12 I/O locationslocations
•• Emulation for the entire ’C3x family with 3-V/5-V pod and one-meter Emulation for the entire ’C3x family with 3-V/5-V pod and one-meter cable (included)cable (included)
•• Texas Instruments C/assembly source debugger (latest version)Texas Instruments C/assembly source debugger (latest version)•• 33-MHz TMS320C30 floating-point DSP on-card for evaluation of user 33-MHz TMS320C30 floating-point DSP on-card for evaluation of user
algorithmsalgorithms•• 32-Kwords zero-wait-state SRAM on primary bus (expandable to 32-Kwords zero-wait-state SRAM on primary bus (expandable to
288K)288K)
Product Description
The White Mountain DSP Mountain-30 Emulator/Target System offers a The White Mountain DSP Mountain-30 Emulator/Target System offers a unique approach to TMS320C3x hardware/software development. The unique approach to TMS320C3x hardware/software development. The Mountain-30 system provides state-of-the-art emulation and support for Mountain-30 system provides state-of-the-art emulation and support for the complete ’C3x family of DSPs. This system gives you both a target DSP the complete ’C3x family of DSPs. This system gives you both a target DSP for evaluation of your ’C3x algorithms using an on-card 33-MFLOP for evaluation of your ’C3x algorithms using an on-card 33-MFLOP TMS320C30 as well as off-card emulation via the familiar scan-path connec-TMS320C30 as well as off-card emulation via the familiar scan-path connec-tion with a one-meter cable and 12-pin header (supplied). Memory on-card tion with a one-meter cable and 12-pin header (supplied). Memory on-card is expandable from 32 kwords of zero-wait-state SRAM. Analog interface is expandable from 32 kwords of zero-wait-state SRAM. Analog interface circuits provide both analog-to-digital and digital-to-analog conversion. A circuits provide both analog-to-digital and digital-to-analog conversion. A dual-port RAM interface is also resident, enhancing the use of the target dual-port RAM interface is also resident, enhancing the use of the target DSP via fast data transfer between the TMS320C30 and the PC-AT host DSP via fast data transfer between the TMS320C30 and the PC-AT host processor. The Mountain-30 is the choice of developers who desire a soft-processor. The Mountain-30 is the choice of developers who desire a soft-ware test bed early in the design stage and consequently require full-fea-ware test bed early in the design stage and consequently require full-fea-tured ’C3x emulation capability when target (DSP) hardware becomes tured ’C3x emulation capability when target (DSP) hardware becomes available.available.
3-516
White Mountain DSP, Inc.
Development Hardware/Emulator
Product Name: Mountain-32 Emulator/Target SystemPlatforms Supported: DOS, Windows
Devices Supported: TMS320C32, TMS320C3x
Features and Benefits
•• PC-AT compatible (16-bit) half-size card mappable to one of 18 I/O PC-AT compatible (16-bit) half-size card mappable to one of 18 I/O locationslocations
•• Emulation for the entire ’C3x family with 3-V/5-V pod and one-meter Emulation for the entire ’C3x family with 3-V/5-V pod and one-meter cable (included)cable (included)
•• Texas Instruments C/assembly source debugger (latest version)Texas Instruments C/assembly source debugger (latest version)•• 50-MHz TMS320C3250-MHz TMS320C32•• Two separately configurable 128K banks of zero-wait-state SRAMTwo separately configurable 128K banks of zero-wait-state SRAM•• External access to on-card TMS320C32 serial port and timersExternal access to on-card TMS320C32 serial port and timers
Product Description
The Mountain-32 Emulator/Target System from White Mountain DSP is The Mountain-32 Emulator/Target System from White Mountain DSP is designed for developers using the new TMS320C32 floating-point DSP from designed for developers using the new TMS320C32 floating-point DSP from Texas Instruments. The Mountain-32 offers an inexpensive and flexible Texas Instruments. The Mountain-32 offers an inexpensive and flexible approach to both hardware and software development for the TMS320C32. approach to both hardware and software development for the TMS320C32. This system gives you an on-card 50-MHz TMS320C32 DSP for evaluation This system gives you an on-card 50-MHz TMS320C32 DSP for evaluation of your TMS320C32 algorithms, as well as off-card emulation capability via of your TMS320C32 algorithms, as well as off-card emulation capability via the familiar scan-path connection with White Mountain DSP’s 3-V/5-V emu-the familiar scan-path connection with White Mountain DSP’s 3-V/5-V emu-lation pod and cable. Via the pod and cable, the Mountain-32 provides lation pod and cable. Via the pod and cable, the Mountain-32 provides state-of-the-art emulation for the entire TMS320C3x family of DSPs. The state-of-the-art emulation for the entire TMS320C3x family of DSPs. The Mountain-32 is the choice of developers who desire a software test bed Mountain-32 is the choice of developers who desire a software test bed early in the design stage and consequently require full-featured ’C3x emu-early in the design stage and consequently require full-featured ’C3x emu-lation capability when target (DSP) hardware becomes available.lation capability when target (DSP) hardware becomes available.
3-517
White Mountain DSP, Inc.
Development Hardware/Plug-In Board
Product Name: Slalom-40 Development SystemPlatforms Supported: DOS, Windows
Devices Supported: TMS320C40
Features and Benefits
•• PC-AT compatible (16-bit) card with single or dual 40-/50-MHz PC-AT compatible (16-bit) card with single or dual 40-/50-MHz TMS320C40 DSP(s)TMS320C40 DSP(s)
•• Texas Instruments C/assembly source debugger (latest version)Texas Instruments C/assembly source debugger (latest version)•• Supports up to 1-MB zero-wait-state SRAM SIMM on each ’C40 local Supports up to 1-MB zero-wait-state SRAM SIMM on each ’C40 local
busbus•• ’C40 global bus daughter-card site for each DSP’C40 global bus daughter-card site for each DSP•• Shared memory and communication port interconnect between ’C40sShared memory and communication port interconnect between ’C40s•• External access to four communication ports per DSPExternal access to four communication ports per DSP
Product Description
The Slalom-40 is a complete development environment for prototyping The Slalom-40 is a complete development environment for prototyping with multiple TMS320C4x DSPs. The Slalom-40 comes standard with two with multiple TMS320C4x DSPs. The Slalom-40 comes standard with two TMS320C40 DSPs, 64K SRAM memory per DSP, and a multitude of com-TMS320C40 DSPs, 64K SRAM memory per DSP, and a multitude of com-munication options for data exchange between DSPs including comm port munication options for data exchange between DSPs including comm port transfer as well as dual-port SRAM. The Slalom-40 includes the Vista-MP transfer as well as dual-port SRAM. The Slalom-40 includes the Vista-MP debugger for DOS and Windows and therefore provides a complete single-debugger for DOS and Windows and therefore provides a complete single-card development system, no external emulation card is required.card development system, no external emulation card is required.
3-518
White Mountain DSP, Inc.
Development Hardware/Plug-In Board
Product Name: Slalom-50 Development SystemPlatforms Supported: DOS, Windows
Devices Supported: TMS320C5x
Features and Benefits
•• Two 57-MHz TMS320C51 DSPs on-card for evaluation of user algo-Two 57-MHz TMS320C51 DSPs on-card for evaluation of user algo-rithmsrithms
•• 64k program memory each, 64k data memory each64k program memory each, 64k data memory each•• 2" 2" × 4" daughter card area for each ’C51 provides access to 64k I/O 4" daughter card area for each ’C51 provides access to 64k I/O
spacespace•• Shared memory, TDM, and serial interprocessor communication Shared memory, TDM, and serial interprocessor communication •• Optional ’C5x emulation with 3-V/5-V emulation pod and one meter Optional ’C5x emulation with 3-V/5-V emulation pod and one meter
cablecable
Product Description
The Slalom-50 is a complete development system for the TMS320C5x fam-The Slalom-50 is a complete development system for the TMS320C5x fam-ily of digital signal processors. The Slalom-50 incorporates two 57-MHz ily of digital signal processors. The Slalom-50 incorporates two 57-MHz TMS320C51 DSPs, a full complement of memory, plus daughterboard I/O TMS320C51 DSPs, a full complement of memory, plus daughterboard I/O capability. The Slalom-50 is bundled with the Vista-MP debugger to provide capability. The Slalom-50 is bundled with the Vista-MP debugger to provide a fully-integrated development system which expedites the generation, a fully-integrated development system which expedites the generation, debugging, and optimization of ’C5x-based hardware and software. With debugging, and optimization of ’C5x-based hardware and software. With many new ’C5x designs being multiprocessor architectures, the Slalom-50’s many new ’C5x designs being multiprocessor architectures, the Slalom-50’s pair of ’C51s makes it an ideal platform for system prototyping. The Slalom-pair of ’C51s makes it an ideal platform for system prototyping. The Slalom-50 is the choice of developers who desire a software test bed early in the 50 is the choice of developers who desire a software test bed early in the design stage and consequently require full-featured ’C5x emulation capa-design stage and consequently require full-featured ’C5x emulation capa-bility when target (DSP) hardware becomes available.bility when target (DSP) hardware becomes available.
3-519
Wintriss Engineering Corp.6342 Ferris SquareSan Diego, CA 92121-3244 USA(800) 550-7300 or (619) 550-7300Fax: (619) 550-0373e-mail: [email protected]: http://www.weco.com
Company Background Wintriss Engineering develops and manufactures imaging and measurement systems for Wintriss Engineering develops and manufactures imaging and measurement systems for machine vision applications. In addition to an expanding product line of CCD camera machine vision applications. In addition to an expanding product line of CCD camera boards and DSP development and image processing boards, Wintriss Engineering also boards and DSP development and image processing boards, Wintriss Engineering also provides custom engineering and contract manufacturing services. Specializing in CCD- provides custom engineering and contract manufacturing services. Specializing in CCD- and DSP-based applications, Wintriss Engineering develops designs based on customer and DSP-based applications, Wintriss Engineering develops designs based on customer concepts and/or specifications.concepts and/or specifications.
Development Hardware/Plug-In ISA Board
Product Name: Cornet 1000 DSP Development BoardsPlatforms Supported: PCDevices Supported: TMS320C30
Features and Benefits
•• 33/40-MHz TMS320C30 DSP33/40-MHz TMS320C30 DSP•• Room for up to 8 MB (33 MHz) or up to 4 MB (40 MHz) zero-wait-state Room for up to 8 MB (33 MHz) or up to 4 MB (40 MHz) zero-wait-state
SRAM SIMMsSRAM SIMMs•• Prototyping daughter board interface for ’C30 bus access (customized Prototyping daughter board interface for ’C30 bus access (customized
boards available)boards available)•• Interrupt-driven interface from hostInterrupt-driven interface from host•• TI C and Tartan C/C++ software optionsTI C and Tartan C/C++ software options
Product Description
The low-cost Cornet 1000 DSP Development Boards provide economical The low-cost Cornet 1000 DSP Development Boards provide economical yet versatile solutions in developing applications in OEM computer sys-yet versatile solutions in developing applications in OEM computer sys-tems, audio, telecommunications, signal processing, and co-processing.tems, audio, telecommunications, signal processing, and co-processing.
The 8-bit ISA-bus, 200 kB/sec data-transfer rate Cornet 1000 provides The 8-bit ISA-bus, 200 kB/sec data-transfer rate Cornet 1000 provides unbuffered access to all ’C30 signals via its prototyping daughter board unbuffered access to all ’C30 signals via its prototyping daughter board interface. This 4-layer prototyping daughter board mounts to the Cornet interface. This 4-layer prototyping daughter board mounts to the Cornet 1000 via two 96-pin DIN connectors. Measuring 9.53 cm 1000 via two 96-pin DIN connectors. Measuring 9.53 cm × 33.0 cm and 33.0 cm and 0.229 cm thick, the daughter board has power and ground planes plus two 0.229 cm thick, the daughter board has power and ground planes plus two additional power buses for user applications. It has a wire wrap area as well additional power buses for user applications. It has a wire wrap area as well and all ’C30 signals are available unbuffered and labeled directly on the and all ’C30 signals are available unbuffered and labeled directly on the daughter board. Wintriss Engineering offers either blank or customized, daughter board. Wintriss Engineering offers either blank or customized, application-specific daughter boards for the Cornet 1000.application-specific daughter boards for the Cornet 1000.
The Cornet 1000 is fully compatible with TI’s ’C30 C compiler, assem-The Cornet 1000 is fully compatible with TI’s ’C30 C compiler, assem-bler, linker, and source level debugger as well as Tartan’s ’C30 C and C++ bler, linker, and source level debugger as well as Tartan’s ’C30 C and C++ compilation systems and debuggers.compilation systems and debuggers.
3-520
Wintriss Engineering Corp.
Development Hardware/Plug-In ISA Board
Product Name: Maestro 2100S DSP Development BoardsPlatforms Supported: PCDevices Supported: TMS320C30
Features and Benefits
•• 33/40-MHz TMS320C30 DSP33/40-MHz TMS320C30 DSP•• Up to 2 MB on-board dual-port SRAMUp to 2 MB on-board dual-port SRAM•• Room for up to 6 MB zero-wait-state SRAM SIMMsRoom for up to 6 MB zero-wait-state SRAM SIMMs•• Prototyping daughter board interface for ’C30 bus access (customized Prototyping daughter board interface for ’C30 bus access (customized
boards available)boards available)•• TI C and Tartan C/C++ software optionsTI C and Tartan C/C++ software options
Product Description
The Maestro 2100S DSP Development Boards provide the speed and versa-The Maestro 2100S DSP Development Boards provide the speed and versa-tility to develop applications in OEM computer systems, audio, telecommu-tility to develop applications in OEM computer systems, audio, telecommu-nications, signal processing, and co-processing.nications, signal processing, and co-processing.
The 16-bit ISA-bus, 4.2-MB/sec data-transfer rate Maestro 2100S pro-The 16-bit ISA-bus, 4.2-MB/sec data-transfer rate Maestro 2100S pro-vides unbuffered access to all ’C30 signals via its prototyping daughter vides unbuffered access to all ’C30 signals via its prototyping daughter board interface. This 4-layer prototyping daughter board mounts to the board interface. This 4-layer prototyping daughter board mounts to the Maestro 2100S via two 96-pin DIN connectors. Measuring 9.53 cm Maestro 2100S via two 96-pin DIN connectors. Measuring 9.53 cm × 33.0 cm and 0.229 cm thick, the daughter board has power and ground 33.0 cm and 0.229 cm thick, the daughter board has power and ground planes plus two additional power buses for user applications. It has a wire planes plus two additional power buses for user applications. It has a wire wrap area as well and all ’C30 signals are available unbuffered and labeled wrap area as well and all ’C30 signals are available unbuffered and labeled directly on the daughter board. Wintriss Engineering offers either blank or directly on the daughter board. Wintriss Engineering offers either blank or customized, application-specific daughter boards for the Maestro 2100S.customized, application-specific daughter boards for the Maestro 2100S.
The Maestro 2100S is fully compatible with TI’s ’C30 C compiler, The Maestro 2100S is fully compatible with TI’s ’C30 C compiler, assembler, linker, and source-level debugger as well as Tartan’s ’C30 C and assembler, linker, and source-level debugger as well as Tartan’s ’C30 C and C++ compilation systems and debuggers.C++ compilation systems and debuggers.
3-521
Wintriss Engineering Corp.
Development Hardware/Plug-In ISA Board
Product Name: Maestro 2100D DSP Development BoardsPlatforms Supported: PCDevices Supported: TMS320C30
Features and Benefits
•• 33 MHz TMS320C30 DSP33 MHz TMS320C30 DSP•• 2 MB on-board dual-access SRAM2 MB on-board dual-access SRAM•• Room for up to 64 MB DRAM SIMMsRoom for up to 64 MB DRAM SIMMs•• Prototyping daughter board interface for ’C30 bus access (customized Prototyping daughter board interface for ’C30 bus access (customized
boards available)boards available)•• TI C and Tartan C/C++ software optionsTI C and Tartan C/C++ software options
Product Description
The Maestro 2100D DSP Development Boards provide the memory and The Maestro 2100D DSP Development Boards provide the memory and versatility to develop applications in OEM computer systems, audio, tele-versatility to develop applications in OEM computer systems, audio, tele-communications, signal processing, and co-processing.communications, signal processing, and co-processing.
The 16-bit ISA-bus, 4.2-MB/sec data-transfer rate Maestro 2100D pro-The 16-bit ISA-bus, 4.2-MB/sec data-transfer rate Maestro 2100D pro-vides unbuffered access to all ’C30 signals via its prototyping daughter vides unbuffered access to all ’C30 signals via its prototyping daughter board interface. This 4-layer prototyping daughter board mounts to the board interface. This 4-layer prototyping daughter board mounts to the Maestro 2100D via two 96-pin DIN connectors. Measuring 9.53 cm Maestro 2100D via two 96-pin DIN connectors. Measuring 9.53 cm × 33.0 33.0 cm and 0.229 cm thick, the daughter board has power and ground planes cm and 0.229 cm thick, the daughter board has power and ground planes plus two additional power buses for user applications. It has a wire wrap plus two additional power buses for user applications. It has a wire wrap area as well and all ’C30 signals are available unbuffered and labeled area as well and all ’C30 signals are available unbuffered and labeled directly on the daughter board. Wintriss Engineering offers either blank or directly on the daughter board. Wintriss Engineering offers either blank or customized, application-specific daughter boards for the Maestro 2100D.customized, application-specific daughter boards for the Maestro 2100D.
The Maestro 2100D is fully compatible with TI’s ’C30 C compiler, The Maestro 2100D is fully compatible with TI’s ’C30 C compiler, assembler, linker, and source level debugger as well as Tartan’s ’C30 C and assembler, linker, and source level debugger as well as Tartan’s ’C30 C and C++ compilation systems and debuggers.C++ compilation systems and debuggers.
3-522
Wintriss Engineering Corp.
Development Hardware/Standalone Boards
Product Name: Master MVP Development SystemsPlatforms Supported: StandaloneDevices Supported: TMS320C80
Features and Benefits
•• 50-MHz TMS320C80 DSP (2 BOPS)50-MHz TMS320C80 DSP (2 BOPS)•• Room for up to 24-MB SRAM SIMMsRoom for up to 24-MB SRAM SIMMs•• Up to four 330 Mbit/sec serial links for xmt and rcv of digital bitstreamsUp to four 330 Mbit/sec serial links for xmt and rcv of digital bitstreams•• 4 MB on-board VRAM and VGA controller for video display4 MB on-board VRAM and VGA controller for video display•• Two prototyping daughter board interfaces for ’C80 bus access (cus-Two prototyping daughter board interfaces for ’C80 bus access (cus-
tomized boards available)tomized boards available)
Product Description
The Master MVP Development Systems provide the essential hardware The Master MVP Development Systems provide the essential hardware tools necessary for developing applications in real-time image processing, tools necessary for developing applications in real-time image processing, 3-D graphics, videoconferencing, telecommunications, and signal process-3-D graphics, videoconferencing, telecommunications, and signal process-ing.ing.
The standalone Master, complete with its own power supply and enclo-The standalone Master, complete with its own power supply and enclo-sure, uses an on-board JTAG port to receive program downloads from a sure, uses an on-board JTAG port to receive program downloads from a SPARC or a PC. The Master can operate in an embedded system with the SPARC or a PC. The Master can operate in an embedded system with the help of a 512k help of a 512k × 8 EPROM. 8 EPROM.
For high-speed communications with external hardware, the Master For high-speed communications with external hardware, the Master uses up to four 330 Mbit/sec digital serial links. For slower data transfer, uses up to four 330 Mbit/sec digital serial links. For slower data transfer, the Master provides two RS-232 ports. Image overlaying is possible with a the Master provides two RS-232 ports. Image overlaying is possible with a feature connector, while general-purpose I/O is provided via eight digital feature connector, while general-purpose I/O is provided via eight digital bits.bits.
The Master is fully compatible with TI’s ’C80 software development The Master is fully compatible with TI’s ’C80 software development tools for the SPARC and the PC.tools for the SPARC and the PC.
3-523
Xcom Multimedia CommunicationsZAC Le Pré Milliet38330 MontbonnotFrance(33) 76 52 00 46Fax: (33) 76 52 03 97
Company BackgroundXcom Multimedia Communications is a leading provider of speech-processing hardware Xcom Multimedia Communications is a leading provider of speech-processing hardware and software for voice servers.and software for voice servers.
Development Hardware/Plug-In Module/PC-EISA Board
Product Name: XF30/MVIPPlatforms Supported: OS/2, UNIXDevices Supported: TMS320C50, TMS320C540
Features and Benefits
•• Compact 30-lines board with ISDN connection for voice servers Compact 30-lines board with ISDN connection for voice servers
Product Description
The XF 30/MVIP 30-lines board can be used to build compact voice servers The XF 30/MVIP 30-lines board can be used to build compact voice servers (from 30 to 180 lines) under UNIX or OS/2.(from 30 to 180 lines) under UNIX or OS/2.The board handles the following features:The board handles the following features:•• T2 ISDN or R2 network interfaceT2 ISDN or R2 network interface•• DTMF detectionDTMF detection•• G.711/G.726 speech encoding/decodingG.711/G.726 speech encoding/decoding•• Speech recognition with echo cancellationSpeech recognition with echo cancellation•• Text-to-speech synthesisText-to-speech synthesis•• Voice conferencingVoice conferencing•• X.25 data transmissionX.25 data transmission•• V.23 modemV.23 modem•• MVIP connectionMVIP connection
3-524
Zeelan Technology, Inc.10550 SW Allen Blvd.Beaverton, OR 97005(503) 520-1000Fax: (503) 520-1001e-mail: [email protected]
Company Background Zeelan Technology provides measurement based models for simulation. To identify Zeelan Technology provides measurement based models for simulation. To identify problems, designers simulate the physical layout of their circuit boards before fabricat-problems, designers simulate the physical layout of their circuit boards before fabricat-ing circuit cards. Simulators require models that account for the high-frequency ing circuit cards. Simulators require models that account for the high-frequency effects of digital parts. Zeelan is the source of these models by providing a model for effects of digital parts. Zeelan is the source of these models by providing a model for each unique part from each semiconductor manufacturer. Zeelan provides standard each unique part from each semiconductor manufacturer. Zeelan provides standard
libraries, ModelViewerlibraries, ModelViewer®® and custom models that match the simulator and workstation and custom models that match the simulator and workstation of the designer’s choice.of the designer’s choice.
Measurement-Based Signal Integrity Models
Product Name: MasterModel® TMS320C Model LibrariesPlatforms Supported: Sun (SunOS and Solaris), HP, SGI, DEC Alpha, IBM RS/6000Devices Supported: TMS320C4x, TMS320C5x
Features and Benefits
•• Ready to load and run without translation or conversionReady to load and run without translation or conversion•• Based on measurements from actual partsBased on measurements from actual parts•• Models specific to manufacturer and complete part number including Models specific to manufacturer and complete part number including
version, speed, and package as well as pin numberversion, speed, and package as well as pin number•• Matches the simulator engine topologyMatches the simulator engine topology•• Supports Cadence, Quad Design, and Quantic LaboratoriesSupports Cadence, Quad Design, and Quantic Laboratories
Product Description
Zeelan Technology provides individual MasterModel MasterLibraries for Zeelan Technology provides individual MasterModel MasterLibraries for Texas Instruments TMS320 DSPs. The MasterModels are based on measure-Texas Instruments TMS320 DSPs. The MasterModels are based on measure-ments from the actual Texas Instruments TMS320 device allowing the ments from the actual Texas Instruments TMS320 device allowing the designer to identify digital-signal-integrity problems based on the physical designer to identify digital-signal-integrity problems based on the physical layout of the circuit board during the design phase and then make changes layout of the circuit board during the design phase and then make changes before system fabrication.before system fabrication.
To ensure realistic representation of each part, measurements are per-To ensure realistic representation of each part, measurements are per-formed in a tightly-controlled environment. Zeelan has developed special-formed in a tightly-controlled environment. Zeelan has developed special-ized equipment which delivers high-speed pulse stimuli to the device under ized equipment which delivers high-speed pulse stimuli to the device under test, then acquires the critical data used in modeling the part’s performance.test, then acquires the critical data used in modeling the part’s performance. This equipment is routinely calibrated to provide 40-ps jitter-free timing data.This equipment is routinely calibrated to provide 40-ps jitter-free timing data.
Zeelan creates pin-specific models from the measurements using Zeelan creates pin-specific models from the measurements using Zeelan’s modeling technology. Since the models reflect physical reality and Zeelan’s modeling technology. Since the models reflect physical reality and high frequency effects that are inherent in digital designs, simulation results high frequency effects that are inherent in digital designs, simulation results will more likely match the actual design.will more likely match the actual design.
4-1
Chapter 4Third-Party Consultants
Many third parties offer comprehensive technical support services to TMS320 clients. They custom design and develop hardware and software systems, contract research efforts, and offer general consulting services. Areas of TMS320 DSP expertise are listed for each consultant on their respective profile page. Consultants are listed in alphabeti-cal order.
4-3
AB-RoBioMat di Angelo Baronchelli & Dott. Gàbor Gulyàs
Via Caduti del Lavoro,925034 Orzinuovi(BS)Italy+30-943301 / +30-941264Fax: +30-941264 / +30-9941501 e-mail: [email protected] [email protected] [email protected]
Company BackgroundAB-RoBioMat is a fusion company of AB (industrial automation) and RoBioMat (hard-ware-software developer). The RoBioMat members and associates are exclusively MDs and PhDs with an active past/present in the research groups like Harvard, Karolinska Institute, BMW, etc. The working method and philosophy of the company is interdisci-plinary covering fields like mathematics, physics, medical sciences, computer sciences, and robotics. We love to resolve the non-conventional, non-routine problems.
Devices Supported
TMS320C3x, TMS320C4x
Areas of Expertise
• Image elaboration• Voice elaboration• Data encryption• Process parallelizing• Biomedical processing• Nuclear-reactor safety analyses
Credentials/Honors/Awards
The company’s members and associates are graduate physicists, EEs, and medicals with fundamental knowledge and experience in the theoretical and applied sciences.
4-4
CHEOPS GmbH & Co. KGKlammspitzstraße 53D-86956 SchongauGermany+ 49 8861 7902Fax: + 49 8861 200164e-mail: [email protected]: http://ourworld.compuserve.com/homepages/cheops_bv
Company BackgroundThe CHEOPS Image Processing GmbH and Co KG has set itself the goal to develop rea-sonably priced hardware for industrial image processing. CHEOPS clients should not just be supplied with components but also with necessary detailed knowledge and optimal driver software for the hardware. Through our business and delivery activities (well over 1000 systems installed since 1991), it has happened repeatedly that custom-ers award special instruction or software contracts to CHEOPS. In the frame of this work and continuing instruction for our colleagues we have been able to put together well-founded and interdisciplinary knowledge which we can offer to prospective buyers on the subjects:• signal processors• image processing• image modification• integration of image processing in industrial production
Devices Supported
TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
Industry: - surface control on rapidly moving paper web (10 m/sec, damage size 1 mm, 100% control of production)- label control system, optical character reading (optical control of household equipment in production)
Research: - electrophoresis (camera steering, automatic analysis of DNA-tracks)- water quality control (tracking and movement analysis of fish with 25 pictures/sec)
Measurement: - measurement of 3-D bodies (subpixel procedure, appr. 2000 × 2000 measuring points)- 3-D movement analysis (search for 8 objects in image at 25 pictures/sec, computation of 3-D reprojection)
Algorithms: - transfer and optimizing of PC image-processing routines to the DSP TMS320C3x/’C4x
Study DSP: - examination with the TMS320C8x of optimal hardware configurations and preferential algorithms for image processing
4-5
Czech Scientific and Technical SocietyProf. Dr. Ing. Oldrich Taraba, CSc.Ing. Boris Simak, CSc.Faculty of Electrical EngineeringCzech Technical UniversityTechnická 2166 27 Prague 6Czech Republic+42 2 24310773, +42 2 24352305Fax: +42 2 24310773, + 42 2 31122933e-mail: [email protected]
Company BackgroundThe TI Training and Consultative Center in Czech Republic was opened in September 1995 by the Czech Scientific and Technical Society at the Faculty of Electrical Engi-neering of the Czech Technical University in Prague. The Czech Technical University in Prague is one of the most important national technical and educational centers. Research projects originate from the needs of both domestic and foreign partners from industrial enteprises and research institutes of the Academy of Sciences of the Czech Republic. Almost all the research projects are financed by grant agencies on various levels.
The TI Training and Consultative Center is supported by TI. Activities of the Center are linked with arranging of seminars and workshops, that are of the same type as those held in the TI European Customer Training Center in Freising but in the Czech lan-guage. The research projects, consultations, and expertises are oriented mainly to digi-tal-signal-processing software and hardware and focused on speech recognition, telecommunication networks, object recognition, and image processing.
The group of lectors and consultants consist of the best CTU scientists and teachers and provides support for customers in Czech Republic. The applications labs of the Cen-ter are equipped with PC computers that have specific tools for supported devices.
Devices Supported
TMS320C25, TMS320C30, TMS320C40, TMS320C50
Areas of Expertise
• Digital filters implementation• Object identification with focus on road traffic• Traffic signs recognition, number plates identification• Implementation of real-time speech-processing algorithms on TMS320 digital signal
processors• Speech recognition system on TMS320E17 based on HMM• System for noise supression in noisy-speech signals on the TMS320C30• Algorithms for special digital filtering implemented on the TMS320C50 and
TMS320C30• Image-processing applications with the TMS320C40 card• JTAG testing
4-6
D2 Technologies, Inc.Attn: Product Sales104 West Anapamu Street, Suite JSanta Barbara, CA 93101(805) 564-3424Fax: (805) 966-2144e-mail: [email protected]
Company Background D2 Technologies, Inc. was founded by David Y. Wong, President and CEO, and David M. Lindsay, Chief Engineer, to help companies innovate telecommunications and informa-tion products with voice-processing technology, including telephony and voice algo-rithms, real-time system software, and development services. The two co-founders also co-founded Spectron Microsystems. Both have extensive experience in signal process-ing, hardware and software design, and consumer and telecommunications product development.
With over 20 years of experience in voice-processing algorithms, real-time DSP implementation, real-time systems, telephone systems, and voice-processing applica-tions, D2 is able to facilitate the development of custom systems and applications for manufacturers who lack the time, funds, or in-house expertise to take advantage of advanced DSP technology in a timely, cost-effective way. D2’s business model is to develop close partnerships with its customers via high levels of service and special engi-neering to ensure mutual success.
Devices Supported
TMS320C1x, TMS320C2xx, TMS320C3x, TMS320C5x
Areas of Expertise
• Voice-processing algorithms• Real-time DSP implementation• Real-time systems• Telephone systems• Voice-processing applications
Credentials/Honors/Awards
David Y. Wong has a Ph.D. in signal processing, was a UCSB and IEEE instructor, and holds patents on DTMF detection, the SPOX operating system, and CAS tone detection. He has published over 20 technical papers in the academic press.
David M. Lindsay has an MSEE, was an MTS at Bell Labs, and also holds a patent on the SPOX operating system.
4-7
DNA Enterprises, Inc.Mr. Brian Berger269 West Renner ParkwayRichardson, Texas 75080(214) 644-3301Fax: (214) 644-6338e-mail: [email protected]
Company Background In today’s competitive telecommunications environment, only a company with leading-edge capabilities can provide the development expertise you require. DNA Enterprises is that company.
We specialize in telecommunication and real-time multiprocessor embedded sys-tems. We provide turnkey design and development, offering software, hardware, and digital signal processing expertise for all project phases: architectural requirements and analysis, hardware design, software design, integration, and system test.
Many development companies are new to digital signal processing. By contrast, DNA Enterprises was developing DSP applications in 1983. Today we are pleased to offer you a wealth of experience in this rapidly-advancing technology.
In addition to DSP application development, we are deeply involved in signal-pro-cessing research. This research enables us to design the latest DSP hardware and soft-ware technology into your products.
Devices Supported
TMS320C1x, TMS320C2x, TMS320C3x, TMS320C5x
Areas of Expertise
• Echo-canceller applications• Speech coding and synthesis• Telephony applications• Modeling and simulation
Credentials/Honors/Awards
Alongside the largest technology companies, we participate in standards organizations such as TIA, ANSI/Committee T1, and the ITU. Our engineers contribute to standards development by these organizations and stay current in applicable standards from Bellcore, UL, EIA, OSI and IEEE. Several DNA Enterprises engineers hold U.S. patents.
4-8
DSP Tools, Inc.David Jervis1131 Betts Trail WayPotomac, MD USA 20854(301) 424-5808Fax: (301) 424-0386e-mail: [email protected]
Company BackgroundDSP Tools, Inc. provides hardware and software design. Our business is to take a cus-tomer’s product idea and turn it into a working prototype quickly. To do this we will do the schematic design, PCB layout, and prototype build as well as DSP and Windows pro-gramming.
DSP Tools, Inc. provides our customers with a quick means to do all the steps needed to go from a product idea to a product. This includes hardware engineering, embedded DSP or microprocessor software as well as PC software.
Devices Supported
TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x
Areas of Expertise
• Hardware design and prototyping• DSP and Windows software
Credentials/Honors/Awards
Past hardware work has included the highly successful DT31 TMS320C31 board design, PCI bus boards, many ISA bus designs, disk cache, T1, CEPT, ISDN interfaces, test equipment, and radar signal processors. It also included hardware prototypes for an MPEG direct broadcast satellite set top box and airline seat back phone.
Past DSP software projects have included FSK, PSK, QAM, and TCM modem soft-ware for TMS320C3x and TMS320C2x. Also large amounts of Windows and DOS soft-ware in C and C++.
4-9
Dicon Lab, Inc.1810 NW 23rd Blvd., Suite 164Gainesville, Florida USA 32605(904) 372-6160Fax: (904) 376-7215e-mail: [email protected]: http://www.sw-expo.com/~diconlab
Company Background Dicon Lab is a small company committed to bringing low-cost affordable, custom DSP hardware solutions to new industries. It is our goal to design DSP hardware and soft-ware solutions to specifically meet an individual customer’s needs. This includes both designing new PC plug-in cards and standalone units. In addition, Dicon Lab will also custom tailor DSP software routines to allow user’s applications to run at the maximum rate supported on the new hardware platform.
Devices Supported
TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x
Areas of Expertise
• data-compression techniques• digital audio• image processing (JPEG and MPEG)• mechanical vibration classification/detection• neural network code development
Credentials/Honors/Awards
Dicon Lab was founded in early 1994 by Mr. Karl S. Gugel. Mr. Gugel is a graduate of the Computation NeuroEngineering Lab (CNE Lab) at the University of Florida and has a Ph.D. specializing in Computer Engineering and Digital Signal Processing. Dicon Lab also has a small staff of technically skilled computer scientists that have experience in DSP code development, algorithm design, C and C++ programming, Visual Basic GUI design, and MS Windows application programming.
4-10
Digital MultiWave Processing Inc.Nagesh Chowla5050 El Camino RealSuite 204Los Altos, CA 94022(415) 988-WAVE (9283)Fax: (415) 988-9286e-mail: [email protected]: http://www.digiwave.com
Company Background Digital MultiWave provides DSP algorithm, software, and hardware design services. ASIC designs are a specialty. Algorithms are custom designed and we provide integra-tion services with third party software and hardware. We have expertise in all of the major TI DSPs and considerable experience with the SPOX operating system. Turnkey developments are welcome. We specialize in telecommunications applications. Consult-ing services are provided for local, national, and international clients.
Devices Supported
TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
• DSP ASIC design and development• SPOX-based real-time systems• Telecommunications• Compression algorithms• Speech Processing• DSP software and hardware maintenance services• Turnkey systems
4-11
Doctor Design Inc.Bob Astley5415 Oberlin DriveSan Diego, California 92121-1716 USA(619) 457-4545Fax: (619) 457-1168e-mail: <[email protected]>www: www.doctord.com
Company Background A specialist in product-design services for over 11 years, Doctor Design provides fast, high-quality, cost-competitive software/hardware (design and development)—from concept to manufacture. A leading engineering design company in the United States, Doctor Design provides products and services to some of the largest companies in the electronic industry. Doctor Design has a deep understanding of design processes and a unique perspective on satisfying individual design requirements. The Doctor Design approach can assure customers of the availability of a broad range of engineering spe-cialties as well as rapid project completion time, and price and performance improve-ments over existing designs.
Doctor Design delivers solutions over the whole spectrum of current and near-future electronic technology, but has unique experience with TI’s latest DSP technol-ogy, the ’C80.
Doctor Design has designed ASICs to operate with the ’C80 DSP and has consulted in a number of virtual-reality and communications projects using this chip.
Devices Supported
TMS320C1x, TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
• ASIC development• Feasibility studies• Logic design/board design• Design review• System architecture• Mechanical packaging• Software/firmware development• Systems-level software• Prototype manufacturing• Short-run manufacturing
Credentials/Honors/Awards
• Today Doctor Design is approaching $15 million in revenues.• Doctor Design has over 400 designs to its credit.• Doctor Design produced its first ’C80 chip design three years ago and has worked
on several other projects using the same chip.
4-12
Eberwein & Associates, Inc.Val Eberwein9449 Briar Forest #507Houston, TX 77063(713) 784-1226Fax: (713) 784-3651
Company Background Eberwein & Associates, Inc. has been established in Houston since 1971. The company is owned and operated by a Registered Professional Electrical Engineer. We average 20 years of experience per associate.We complete projects or assist with:• concept• specification• algorithms• hardware design• software• prototype system• checkout• documentation• installation• service• production.
Devices Supported
TMS320C3x, TMS320C5x
Areas of Expertise
• Graphics• Embedded controllers• Data acquisition
4-13
Electronic Tools GmbHMr. Andreas KohlEisenhuettenstrasse 12D 40882 RatingenGermany+49 2102 8801-0Fax: +49 2102 8801-23e-mail: [email protected]
Company Background Under time pressure or simply out of staff? Are your projects cost-sensitive or do you want to avoid risky in-house developments? Electronic Tools can solve your tasks quickly and efficiently. We’re the right size company to help you effectively. Our skilled project managers ensure smooth operations. You will benefit by focusing your efforts on what you know best. We will take care of the rest: systems integration and custom developments according to your requirements. Our in-house facilities include compre-hensive development tools, complex test and measurement equipment, and powerful Racal Redac CAD design automation tools combined with substantial know-how. We will be happy to service your development needs, starting from a system’s proposal right up to production and delivery of boards. Our application engineers look forward to recom-mending the right DSP choice or best platform. Our goal is to find the best solution for your application.
Devices Supported
TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
Complete solutions and systems integration based on:• PC systems• VMEbus systems• Embedded systemsWe are active in the following market segments• Test and measurement• Digital control• Telecommunication• Image processing
Credentials/Honors/Awards
Will Strauss - President of Forward Concepts, the authoritative voice in DSP market trends, “Being a leader in today’s competitive DSP market entails more than offering hard- or software. Complete solutions, competitive pricing, and outstanding consulta-tion services will determine tomorrow’s winners. Electronic Tools is on the right track.”
4-14
Engineering BureauAlexander KuhnJoergstraße 1680689 MunichGermany+(49)89-5806137Fax: +(49)89-5806137
Company BackgroundAlexander Kuhn studied communication engineering at the technical university of Munich. Having gained experience in industry for more than five years in the fields of chip design and hardware development for professional audio and video equipment, he founded his own company in the beginning of 1993.
Specializing in software for DSPs, he has supported companies with consulting, hardware assistance, and programming.
Being familiar with digital modulation (like GSM), he has created many new mathe-matical algorithms, has programmed them on specific-DSP platforms, and has done work of implementation in DSP multiprocessor systems.
Devices Supported
TMS320C20, TMS320C30, TMS320C40, TMS320C50, TMS320C80
Areas of Expertise
• DSP hardware and software design• Development of mathematical algorithms• Theoretical research and simulation• Modulation, demodulation, equalization• Spectrum analyses (complex FFT and IFFT)• GSM, QAM, FSK, MSK, PSK (DQPSK, PI/4-DQPSK)• Sample-rate conversion
4-15
Eonic Systems Inc.Europe USAEric Verhulst 12210 Plum Orchard DriveLindestraat 9 B-3210 Linden Silver Spring, MD 20904-7801Belgium (301) 572 5000+32 16 62 15 85 Fax: (301) 572 5005Fax: +32 16 62 15 84 e-mail: [email protected]: [email protected]: http://www.eonics.com
Company BackgroundEonic Systems grew out of Intelligent Systems International which was founded in 1989. It quickly specialized in the development of high-performance programming tools for single- and multi-processor targets used in hard real-time applications: real-time OSs, libraries, rapid prototyping, and fast application development tools. Concentrating on DSPs, Eonic Systems is a core member of the DSP Valley Consortium, located in Leu-ven, Belgium.
Devices Supported
TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
• Porting a Virtuoso tool on to custom hardware.• Customizing Virtuoso tools to specific customer demands.• Development of hardware-specific drivers.• Programming using the Virtuoso tools.• Designing and programming real-time applications.• Programming parallel or distributed systems.• Hardware design (system level).• Optimization of application-specific algorithms
Credentials/Honors/Awards
• Core member of DSP Valley• Member of OMI• Several ESPRIT projects with DSP• ESA projects with DSP
4-16
Epstein AssociatesP.O. Box 400Wilmette, IL 60091-0400(847) 853-9292; (630) 698-9292Fax: (847) 853-9293
Company BackgroundEpstein Associates engineers custom image analysis automatic parts inspection systems based on TMS320C40 and TMS320C80 DSP technology. Our systems are typically used to replace human inspectors examining for cosmetic, structural, or hidden features and defects or to provide reliable statistical information needed for on-line feedback control of a manufacturing process.
In addition to building and installing complete parts inspection systems under our own trademarks, K9APE and EPSTEIN, we offer engineering support to OEMs and other system developers including end-user engineers.
We can provide special ’C40 and ’C80 DSP-based imaging algorithm, imaging hard-ware, camera, lens, illumination, statistical analysis, and DAT tape storage/retrieval components. We also supply kits for integrators engineering their own special systems.
One area of expertise is our ability to image and inspect low-contrast images such as lenses, films and other transparent objects. Another area is our skill in examining com-ponent surfaces for flatness.
Devices Supported
TMS320C2x, TMS320C4x, TMS320C8x
Areas of Expertise
• Image analysis and pattern recognition• Automatic parts inspection and statistical analysis• Inspection of transparent parts such as lenses and films• Inspection for cosmetic, structural, or hidden features and defects• Inspection for flatness or other geometric specifications• Complete custom inspection systems• Component support for OEMs and other system integrators• Kits for integrators engineering their own special systems• Support for end-user engineers• Special imaging and analysis components
Credentials/Honors/Awards
International Patent Publication No. W0 95/04264 for “Inspection System For Optical Components.” Sheldon L. Epstein, Chief Engineer, has earned degrees from M.I.T., Columbia University and University of Chicago. Epstein Associates has been in business since 1978.
4-17
ESSE-GI s.r.l.Via Frova 34 20092 Cinisello Balsamo Milan, Italy++39 2 66017241Fax: ++39 2 6185492
Company Background ESSE-GI is an engineering company able to prepare full-custom DSP systems. ESSE-GI’ s main applications are single boards including acqusition with DSP TMS320C80 and PC structures for human interface, in fact ESSE-GI has a big experi-ence in ISA, PCI, VME, and EISA bus.
ESSE-GI is organized with a system af 10 SPARC workstations, able to execute all the engineering processes, including simulation with hardware modeller (capable of 16,000 stimulus channels plus 16,000 acquisition channels) and automatic-fixture gen-eration for production.
Every customer receives complete application code, schematics, gerber files, text fixture, and all the documentation useful for a customer-indipendent production. No royalties required. If the customer needs, ESSE-GI is able to produce little or big volume with a very competitive price and a 12-month guarantee.
ESSE-GI is able to prepare full-custom BIOS and operating systems with source code if required.
Devices Supported
TMS320C3x, TMS320C5x, TMS320C8x
Areas of Expertise
• Medical applications• Radar applications• Image elaborations• Image recognition
4-18
Fraunhofer Institut Integrierte Schaltungen (IIS)
Harald PoppAudio and MultimediaWeichselgarten 3D-91058 ErlangenGermany+49 9131 776 340Fax: +49 9131 776 399e-mail: [email protected]: http://www.iis.fhg.de/
Company BackgroundFraunhofer-IIS focusses on contract research in the field of audiovisual communica-tions. In its department “audio and multimedia,” a staff of around 30 skilled engineers concentrates on the development and real-time implementation of signal-processing algorithms. Fraunhofer-IIS offers technical consulting, real-time knowhow for various DSP architectures, development of prototype hardware based on standard DSPs or ASICs, as well as application-specific software solutions. Fraunhofer-IIS has mainly developed ISO-MPEG-Audio-Layer-3, a most powerful audio coding scheme for high-quality, low-bitrate sound applications.
Devices Supported
TMS320C31, TMS320C40, TMS320C44, TMS320C8x
Areas of Expertise
• MPEG-Audio-Layer-3 stereo-encoder on two ’C31s• MPEG-Audio-Layer-3 stereo-decoder on one ’C31• MPEG-Audio-Layer-3 multichannel (5.1) encoder on a number of ’C40s• H.263-video CODEC on one ’C80 (under development)
4-19
Genesis Engineering, Inc.Gregory S. Klassen5391 Briar Oak CourtEllicott City, MD 21043-7080(410) 418-5410Fax: (410) 418-5410e-mail: [email protected]
Company Background Genesis Engineering, Inc. is leading a provider of custom digital signal processing solu-tions for the DoD community. Genesis Engineering can help your company in two ways:
• First, Genesis Engineering provides software solutions to your communication signal problem; from analysis to design to integration, installation, and test. For example, Genesis Engineering staff designed and built a custom HF communi-cation signal recognizer, then installed, integrated, and tested the system.
• Second, Genesis Engineering provides on-site support to your Washington-Bal-timore area customer. This support may include product customization or sim-ply code maintenance. For example, Genesis Engineering has worked with a leading DSP house to customize and maintain its CCITT modem recognizer and processor for a DoD agency.
Many government organizations and contractors agree, that with Genesis Engineer-ing you get an honest, dedicated staff, solving your problems.
Devices Supported
TMS320C3x, TMS320C4x
Areas of Expertise
• Algorithm development for communication signal recognition and demodulation• DSP system engineering• DSP system sizing• DSP software optimization for real-time performance• DSP software customization to end-user specifications• DSP software maintenance
Credentials/Honors/Awards
Company owner, Gregory Klassen, holds a MSEE and BSEE from Virginia Tech. He has ten years of digital signal processing experience and has played a leading DSP role on numerous programs including: Navy’s SSEE, NSA’s Paddock and Sandsailer, and the Army’s Desparado program.
4-20
hema Elektronik Fertigungs- und Vertriebs GmbH
Roentgenstraße 3173431 Aalen, Germany+7361/9495-0Fax: +7361/9495-45e-mail: [email protected]
Company Background The company was founded in 1978 with the aim of developing customer-specific hard-ware and software for industrial applications in the field of machine and quality control and data acquisition, including the design and manufacturing of boards and complete systems. Combining the analog and digital world in complex systems is a big part of hema’s business.
Since early 1991, hema has made a strong commitment to the TMS320C4x from Texas Instruments. This parallel DSP extends existing conventional systems for applica-tions in image and signal processing as well as simulation. hema is the main supplier of parallel DSP systems in Germany.
Devices Supported
TMS320C40, TMS320C44
Areas of Expertise
• Machine control• Closed-loop control• Quality control• Image processing• Signal processing• Acoustic analysis• Hardware development• Software development• Customer support and consultancy• Manufacturing
Credentials/Honors/Awards
hema has 12 electronic engineers for hardware and software development, consultancy, and support. The basic philosophy of hema is “The best for the customer.”
4-21
InnovaSystems, Inc.Bill Subers4300 Haddonfield RoadSuite 115Pennsauken, NJ 08109 USA(609) 486-0030Fax: (609) 486-1025e-mail: [email protected]
Company Background InnovaSystems is an embedded systems engineering services firm with demonstrated proficiency in DSP firmware and hardware development for commercial and defense companies. InnovaSystems has developed narrowband vocoders for secure telephones, digital cellular phones, and network gateway devices. InnovaSystems has developed DSP hardware and firmware for applications ranging from digital cellular systems to servo-controlled tape drives.
InnovaSystems provides system solutions and applications support to Fortune 500 companies and small businesses alike. Regardless of the scope or size of the task, we effectively blend planning, experience, communication, and diligence to the develop-ment of quality products that meet specification, budget, and schedule. InnovaSystems product deliveries always includes design and user documentation of the highest qual-ity.
InnovaSystems partners with clients to develop products of the highest quality and integrity. We take pride in our history of long-term relationships with clients earned through our strong corporate commitment to customer service.
Devices Supported
TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x
Areas of Expertise
• Speech processing• Telecommunications• Real-time multi-processor systems implementation and integration• Servo control
Credentials/Honors/Awards
Three InnovaSystems employees were distinguished with the Engineer of the Month award by the RCA Advanced Technology Lab for the first implementation of LPC-10e vocoder algorithm on a single fixed-point TMS320C20 in 1986.
4-22
Innovative Integration Inc.31352 W. Via Colinas, Suite 101Westlake Village, CA 91362(818) 865-6150Fax: (818) 879-1770e-mail: [email protected]
Company BackgroundInnovative Integration was founded in 1988 to provide DSP solutions to scientists and engineers with a difficult problem to solve and not much time or money with which to solve it. In addition to offering a complete line of DSP hardware and development sys-tems based around the Texas Instruments DSP processor line, we also offer extensive consulting services for those customers who need a semicustom or completely custom DSP solution. Our expertise spans the entire TI processor line, and can be put to work in your application to create any combination of hardware or software to meet your cus-tomers’ needs. Existing designs can be modified or used verbatim and a large existing software library of both DSP and host-PC software gives us the tools it takes to bring your project to life. Come to Innovative for a complete hardware and software DSP solution!
Devices Supported
TMS320C3x, TMS320C4x, TMS320C5x
Areas of Expertise
• High-speed analog/digital data recording/processing• Remote/embedded data processing/acquisition• Modems (both software mod/demod and high-speed hardware down conversion)• Parallel-processor ’C4x systems• Ultra-low-cost DSP solutions• Host/target PC-based software development
Credentials/Honors/Awards
The engineering staff of Innovative Integration boasts nearly 40 years of combined expe-rience in hardware and software development of high-speed signal-processing, data-acquisition, and automation products.
4-23
Institute for Integrated Circuits at the Technical University of Munich
Dr. Walter StecheleArcisstraße. 21, D-80290MuenchenGermany+49-89-2105-3862Fax: +49-89-2105-8323www: http://www.lis.e-technik.tu-muenchen.de/index.html
Company BackgroundThe Institute for Integrated Circuits at the Technical University of Munich is headed by Professor Ruge and has a staff of currently 25 scientists and about 20 graduating stu-dents.
The main areas are telecommunications, integrated sensors, and applied test of integrated circuits all in a very close cooperation with the Fraunhofer Institute for Solid State Technology.
The focus of the Telecommunications Group is on R&D in the field of algorithms and VLSI architectures for video compression, high-speed digital subscriber lines, and ATM networks. The task is to analyze the algorithms to identify critical components and to realize these with DSP or ASIC.
For system-level verification, C/C++ simulation is employed. Hardware description is done with VHDL and Verilog, logic synthesis, and VLSI layout is carried out with com-mercially-available tools.
Devices Supported
TMS320C80
Areas of Expertise
• VLSI design and architectural evaluation• Video coding: MPEG-2, h.263, MPEG-4• ATM (Asynchronous Transfer Mode): UPC, SAR, traffic shaping, switching• Last Mile: VDSL, HDSL, ADSL• RITL (Radio In The Loop)• Strategies for low-power digital CMOS design• Methodologies for fault-tolerant design• High-speed digital GaAs design
4-24
JKJ AssociatesMr. James M. Kresse3111 Route 38Suite 11-186Mt. Laurel, New Jersey USA 08054(609) 985-3068e-mail: [email protected] or [email protected]
Company BackgroundJKJ Associates has been providing DSP consulting services since 1987. We focus on the development of high-quality (bug-free), highly-efficient (maximizing the performance of a given processor), maintainable (easy to modify and upgrade), custom real-time embedded software for programmable DSP chips. We can also supply system architec-ture and system integration support for those situations where “off-the-shelf” solutions may fit part, but not all, of your needs.
Devices Supported
TMS320C1x, TMS320C2x, TMS320C3xSupport planned for TMS320C4x, TMS320C5x, TMS320C54x, and TMS320C8x
Areas of Expertise
• High quality, efficient, maintainable custom embedded software• Assembly, C, and mixed code development• System integration• Audio• Video (including MPEG)• Voice compression• Voice processing• Telephony• Data communications
Credentials/Honors/Awards
James M. Kresse has a BSEE and MSEE from the University of Notre Dame. He is a member of IEEE, Tau Beta Pi, and Eta Kappa Nu. He was a member of the Technical Review Committee and Chair of the Advanced TV Technology session for ICSPAT ’94 and ICSPAT ’95.
4-25
M.B. International srlVia Beolchi 120151 MilanoItaly+39 2 4091 0570Fax: +39 2 4091 0582e-mail: [email protected]
Company Background M.B. International is a research and design company serving mainly broadcasting equip-ment manufacturers. Our expertise covers audio, video, and RF fields and most of our work is intended to implement new technologies and reduce costs.
Digital solutions with DSPs are the main way to improve quality and reduce cost of manufacturing. Software solutions are based on a proprietary library of customizable routines covering the whole chain, from microphone to high-power radio transmitter, from camera to TV transmitter. Analog as well as digital audio and video are supported, including compression to most popular standards.
We also design custom hardware, including PCB, ASICs. and test systems. Particu-lar interest is given to ecological issues, mainly in power-saving techniques. Several pat-ents certify our involvement in new technologies.
Devices Supported
TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
• DSP systems design and prototyping• Algorithm implementation• Firmware development• FM radio• AM radio• Digital and analog professional audio• Digital and analog TV• VSB TV transmitters• HDTV• Multimedia equipment
4-26
MVP Development Group, Inc.Christopher J. Burke, Mehool S. PatelWannalancit Office and Technology Center600 Suffolk St.Lowell, MA 01854(508) 446-9166Fax: (508) 446-9167
Company Background MVP Development Group was formed to provide software, integration and custom engi-neering services primarily for the TMS320C8x series of digital signal processors. In addi-tion to providing algorithm libraries, MVP Development Group can provide application development, system and algorithm design, hardware device drivers, and system inte-gration for ’C8x-based systems.
Devices Supported
TMS320C8x
Areas of Expertise
• Audio compression standards (G7xx series)• Image/Video compression standards (JPEG, H.261, H.263)• Data modems (Vxx series)• Fax modems (V.17, V.29, V.27)• Embedded DSP system software design and integration • Computer telephony (DTMF, call progress, caller ID, voice detection)
4-27
MEDAV Digitale Signalverarbeitung GmbH
Gr„fenberger Straße 34D-91080 UttenreuthGermany+49-9131/583-0Fax: +49-9131/583-11
Company Background MEDAV provides DSP-based solutions in a wide variety of applications ranging from communication engineering and radio monitoring to measurement technology in indus-try and research. The company was founded in 1982 and was certified to ISO 9001 in 1994.
MEDAV’s engineering activity is based on long experience in the development of systems for data acquisition, signal processing, and pattern recognition. Research coop-eration with universities and research institutes guarantees latest know how from which our customers profit.
Analyzers for the analysis of non-stationary signals and universal demodulators for real-time demodulation of all digital modulation types are available as standard prod-ucts. MEDAV project department specializes in the development of customer-specific projects, comprising DSP consulting, feasibility studies, hard- and software develop-ment, system integration, project management, and complete turnkey solutions.
Devices Supported
TMS320C1x, TMS320C2x, TMS320C3x, TMS320C40Others on request
Areas of Expertise
• Real-time digital signal processing• Signal analysis• Radio monitoring• Acoustic quality assurance• Pattern recognition• Neural nets• Speech processing and coding• OS/2• ’C40-based multiprocessor technology• ASIC design for DSP applications
4-28
Miguel Chin1554 S. Stelling Rd.Suite 140Cupertino, CA 95014(408) 252-5109 Fax: (408) 252-5109e-mail: [email protected]: http://www.portal.com/~mca/dsp
Company Background Miguel Chin has eight years of experience creating DSP systems for product evaluation, algorithm develpment, and fine tunning for mass production. His designs have been used by several leading modem vendors and algorithm providers.
Applications supported include FAX, modem, telephony, and telecommunication systems.
Miguel Chin can provide support for all the phases of your DSP project, in hardware, software, and tools selection and support.
Devices Supported
TMS320C2x, TMS320C2xx, TMS320C5x
Areas of Expertise
• DSP systems design• FAX• Modem• Telecommunication systems• Telephony
Credentials/Honors/Awards
Miguel Chin is a graduate of UNAM, Mexico and has an MSCS degree from Stanford Uni-versity, California.
4-29
Momentum Data Systems, Inc.1520 Nutmeg Place, Suite 108Costa Mesa, CA 92626 USA(714) 557-6884Fax: (714) 557-6969e-mail: [email protected]: http://www.mds.com
Company Background Momentum Data Systems, Inc. is a leading supplier of DSP development tools. The soft-ware development tools cover all areas of filter design and signal analysis. In addition, Momentum Data Systems provides a ’C5x development platform which can also be used for small-volume OEM applications.
Momentum Data Systems as a company is dedicated exclusively to digital signal processing tools and applications. Momentum’s engineering staff has many years of experience in implementing system solutions using DSP chips and DSP technology in addition to their extensive academic background in DSP. The result of this can be seen in the intuitive easy-to use interface of our design tools.
Momentum products are available worldwide through a network of distributors and factory representatives
Devices Supported
TMS320C3x, TMS320C4x, TMS320C5x
Areas of Expertise
• Digital filter design• Multirate systems• Hardware development boards• Digital audio• Audio compression algorithms• Telecommunications• Integrated host and DSP board applications
Credentials/Honors/Awards
Over half the engineering staff have PhDs in DSP-related fields
4-30
MultiDSP Inc.4865 Linaro Dr. Cypress, CA 90630, U.S.A. (714) 527-8086Fax: (714) 527-8287e-mail: [email protected]
Company Background MultiDSP Inc. is a leading provider of low-cost, most-efficient, high quality image and digital signal processing software and hardware. Applications supported include JPEG and MPEG videos, adaptive signal processing system design and modeling, digital filter design and processing, real-time data acquisition and analysis. MultiDSP focuses on developing tools for professional engineers in the area of multimedia and digital signal processing applications.
Devices Supported
TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x
Areas of Expertise
• JPEG, MPEG Image Compression/Decompression• Adaptive signal processing algorithm development for system design and modeling• Digital filter design• Real-time DSP applications• Spectrum analysis• Audio signal processing
Credentials/Honors/Awards
MultiDSP was founded by H. G. Yeh and C. Hsueh. The founders of MultiDSP have over 20 years of experience in DSP algorithms, hardware and software systems, and telecom-munications systems. Specifically, Dr. H. G. Yeh has been honored with several awards from NASA. He also owns a U.S. patent on Kalman Filter Implementation. MultiDSP’s business model is to develop a close partnership with their customers via a high level of service and engineering to ensure mutual success.
4-31
New World SystemsMr. Robert L. Hernandez12 Pierce Ave.Westford, MA 01886(508) 692-4529Fax: (508) 692-4529e-mail: [email protected]
Company Background New World Systems is a high-technology business providing engineering consultant ser-vices in advanced digital signal processing, sensor/data fusion, and control algorithms. Applications supported include real-time adaptive algorithm development and imple-mentation for radar, sensor arrays, imaging, digital control (servo controllers), instru-mentation, and audio/video signal processing.
New World Systems focuses on real-time algorithm development and implementa-tion that includes simulation, benchmarking, and parallel processing. New World Sys-tems has offices serving both the United States and the Caribbean.
Devices Supported
TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
• Radar signal processing• Array processing• Digital control algorithms• Real-time DSP algorithm development and implementation• Object-oriented design methodology (C and C++)• Simulation modeling and development• Imaging• Audio/video signal processing• Parallel processing
Credentials/Honors/Awards
New World Systems has strategic alliances with the Department of Electrical, Com-puter, and Systems Engineering at Boston University, as well as consultants from both Boston University and the Massachusetts Institute of Technology.
4-32
Nova Engineering, Inc.5 Circle Freeway DriveCincinnati, OH 45246(513) 860-3456 or (800) 341-NOVA (6682)Fax: (513) 860-3535e-mail: [email protected]: http://www.novaengr.com
Company Background Nova Engineering provides design, analysis, simulation, and hardware and software development for a wide array of electronic systems, including radio communications equipment and advanced signal processing systems. Corporate capability includes sys-tem engineering, RF development to 6 GHz, and digital hardware and software for a wide range of processors and operating systems. Nova has particular expertise in the development of hardware and software for embedded signal processing systems using the TMS320C3x, TMS320C4x, and TMS320C5x processors.
Nova's primary emphasis is the application of advanced signal processing tech-niques to the solution of complex communications problems. Recent experience includes development of several Orthogonal Frequency Division Multiplexing (OFDM) modems employing a combination of BPSK, QPSK, 16-QAM, and 32-QAM on each of the orthogonal carriers (implementation on ’C31s and ’C40s). Nova also is developing high performance forward error correction codecs using concatenated Reed-Solomon and Nadler codes on both the ’C31 and ’C50.
Devices Supported
TMS320C3x, TMS320C4x, TMS320C5x
Areas of Expertise
• Embedded real-time signal processing systems• Low-rate speech-compression algorithms (1200, 800, 600 bps)• Forward error correction encoders and decoders• High-speed correlators• Adaptive bandwidth symbol synchronizers• FIR and IIR filtering• Advanced modulation and demodulation techniques• Phase-locked loops• High-performance embedded FFTs• Linear and decision feedback adaptive equalizers
Credentials/Honors/Awards
Nova's engineers and technical support personnel represent over 300 man-years of experience in communications system development. Many staff members hold advanced degrees and collectively the staff holds over 30 patents. Nova is employee-owned and has grown, through customer referral, from four employees in mid-1992 to over 40.
4-33
OBJECTIF S.A.Pierre Boulon - Philippe Deau44 bis, Boulevard Felix Faure92322 CHATILLON CedexFrance+(1) 47 35 30 31Fax: +(1) 41 17 03 38e-mail: [email protected]
Company Background OBJECTIF S.A. is specialized in DSP software development, the applications areas sup-ported include defense, automotive, instrumentation, telecommunications, biomedical...
OBJECTIF S.A. focusing on real time applications delivers professional and reliable software using the most demanding methods such as SA/RT, GAMT17, SA/SD, DOD2167 and others.
OBJECTIF S.A. know-how allows a total control of the developments in terms of quality, costs, and delivery looking for the customers’ satisfaction.
Devices Supported
TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
• Real time systems• Real-time DSP operating systems• Sonar and radar• Instrumentation and control• Telecommunications• Embedded applications• On-site DSP training on TMS series
4-34
Onyx Systems LimitedI.J. Shearer CEng MIEE72 Leighton RoadUpper WestonBathBA1 4NGEngland+44 (0)1225 481173Fax: +44 (0)1225 484025
Company BackgroundOnyx Systems Ltd. is a systems-based design house specializing in the application of programmable-DSP processors. The company provides expertise to clients across a range of vertical markets, with particular emphasis on providing solutions in time-criti-cal applications.
Onyx Systems provides a range of design services ranging from feasibility analysis through system-level specification and design, down to assembly language coding and hardware design support. Services are offered on the basis of considerable expertise built up over many years in the industry. Working with most of the major processors available, design experience ranges from simple control applications to complex, multi-processor designs for novel radar systems. This experience covers all phases of the design cycle, from feasibility through product support.
Devices Supported
TMS320C1x, TMS320C2x, TMS320C3x, TMS320C4x
Areas of Expertise
• Image processing• Radar/sonar signal processing• Speed-optimized code• Feasibility analysis and processor selection
4-35
Poznan University of Technology Institute of Electronics and Telecommunication
Piotrowo 3A60 965 PoznanPoland+ 48 61 782 745Fax: + 48 61 782 572e-mail: [email protected]: http://www.et.put.poznan.pl
Company BackgroundThe Institute of Electronics and Telecommunication (formerly the Institute of Electron-ics) was established at Poznan University of Technology, Poland in 1974 as a part of the Department of Electrical Engineering. The scientific staff of the Institute is engaged in teaching and research in the field of telecommunications, signal processing, microelec-tronic circuits and systems, and metrology. The Institute enables students to obtain M.Sc. degree in telecommunication after five years of study.
Devices Supported
TMS320C1x, TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x
Areas of Expertise
• Design and realization of digital filters• Multi-rate systems and filter banks• Wavelet transformation and its applications• Nonuniform sampling• Signal separation• Signal compression• Edge detection in images
Credentials/Honors/Awards
• Professor Dr. Hab. Eng. Adam DABCOWSKI• Professor at the Poznan University of Technology Institute of Electronics & Tele-
communication• Alexander von Humboldt Foundation fellow, Bochum, Germany 1984–86• DAAD Foundation fellow, Berlin, Germany 1991–92• Author of over 90 scientific papers• Reward of the Minister of Science, University Education and Technology for
research achievements in circuit theory, Warsaw, Poland 1981• PTETiS award in the contest “New problems in Electrical Engineering,” Warsaw,
Poland 1987• Reward of the Minister of National Education for research achievements in digital
signal processing, Warsaw, Poland 1990• Chairman of the IEEE CAS Chapter in the Poland Section
4-36
Primary Image Ltd.Millbank House 101 Southall Lane171-185 Ewell Road Maitland, FL 32751Surbiton USASurrey KT6 6AP (407) 667 4818United Kingdom Fax: (407) 667 4819+44 (0) 181 339 9669Fax: +44 (0) 181 339 9091e-mail: [email protected]
Company Background Founded in 1978, Primary Image is recognized as one of the major suppliers of image- processing, image generation, and graphics technology for commercial and military applications. Primary Image develops and manufactures a range of products in the imag-ing and graphics field, in addition to carrying out custom hardware and software design for special applications.
Devices Supported
TMS320C8x
Areas of Expertise
• Software development for ’C8x family• Hardware design using ’C8x family• Development of parallel-processing architectures using ’C8x• Image generation (computer graphics)• Image processing• Turn-key system design, manufacture, and installation
Credentials/Honors/Awards
• ISO 9001, TickIT• Customers include UK M.O.D. and DRA• Winner of Tobie Aware 1990 for PIPE (Parallel Image Processing Engine) spon-
sored by Electronic Times
4-37
Prodrive B.V.Horsten 25612 AX EindhovenThe Netherlands+31 40 2461268Fax: +31 40 2462362
Company Background Prodrive B.V. originated from the department of Electromechanics and Power Electron-ics of the University of Technology in Eindhoven in 1993.
The main activity of Prodrive is the development of user-defined applications by which modern technologies like digital signal processors and power electronics are being used. The Prodrive activities incorporate both hard- and software design.
Prodrive succesfully finished several DSP-based projects for national and interna-tional companies.
Devices Supported
TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x
Areas of Expertise
• Advanced positioning and servo systems• Power converters• High-performance field-oriented control• Data aquisition• Filtering and compression• Analog system design• PCB-design conform to EMC requirements• TIM40-module design
4-38
QSD Sistemi SRLAndrea CattaniaCorso Europa 16/c20060 Pessano con Bornago (Milan)Italy+39 2 95741699Fax: ++39 2 9504773
Company BackgroundQSD Sistemi SRL was founded in 1984, when a team of young electronic designers which rich technical expertise and enthusiasm of their job decided to redirect their design capability and knowhow to the main Italian companies to implement electronic projects based on the customer specs.
In the last ten years, QSD evolved getting new resources, a deeper technological background and design methodology mainly in the field of DSP and advanced digital systems.
The main activities of QSD are: design, advice and training. with some additional capability for small production of board and systems.
The technological background of the company has grown on the basis of a careful selection and training on the human resources and by focusing the dynamics of the rela-tionship among the people, that we consider very important in every working team.
Devices Supported
TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
• Air navigation• Radar equipment for aircraft and helicopters in the military market• Telecommunications• Scanners and optical systems• Industrial automation
Credentials/Honors/Awards
The main Italian companies for which QSD has developed strategic projects and/or advanced training courses are:• FIAR/ALSNIA• Alcatel FACE• Alcatel AANS• Urmet• FIAT• Motorola• Xilinx• 3T
4-39
Questra ConsultingRichard Hecht300 Linden OaksRochester, NY 14625(800) 785-6359(716) 381-0260Fax: (716) 381-8098e-mail: [email protected]: www.questra.com
Company BackgroundQuestra Consulting is an engineering design company specializing in the development of high-performance embedded subsystems for the electronic imaging market. Our focus is the combined hardware and software development of embedded solutions targeted at the acquisition, processing, and output/storage of electronic images.
Questra’s electronic imaging services are based on a collective knowledge of imag-ing science, computer architectures, and software engineering. Questra has developed advanced controllers and software for a number of electronic imaging products. Our imaging team combines an in-depth understanding of imaging-processing algorithms, imaging-systems design, and emerging imaging hardware and software technologies.
Questra seeks to help its clients achieve a competitive advantage through optimized microprocessor performance technologies, NSP and DSP techniques, advance memory architectures, and high-speed bus design. Questra Consulting has over 100 consultants working from offices in Rochester, NY; Syracuse, NY; and Raleigh, NC.
Devices Supported
TMS3203x, TMS3204x, TMS3205x, TMS3208x
Areas of Expertise
• Office imaging controller products development• Embedded 32-bit processor design• Embedded software development in C and C++• Real-time operating systems and custom executives• Windows, Macintosh, and Unix embedded systems client-side development• Product development consulting• Performance analysis and consulting• Imaging systems and imaging science• PCI, Cardbus, and high-performance bus systems design
4-40
RECOSYST Research & DevelopmentReeser Straße 98D - 46446 EmmerichGermany+49-2822-18731Fax: + 49-2822-18744GSM: +49-171-6008845ISDN-Mailbox: +49-2822-96219-7
Company Background Recosyst R&D is a small consulting firm specializing in custom development of complex mathematical and/or DSP algorithms. Recosyst also offers its clients a complete range of services from PCB design to production cost and quality-optimization consultancy ser-vices. The main target of the company is to provide the client a complete assistance starting from the formulation of a market need ending with the production of the new and/or improved product. Recosyst also guarantees an adequate degree of exclusivity such as it is necessary to protect the market segments the products have been devel-oped for as well as assistance in formulating patents to be registered under the owner-ship of the client. Courses and seminars are also arranged in order to allow the client to understand and be able to modify the product if necessary without external help. Com-munication with the client may take place in any of the following languages: German, Dutch, English, French, or Italian.
Devices Supported
TMS320C1x, TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
• Speech coding, compression, decompression, enhancement• Rf Identification, signal recognition, echo and noise canceling• Digital techniques: standard, high speed, low cost• Analog techniques: production-stable high performance devices• Software: fast and compact software packages, object-oriented, easy-to-service and
user-friendly
Credentials/Honors/Awards
M.A. Casalegno, owner and director of the company is Dr. in electronic engineering, telecommunication of the University of Turin. He has accomplished a further education of four years in economic sciences at the University of Hagen. He speaks five languages fluently. His main achievements have been in the field of digital signal processing, where he was the first to apply this technique to rf identification and article surveillance at fre-quencies of up to 10 MHz.
4-41
Samjung Co., Ltd. Mediacom, Inc.152-78 Hwanggye-Ri Data Communication LabTaean-Eup, Hwasung-Gun, Kyunggi-Do Head, KI-CHUL KIM, Ph. D445-970, Korea 207-43 Cheongryangri, +82-2-958-3386, 3329 Dongdaemun, SeoulFax: +82-2-958-3323 130-010, Koreae-mail: [email protected]
Company Background Established in 1973, Samjung has concentrated its efforts on producing useful goods indispensable to society. Thanks to technology developed and accumulated in that course, Samjung is now recognized as one of the promising high-tech companies in Korea.
Samjung’s major objective is to play a leading role in the upcoming era of informa-tion and multimedia.
Toward this end, Samjung carries on technological research and development in cooperation with academia and research institutes. Moreover, Samjung pushes ahead with various education programs designed for its employees the most important assets in the future.
Samjung will continue to exert its best to become a model business that helps nur-ture amicable working environment and at the same time contribute to advancing the happiness of people in general.
Especially, Samjung has carried out several research and industry projects with Korea Advanced Institute of Science and Technology and developed modem algorithms. Recently, Samjung has started his role as a DSP solution provider, and is also preparing the commercialization of DSP-based modem with developed algorithms and several kinds of DSP products.
Mediacom is a subsidiary company of Samjung, and is in charge of R&D, while Sam-jung is carrying out manufacturing.
Devices Supported
TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
• Telecommunications (V.32/V.34 modem, communication server)• Vocoder (4-kbps–8-kbps voice coding)• Speech recognition (telephone/car-noise environment, HMM/NN modeling)• Speaker verification• Image (planned)• Real-Time Operating Systems (planned)• Run-Time Support Libraries (planned)• Tutorials and training
Credentials/Honors/Awards
• October 1987: Designated as a first-class quality-control factory by the government• November 1987: Awarded the Order of Industrial Service Merit Iron Tower by the
government
4-42
Sample Rate Systems, Inc.Mr. Tommi RasilaKanslerinkatu 14FIN-33720 Tampere, Finland+358-31-3165 045Fax: +358-31-3165 046e-mail: [email protected]
Company Background Sample Rate Systems is an expert DSP company dedicated to the design and OEM pro-duction of high-grade audio DSP hardware. Main objective is to help customers imple-ment DSP in audio applications, and to provide flexible, but still effective, application-specific DSP engineering. To achieve this goal, Sample Rate Systems has created a com-prehensive CAD database of proven subdesigns consisting of DSPs, converters, inter-faces etc. This together with experienced personnel enables fast customer-specific design and reliable OEM manufacturing for custom implementations of DSP technology.
Main customer groups are professional audio equipment manufacturers and high-grade consumer electronics industry, but also research institutes and measurement device designers have taken advantage of Sample Rate Systems’ capabilities. Feel free to contact for further information, quotation, or RFQ worksheets.
Devices Supported
TMS320C3x, TMS320C4x, DASP
Areas of Expertise
• Expert of combining studio-quality audio with DSP• Full advantage of 18- and 20-bit Delta-Sigma converter performance• AES/EBU and S/PDIF interfaces with or without sample-rate converters• Turnkey hardware solutions and ISO-certified OEM manufacturing services
4-43
S.E.E.D.Mr. M. MaraniViale Roma 88/A54100 MassaItaly(++39) 335 372256e-mail: [email protected]
Company BackgroundS.E.E.D. is an association of Electronic Engineers and Computer Science Specialists, born in 1993 and based in Massa, Italy. S.E.E.D. operates in DSP field giving consulting about specific applications of the customers and is able to design or to integrate systems on request. S.E.E.D. has developed its own DSP-based hardware and software plat-forms. S.E.E.D. is able to provide and support custom “turn-key” system solutions in the following fields: real-time data signal processing, biomedical systems, digital audio, and acoustic pollution reduction.
S.E.E.D. cooperates with IEI/CNR “Image and Signal Processing Department,” based in Pisa, Italy.
Devices Supported
TMS320C1x, TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x
Areas of Expertise
• Digital filtering• Signal acquisition• Sound processing• Signal compression• Biomedical systems• Active noise control• Quality control systems• Digital audio signal processing• DSP based hardware and software design• Customer trainings in Digital Signal Processing
Credentials/Honors/Awards
Customers: S.E.E.D. works also for: ITALTEL SIT, AGUSTA, OFFICINE GALILEO, I.E.I./C.N.R., ABB TECNOMASIO, LEGLER, MEPS
4-44
Signal-DataVestre Paradisvej 63DK 2840 HolteDenmark+45 3042 6054Fax: +45 4242 3484e-mail: [email protected]
Company Background Signal-data is a small company which develops highly-dedicated algorithms, DSP cod-ing, and real-time hardware for complex signal processing in several areas. Applications comprise seismic signal processing, telecommunications, tools for research in communi-cation between animals, high-quality audio equipment, DSP algorithms for digital hear-ing aids, instrumentation for real-time on-the-fly laser-based measurement of railway wheel parameters, instrumentation for real-time detection of bacterias in dairy products etc.
Devices Supported
TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x
Areas of Expertise
• Seismic signal processing• Telecommunications• Efficient filtering algorithms• Adaptive signal processing• Real-time scalable multiprocessor DSP hardware• Efficient coding of multiprocessor DSP hardware
Credentials/Honors/Awards
The employees of Signal-Data are graduates and post graduates of the Technical Univer-sity of Denmark, where the company owner for several years has acted as an associate professor. Signal-Data conducts workshops on DSP processors regularly in all Scandina-vian countries and occasionally in other European countries.
4-45
Signals and Software Limited (SASL)Contact Name: David MorleySignals and Software Limited3 Jardine HouseHarrovian Business VillageHarrowMiddlesex HA1 3EX+44 181 426 9533Fax: +44 181 869 1182e-mail: [email protected]
Company Background Signals and Software Limited (SASL), based in Harrow, Middlesex, UK is a design con-sultancy specializing in the area Digital Signal Processing (DSP). From concept and algorithm design through to real-time DSP implementation, SASL is able to offer its cli-ents fast and cost-effective solutions to their DSP needs. Services include: feasibility studies, DSP software to order, DSP research and algorithm design, computer simula-tions (C or PASCAL), and hardware design. In support of these service, SASL offers a range of “off-the-shelf” software that includes audio/speech coding, modems, acoustic echo cancellation, video coding, and general telecom functions.
Devices Supported
TMS320C1x, TMS320C2x, TMS320C2xx, TMS320C3x, TMS320C5x, TMS320C54x, TMS320C8x
Areas of Expertise
• Audio and speech coding (ITU, ETSI, ISO)• PSTN modem design (ITU V.series)• Acoustic echo cancellation for video conferencing • Image/video coding (H.261, H.263, JPEG, MPEG, proprietary)• PSTN/ISDN telecoms• Mobile telecoms GSM, CT2, DECT• Video conferencing/video telephony design• Radio/satellite receiver design
4-46
SIMONYI Kft. (SE&SE Ltd.)Dr. E. SimonyiPaulay E. U.56., 1061Budapest, Hungary+361 1416-421Fax: 361 250-2249
Company Background SIMONYI Kft. is a small consultant company established in 1992, working in the fields of telecommunications, informatics, and scientific electrical engineering. TI DSP seminars and workshops were held by the company in common organization with TI Budapest and the Technical University of Budapest.
Devices Supported
TMS320C4x, TMS320C8x, ATM
Areas of Expertise
• VSAT communications• Mobile communications• Government communications and informatics systems• DSP research and development, secure communications• Computer networks• Computer hardware and software• RFQ, RFP processing• ATM and broadband communications• Multimedia processing and communications
4-47
Sinectonalysis, Inc.Alexander Orenshteyn3702 S. Virginia St., Suite G-12 #164Reno, Nevada 89502--6034(702) 345-0148Fax: (702) 345-0149e-mail: [email protected]
Company BackgroundSinectonalysis, Inc. develops high-performance software for digital signal processors and parallel-processing environments for applications in signal processing, advanced algebra, image processing, and other scientific and engineering functions. The company specializes in optimizing algorithms and assembly code to take advantage of the TMS320C40 and TMS320C30 architectures. Sinectonalysis products include the DSP/Veclib signal processing and vector library; STD/Mathlib, a standard mathematics library; C_BLAS 123 for basic linear algebra; an image-precessing package, EYELIB, and C_EISPACK, for Eigenvalue and Eigenvector problems. Sinectonalysis also offers con-sulting and code development services.
Devices Supported
TMS320C3x, TMS320C4x
Areas of Expertise
• Code optimization/assembly programming• Parallel-processing algorithms
4-48
Sonitech InternationalJeff Sable (Sales Mgr), Yogendra Jain (App. Mgr), Xavier Barrera (Gral Mgr)14 Mica LaneWellesley, MA 02181 USA(617) 235-6824Fax: (617) 235-2531e-mail: [email protected]: http://www.sonitech.com
Company Background Since 1986, Sonitech is a leader in the design, development, and marketing of DSP boards, software, hardware, and analog I/O boards. In addition to custom and off-the-shelf products, Sonitech offers custom applications development services. Our goal is to offer simple and cost-effective DSP-based solutions. Our strengths include a world-class technical team, our ability to provide innovative solutions, and our emphasis on high-quality customer support.
Sonitech has expertise in all the technologies needed for a successful completion of new product development projects, including video and audio algorithm development, DSP programming and optimization, I/O hardware design, system and test software, and manufacturing. Our experience is established over a growing list of successfully com-pleted projects.
Devices Supported
TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
• Intimate design knowledge of hardware and software implementation on DSP chips• Developing products for both host-based and standalone systems• Extensive diagnostics, firmware, and host code-development expertise• Programmable development tools for DSP/video chip sets• Multi-channel CD/DAT player record/playback systems• Real-time JPEG compression/decompression for video editing• Digital music (MPEG audio) and voice compression• Real-time FFT analysis and display• Target tracking algorithm/implementation• High-performance NMR acquisition based on VME host system
4-49
Synetcom Digital, Inc.Mr. Etienne Resweber1426 Aviation Blvd., Suite 203Redondo Beach, CA 90278(310) 379-2000Fax: (310) 372-2331e-mail: 73122,[email protected]
Company Background Synetcom Digital specializes in providing development support and product to custom-ers engaged in wireless data communication product development—offering a unique blend of leading edge and highly-effective wireless technology and techniques.
Areas of expertise include DSP prototyping, DSP-RF interfacing, radio modems, telecommunications signal processing, personal communication systems and networks, FIR-programmable and adaptive filters, industrial-control systems, instrumentation sys-tems, and digital audio.
Devices Supported
TMS320C1x, TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x
Areas of Expertise
• DSP prototyping, DSP - RF interfacing• Radio modems• Telecommunications signal processing• Personal communications systems and networks• FIR-programmable and adaptive filters• Industrial-control systems• Instrumentation systems• Digital audio
Credentials/Honors/Awards
• Member IEEE, ISA• 15 years telecommunications and DSP professional experience• Authored article appearing in Texas Instrument’s 1994 Telecommunications
Applications with the TMS320C5x DSPs, entitled “A DSP GMSK Modem for Mobi-tex and Other Wireless Infrastructures”
4-50
SYNTECH-CENTRE of CompetenceAREP Center, Traverse des BrucsSophia Antipolis06560 Valbonne, FranceContact: Melle N. Huelin(33) 93 65 28 66Fax: + (33) 93 65 22 93e-mail: syntech @ slnet.mc
Company Background SYNTECH CENTRE• provides the solution to your DSP application needs;• reduces your time-to-market;• reduces your capital requirements.
SYNTECH CENTRE, based in the heart of Sophia Antipolis, France, is established as a center of competence DSP. SYNTECH CENTER possesses the skills, knowledge, experience, and resources to provide you with a fully-integrated industry solution to meet your DSP application requirements. Working in close partnership with their cus-tomers, they offer a high level of service and a wide range of conception and production skills in order to develop TI DSP solutions
Devices Supported
All products based around TI DSP
Areas of Expertise
• Center of competence for TI DSP products• Hardware• Software• Theoretical (algorithms)• Design center, based around SYNTECH products• FPGA-ASIC development• Prototyping and industrialization of electronic boards
Credentials/Honors/Awards
The founders of SYNTECH are Mr. Simon Elkrief (President), a specialist in industrial data processing, and Mr. Serge Serafini (General Director), a spe-cialist in radar technology also the President of ANATECH, France, which conceives high-technology DSP military acquisition and scientific products.
4-51
Systems Technology AssociatesMr. Stephen GuerreriSuite 202119 S.W. Maynard RoadCary, N.C. 27511(919) 460-0020Fax: (919) 469-9443e-mail: [email protected]
Company Background Systems Technology Associates develops specialized software systems based upon Texas Instruments family of DSP devices. Since 1987, Systems Technology has been solving its customer’s problems in a variety of areas including telecommunications, speech recognition, global positioning systems, and real time process control. Systems Technology is an industry leader in every phase of the software engineering process, from the analysis and design stage, to the implementation and testing of system solu-tions, STA’s mission is to meet our customer’s needs.
STA is a company of experienced computer professionals that uses a wide range of development tools and libraries to help our customers define their problems and rapidly develop reliable, cost-effective solutions. On a contractual basis, we will participate in any phase of the software development process, and are prepared to work on or off site.
Devices Supported
TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
• Real-time signal processing• Systems integration• Telecommunications including cellular telephony• Speech and language processing• Image processing• Software engineering• System design, implementation, and testing• Sonar and radar processing
Credentials/Honors/Awards
Systems Technology Associates owner, Mr. Stephen Guerreri, has over 30 years experi-ence in software and holds patents in the areas of speech recognition, automatic lan-guage identification, and process control.
4-52
Tadpole Technology, Inc.Lloyd Bishop, Director, OEM Business Group12012 Technology Blvd.Austin, Texas 78727 USA(800) 232-6656 / (512) 219-2200Fax: (512) 219-2222e-mail: [email protected]: http://www.tadpole.com
Company Background Since 1984 Tadpole Technology has specialized in the design and manufacture of high-performance single board computers. Based on the latest developments in chip technol-ogy, combined with comprehensive software support, Tadpole has designed semi-cus-tom and custom board products for some of the world's largest companies. Our focus is on mission critical, long-life cycle projects; our strategy is to provide comprehensive engineering, manufacturing, and customer service to large IT customers. We have expe-rience with applications ranging from process control and flight simulation to applica-tion-specific graphics and telecommunications. Additional applications and markets for Tadpole board products include central office switches, multimedia servers, and advanced printer products.
Tadpole’s in-house expertise also provides ASIC technology design as applications demand, and software porting for UNIX and real-time operating systems.
Tadpole also designs, develops and manufactures workstation-class portable com-puter systems based on the industry’s most advanced, highly integrated CPU architec-tures, including SPARC, PowerPC and Pentium processors.
Devices Supported
Tadpole has already supported the ’C30 and has the capability and support services to support all DSPs.
Areas of Expertise
• Experience with DSP, SPARC, RISC, CISC processors• Designs using VME, Multibus I & II, SBus, MBus, ISA, PCI, PCMCIA, and custom
buses• Variety of ASIC technology skills• Comprehensive software support including UNIX and real-time OS porting• In-house surface mount manufacturing facilities for both prototypes and production• Fast turnaround to reduce time-to-market
Credentials/Honors/Awards
Tadpole is the recipient of the Buscon 1989 Product of the Year Award, BYTE Maga-
zine’s 1994 Award of Distinction and Andy Seybold’s Outlook Award for Engineering Excellence (1995). Tadpole was also a finalist in BYTE’s Best of BYTE COMDEX/Fall ’94 and Spring ’95 competitions.
Tadpole is an ISO 9001-registered company.
4-53
Technical University of Wroclaw/BreslauInstitute of Telecommunication and AcousticsSignal Theory GroupDr. Eng. Krzysztof Kardachul. Janiszewskiego 7/9PL-50 370 WroclawPoland+(48) 71 - 203032Fax: +(48) 71 - 223473e-mail: [email protected]: http://www.ita.pwr.wroc.pl/Polish/ztsita.html
Company BackgroundThe Institute of Telecommunication and Acoustics is a part of the Faculty of Electronics of Technical University of Wroclaw. Apart from the teaching process, the staff members are active in research programs as well as industrial-oriented projects based on research results. An important part of activities are connected with modern signal processing with application of DSP processors. In laboratories, various types of software and hard-ware development tools as well as the help of experienced staff members are available.
The Signal Theory Group is working on DSP algorithms mainly for signal transmis-sion using LPC techniques, data compression and coding, orthogonal adaptive filtering, inverse filtering, image enhancement and restauration, and nonlinear signal processing. Also implementation of algorithms on DSP processors is an important part of the group members activities.
Devices Supported
TMS320C1x, TMS320C2x, TMS320C3x, TMS320C5x
Areas of Expertise
• Adaptive parametrization• Parameter estimation• Last-squares filtering of 1-D/2-D signals• Digital signal processing in measuring instrumentation• Data acquisition systems
4-54
Technosoft Ltd.Dr. Liviu KreindlerAl. Timisul de Sus, Nr.1BucharestRomania+(40) 1 772 0848Fax: +(40) 1 778 6666e-mail: [email protected]
Company Background Technosoft Ltd. is a young spin-off the Motion Control Group belonging to the Faculty of Electrotechnics, at the Polytechnic University of Bucharest. The company gathers experienced Ph.D. researchers and engineers with academic and industrial background. The company staff has a strong experience in the field of digital control of motion sys-tems and electric drives. Their experience includes expert systems ranging from analy-sis, modeling, and simulation of complete motion-system structures, including their digital control, to real-time programming and implementation on different TMS DSP platforms—structures developed for western-industrial partners.
A particular area of our expertise consists in the implementation of advanced digital control techniques (state space, Kalmann filters, parameter identification, autotuning, fuzzy control).
Devices Supported
TMS320C14, TMS320C2x, TMS320C3x
Areas of Expertise
• Parameter identification of electrical machines (on- and off-line methods)• Autotuning of digital-control parameters• Analysis and simulation of digitally-controlled systems• Evaluation DSP boards and corresponding toolboxes for digital-control algorithms• State space control, fuzzy logic
Credentials/Honors/Awards
Members of the team have realized Ph.D. theses and cooperation in the DSP motion-control field, with western universities as: University of Wisconsin-Madison, USA; Uni-versity of Bath, Loughborough University of Technology, UK; University of Catania, Uni-versity of Torino, Italy; and with western companies as Portescap-Switzerland, SGS-Thompson-Italy, CMC-USA, etc.
4-55
Tornado SoftwareMr. John A. Senko4010 Mt. Tobin CourtNorco, CA, USA 91760(909) 736-9655e-mail: [email protected]: www.deltanet.com/users/senko
Company BackgroundTornado Software specializes in providing custom applications microcode, and has a long-term commitment to image-processing applications and microcoded multi-proces-sor architectures. Tornado Software believes that the TMS320C8x is the obvious archi-tecture of choice for many critical imbedded applications.
Tornado Software likes to emphasize the use of thoroughly hand-optimized assem-bly code for the most demanding of applications. The TMS320C8x is so powerful, how-ever, that many customers are amazed by the use of multiprocessor compiled code and DMA alone, and do not require complete optimization. Therefore, Tornado Software is also experienced with the rapid porting of applications to compiled code for the ’C80’s four Pixel Processors.
Tornado Software can provide firmware development training and design analysis to reduce the customer’s learning curve, and is willing to quote fixed prices on small development contracts.
Devices Supported
TMS320C8x
Areas of Expertise
• Image-processing/multi-dimensional algorithm optimization• Applications microcode design/optimization/implementation• Multiprocessor image-processing microcode, including:
• 2-D FFTs, including 32-bit fixed-point FFTs on the ’C80• Large median filters• Compression: wavelets• Binary image operators: thinning• Split arithmetic/exotic instruction set optimization
Credentials/Honors/Awards
Proprietor John Senko is a graduate of Carnegie Mellon University, with a B.S. in Elec-trical Engineering/Math, and an M.S. in Computer/Electrical Engineering. He has been professionally dedicated to optimization and code-generation techniques for Micro-coded/VLIW/DSP architectures since 1985.
4-56
University of MiskolcDepartment of Electrical and Electronic EngineeringDr. Tihamer AdamH-3515 MiskolcEgyetemvarosHungary++36 46 366-111/1218Fax: ++36 46 361740e-mail: [email protected]
Company Background The University of Miskolc, that has a history of more than 260 years, is one of the largest institutions of higher education and research center in Hungary. It has close links with several universities all over the world. Scientific results attained by the academic staff are published in periodicals and are presented on scientific conferences. The Depart-ment of Electrical and Electronic Engineering teaches electrical engineering, micro-electronic microprocessors, computer technoloy, and measurement technology for the M.Sc., B.Sc., and Ph.D. engineering students. The main field of research are special elec-trical machines, data-acquisition technology, applications of digital signal processors in industry, modern power electronic circuits.
Devices Supported
TMS320C3x, TMS320C4x
Areas of Expertise
• Floating-point digital signal processors• Digital filtering• DSP-based high-performance AC and DC devices
4-57
V.D.S. Video Display Systems s.r.l.Via M. Clementi, 1650127 Firenze, Italy+39-55-4378831Fax: +39-55-416996e-mail: [email protected]
Company BackgroundV.D.S. Video Display Systems s.r.l. is a privately-owned company established in 1979 by a team of experienced industrial researchers who had been developing innovative projects in the telecommunications and data processing field by collaborating with big companies and multinationals through the 1969–1979 decade. The manufacturing activ-ity of V.D.S. includes both hardware and software products in industrial automation and scientific and research equipment areas. The list of hardware applications includes high-performance image-acquisition and processing systems, industrial-automation control-lers, VMEbus-based frame grabbers and processing modules, and data communication equipments.
Devices Supported
TMS320C8x
Areas of Expertise
• Videophone boards• VMEbus plug-in boards• Multiprocessors boards• Image-processing systems
Credentials/Honors/Awards
Among the numerous projects developed by V.D.S. there are: Massive Parallel Proces-sors system for real-time SAR satellite image processing (in collaboration with ASI - Ital-ian Space Agency), Integrated Artifical Vision System for the control of the automotive highway traffic (in collaboration with Autostrade dei Fiori and CNR - Italian Research National Council), Stereoscopic Vision System for tri-dimensional reconstruction of objects (in collaboration with the ESPRIT Project).
4-58
White Mountain DSP, Inc.410 Amherst St., Suite 325Nashua, NH 03063(603) 883-2430Fax: (603) 882-2655e-mail: [email protected]
Company Background White Mountain DSP, Inc. is the leading third-party supplier of emulators and develop-ment systems for the Texas Instruments DSP product line. Emulators from White Mountain DSP are available for both PC-AT and Sun workstation hosts providing devel-opment support for the Texas Instruments TMS320C2xx, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C54x, and TMS320C8x DSPs. In addition, White Mountain DSP is a licensed distributor of Texas Instruments, Tartan, and GO DSP source-level debuggers and code-generation products.
Devices Supported
TMS320C2xx, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C54x, TMS320C8x
Areas of Expertise
One day intensive training, which will cover all of the following:• Compare and contrast architectures of the TMS320 DSPs from Texas Instruments• Identify the support mechanisms available to TI DSP developers• Gain access to the latest TI DSP documentation• Design DSP hardware that’s easy to debug• Make the build-versus-buy decision for your DSP hardware• Choose the appropriate software tools and libraries for your DSP project• Take advantage of the latest developments in DSP tools
Description
In today’s fast-paced market, you can’t afford to waste time. It’s not enough to have the right hardware and software when developing a DSP-based system. You need to know how to use them effectively, and get your projects done on time. Navigating TMS320 Development helps you do just that. This intensive one-day training course is from White Mountain DSP, Inc., the leaders in DSP development tools. It’s taught by experi-enced DSP engineers—people who design the tools you’ll need to use. You’ll get tar-geted, in-depth information and reference materials you won’t find anywhere else, all in one day.
This training course is designed for hardware/software developers new to DSP, DSP developers without previous experience with TI’s DSPs, managers who need to under-stand the development process and developers’ resources, and for OEMs considering the use of DSPs. The courses are taught on site, at White Mountain DSP facilities, or in a city near you. For more information, contact us at [email protected].
4-59
Wintriss Engineering Corp.Peter Burggren, Vice President Operations6342 Ferris SquareSan Diego, CA 92121-3244 USA(800) 550-7300 or (619) 550-7300Fax: (619) 550-0373e-mail: [email protected]: http://www.weco.com
Company Background Wintriss Engineering develops and manufactures imaging and measurement systems for machine vision applications. In addition to an expanding product line of CCD camera boards and DSP development and image processing boards, Wintriss Engineering also provides custom engineering and contract manufacturing services. Specializing in CCD- and DSP-based applications, Wintriss Engineering develops designs based on customer concepts and/or specifications.
Devices Supported
TMS320C30, TMS320C80
Areas of Expertise
• Machine vision• DSP- and FPGA-based image processing• High-speed CCD-based imaging/tracking• Infrared tracking• Intelligent instrumentation
Credentials/Honors/Awards
Members of the Automated Imaging Association (AIA) and the American Electronics Association (AEA); ranked 230th in Inc. Magazine’s 500 fastest-growing private compa-nies for 1994; awarded a 1993 Top Technology Award by Lasers & Optronics Magazine for a CCD line-scan camera featuring an embedded ’C31 DSP.
4-60
Zeidman ConsultingBob Zeidman, President7599 Squirewood WayCupertino, CA 95014(408) 255-9279Fax: (408) 255-4881e-mail: [email protected]
Company Background Zeidman Consulting, founded in 1987, offers high-quality hardware and software design consulting, specializing in RISC-based and DSP-based systems and custom ASICs and FPGAs. Previous designs include a fast SBus-to-MXI bus interface, a high-speed mem-ory architecture for an embedded RISC processor, a digital phone set, a medical imaging device, and several high-end laser-printer controllers. Zeidman Consulting provides you with working hardware including full documentation and diagnostic software or test vectors. Work is done at the client’s facilities or at the Cupertino office of Zeidman Con-sulting, according to the client’s requirements.
Devices Supported
TMS320C1x, TMS320C2x, TMS320C3x, TMS320C4x, TMS320C5x, TMS320C8x
Areas of Expertise
• High-end workstations• Laser printers, scanners, copiers• Telecommunications and data communications• VME, SBus, MXI, and ISA bus interfacing• ASICs, FPGAs, PLDs, and PALs plus digital logic design including TTL, CMOS, ECL• Firmware• Diagnostic software
Credentials/Honors/Awards
• Winner, Wyle/EETimes 1994 American-By-Design Contest• Stanford University Graduate Engineering Fellowship• University Unions Distinguished Service Award• Cornell University Academic Scholarship• National Merit Scholarship• Association for Educational Data Systems Honorable Mention• Publications:
• “Remote Backup - Transmitting Critical Data Over Phone Lines for Offsite Stor-age,” JAMCON ’95 conference, August 1995
• “Testing Memory Quickly,” Embedded Systems Programming, August 1995• “Read-Ahead Logic: An Alternative to Cache,” Design SuperCon 95, March 1995• “How to Make Money as a Consultant,” Income Opportunities, December 1993• “Interleaving DRAMs for Faster Access,” ASIC & EDA, November 1993• “Starting Up Your Engineering Consulting Business,” High Technology
Careers, April/May 1993• “New Film Software for Independent Producers,” CUE Magazine, Sept. 1992• “Memory Architectures Compound RISC’s Gains,” Electronic Design, July 1991
5-1
Chapter 5Trademarks
The trademarks mentioned in this document are credited to the respective corporations The trademarks mentioned in this document are credited to the respective corporations in the following table. All other trademarks are the property of their respective holders.in the following table. All other trademarks are the property of their respective holders.
5-3
Trademark ListTrademark Company
Aladdin Interactive DSP Workbench AB Nyvalla DSP
Analogic Analogic Corporation
Antares Dialogic Corporation
ASPI Atlanta Signal Processors, Inc.
AT, XT International Business Machines Corp.
AURIS CSELT
AutoCode Integrated Systems Inc.
Avatar DSP Software Engineering, Inc.
Banshee Atlanta Signal Processors, Inc.
cDSP Texas Instruments Incorporated
CGS Alta Group of Cadence Design Systems
COC40 Epix, Inc.
Chimera Atlanta Signal Processors, Inc.
commtroller DSP Control Group, Inc.
CONVERGENCE Alta Group of Cadence Design Systems
DAQ-200 Sonitech International, Inc.
DAS Tektronix
dBeX, dBeX32 Spectrum Signal Processing, Inc.
DELPH, DELPH2 Elics
DFDP4 Atlanta Signal Processors, Inc.
DigiWare Digisonix
Dipix Dipix Technologies, Inc.
Direct Connect ADAC Corporation
DISPRO Signix Corp.
Dolby Dolby Laboratories Licensing Corp.
DPMT Alta Group of Cadence Design Systems
DSPgate AB Nyvalla DSP
DSPy AB Nyvalla DSP
DSP~LINK, DSP~LINK2 Spectrum Signal Processing, Inc.
DSP Station Mentor Graphics
DT-Connect Data Translation
Elf Atlanta Signal Processors, Inc.
ELOQUENS CSELT
Ethernet Xerox Corporation
Excel Microsoft Corporation
FastTrack Alacron, Inc.
FLEXUS CSELT
fuzzyTECH Inform Software Corporation
HDS Alta Group of Cadence Design Systems
Helios Perihelion Software, Ltd.
Hypersignal Hyperception, Inc.
IBM International Business Machines Corp.
IDEC PictureTel
5-4
ImagePro Media Cybernetics, Inc.
IndustryPack GreenSpring Computers, Inc.
Intel Intel Corp.
K9APE Epstein Associates
LA-OffLine Tektronix
LA-Browser Tektronix
LM Family Synopsys, Inc.
Macintosh Apple Computers, Inc.
MATLAB The MathWorks, Inc.
MEDIA~LINK Spectrum Signal Processing Inc.
Micro Channel International Business Machines Corp.
micro-line Orsys GmbH
Microsoft Microsoft Corporation
Microstuf Microstuf, Inc.
ModelSource Synopsys, Inc.
Motif Open Software Foundation
MS-DOS Microsoft Corporation
Multibus Intel Corp.
MultiProx Alta Group of Cadence Design Systems
Near-Global Mizar, Inc.
OPEN LOOK UNIX System Laboratories, Inc.
OpenWindows Sun Microsystems, Inc.
PAL Advanced Micro Devices, Inc.
PCMCIA Personal Computer Memory Card International Association
Peachtree Atlanta Signal Processors, Inc.
Pentium Intel Corp.
PostScript Adobe Systems, Inc.
QuiteQuiet Lucent Technologies Inc.
Real-Time Workshop The MathWorks Inc.
RIPPEN Ornicon Technologies, Inc.
S-PLUS Statistical Sciences, Inc.
SCbus Dialogic Corporation
SCSA Dialogic Corporation
Signal Computing System Architecture Dialogic Corporation
Signal Processing WorkSystem Alta Group of Cadence Design Systems
SIMULINK The MathWorks, Inc.
SmartModel Synopsys, Inc.
Solaris Sun Microsystems, Inc.
SourceModel Synopsys, Inc.
SPARC SPARC International, Inc.
SPARCstation SPARC International, Inc. (licensed exclusively to Sun Microsystems, Inc.)
SPIRIT-30, SPIRIT-40, SPIRIT-lite, SPIRIT-Jr Sonitech International, Inc.
SPOX Spectron MicroSystems Inc.
Trademark ListTrademark Company
5-5
SPW Alta Group of Cadence Design Systems
Sun, Sun-3, Sun-4 Sun Microsystems, Inc.
Surveyor White Mountain DSP
System Explorer Series Aptix Corp.
TDMRouter Analogic Corp.
Talkover Voice Processing Corp.
TAXI Advanced Micro Devices, Inc.
Ultrix, Unibus Digital Equipment Corp.
Unison Multiprocessor Toolsmiths, Inc.
UNIX UNIX Systems Laboratories, Inc.
VAX, VMS Digital Equipment Corp.
VME, VMEbus Motorola, Inc.
VPro Voice Processing Corp.
VProPRL Voice Processing Corp.
Virtuoso Eonic Systems, Inc.
Vista MP White Mountain DSP, Inc.
Visual Basic, Visual C/C++ Microsoft Corporation
Vortex Atlanta Signal Processors, Inc.
Windows Microsoft Corporation
Wire Wrap Gardner-Denver
X Windows System Massachusetts Institute of Technology
Trademark ListTrademark Company