curriculum for the academic year 2018 2019...

47
CURRICULUM for the Academic year 2018 2019 DEPARTMENT OF ELECTRONICS AND COMMUNICATION III & IV Semester B. E. RAMAIAH INSTITUTE OF TECHNOLOGY (Autonomous Institute, Affiliated to VTU) BANGALORE 54

Upload: doduong

Post on 06-Sep-2018

215 views

Category:

Documents


0 download

TRANSCRIPT

CURRICULUM

for the Academic year 2018 – 2019

DEPARTMENT OF ELECTRONICS AND

COMMUNICATION

III & IV Semester B. E.

RAMAIAH INSTITUTE OF TECHNOLOGY

(Autonomous Institute, Affiliated to VTU)

BANGALORE – 54

About the Institute Ramaiah Institute of Technology (RIT) (formerly known as M. S. Ramaiah Institute of Technology)

is a self-financing institution established in Bangalore in the year 1962 by the industrialist and

philanthropist, Late Dr. M S Ramaiah All engineering departments offering bachelor degree

programs have been accredited by NBA. RIT is one of the few institutes with faculty student ratio of

1:15 and achieves excellent academic results. The institute is a participant of the Technical Education

Quality Improvement Program (TEQIP), an initiative of the Government of India. All the

departments are full with competent faculty, with 100% of them being postgraduates or doctorates.

Some of the distinguished features of RIT are: State of the art laboratories, individual computing

facility to all faculty members. All research departments are active with sponsored projects and more

than 130 scholars are pursuing PhD. The Centre for Advanced Training and Continuing Education

(CATCE), and Entrepreneurship Development Cell (EDC) have been set up on campus. RIT has a

strong Placement and Training department with a committed team, a fully equipped Sports

department, large air-conditioned library with over 80,000 books with subscription to more than 300

International and National Journals. The Digital Library subscribes to several online e-journals like

IEEE, JET etc. RIT is a member of DELNET, and AICTE INDEST Consortium. RIT has a modern

auditorium, several hi-tech conference halls, all air-conditioned with video conferencing facilities. It

has excellent hostel facilities for boys and girls. RIT Alumni have distinguished themselves by

occupying high positions in India and abroad and are in touch with the institute through an active

Alumni Association. RIT obtained Academic Autonomy for all its UG and PG programs in the year

2007.As per the National Institutional Ranking Framework, MHRD, Government of India, Ramaiah

Institute of Technology has achieved 45th

rank in 2017 among the top 100 engineering colleges

across India and occupied No. 1 position in Karnataka, among the colleges affiliated to VTU,

Belagavi.

About the Department The Department of Electronics and Communication was started in 1975 and has grown over the

years in terms of stature and infrastructure. The department has well equipped simulation and

electronic laboratories and is recognized as a research center under VTU. The department currently

offers a B. E. program with an intake of 120, and two M. Tech programs, one in Digital Electronics

and Communication, and one in VLSI Design and Embedded Systems, with intakes of 30 and 18

respectively. The department has a Center of Excellence in Food Technologies sponsored by VGST,

Government of Karnataka. The department is equipped with numerous UG and PG labs, along with

R & D facilities. Past and current research sponsoring agencies include DST, VTU, VGST and

AICTE with funding amount worth Rs. 1 crore. The department has modern research ambitions to

develop innovative solutions and products and to pursue various research activities focused towards

national development in various advanced fields such as Signal Processing, Embedded Systems,

Cognitive Sensors and RF Technology, Software Development and Mobile Technology.

2

Vision of the Institute To evolve into an autonomous institution of international standing for imparting quality

technical education

Mission of the Institute MSRIT shall deliver global quality technical education by nurturing a conducive learning

environment for a better tomorrow through continuous improvement and customization

Quality Policy We at M. S. Ramaiah Institute of Technology strive to deliver comprehensive, continually

enhanced, global quality technical and management education through an established Quality

Management System complemented by the synergistic interaction of the stake holders concerned

Vision of the Department To be, and be recognized as, an excellent Department in Electronics& Communication

Engineering that provides a great learning experience and to be a part of an outstanding

community with admirable environment.

Mission of the Department To provide a student centered learning environment which emphasizes close faculty-student

interaction and co-operative education.

To prepare graduates who excel in the engineering profession, qualified to pursue advanced

degrees, and possess the technical knowledge, critical thinking skills, creativity, and ethical

values.

To train the graduates for attaining leadership in developing and applying technology for the

betterment of society and sustaining the world environment

3

Program Educational Objectives (PEOs): PEO1: To train to be employed as successful professionals in a core area of their choice

PEO2: To participate in lifelong learning/ higher education efforts to emerge as expert researchers and technologists PEO3: To develop their skills in ethical, professional, and managerial domains

Program Outcomes (POs):

PO1: Engineering knowledge: Apply the knowledge of mathematics, science, engineering

fundamentals, and an engineering specialization to the solution of complex engineering

problems. PO2: Problem analysis: Identify, formulate, review research literature, and analyze complex

engineering problems reaching substantiated conclusions using first principles of mathematics,

natural sciences, and engineering sciences. PO3: Design/development of solutions: Design solutions for complex engineering problems and

design system components or processes that meet the specified needs with appropriate

consideration for the public health and safety, and the cultural, societal, and environmental

considerations. PO4: Conduct investigations of complex problems: Use research-based knowledge and

research methods including design of experiments, analysis and interpretation of data, and

synthesis of the information to provide valid conclusions. PO5: Modern tool usage: Create, select, and apply appropriate techniques, resources, and

modern engineering and IT tools including prediction and modeling to complex engineering

activities with an understanding of the limitations. PO6: The engineer and society: Apply reasoning informed by the contextual knowledge to

assess societal, health, safety, legal and cultural issues and the consequent responsibilities

relevant to the professional engineering practice. PO7: Environment and sustainability: Understand the impact of the professional engineering

solutions in societal and environmental contexts, and demonstrate the knowledge of, and need

for sustainable development. PO8: Ethics: Apply ethical principles and commit to professional ethics and responsibilities and

norms of the engineering practice.

4

PO9: Individual and team work: Function effectively as an individual, and as a member or

leader in diverse teams, and in multidisciplinary settings. PO10: Communication: Communicate effectively on complex engineering activities with the

engineering community and with society at large, such as, being able to comprehend and write

effective reports and design documentation, make effective presentations, and give and receive

clear instructions. PO11: Project management and finance: Demonstrate knowledge and understanding of the

engineering and management principles and apply these to one’s own work, as a member and

leader in a team, to manage projects and in multidisciplinary environments. PO12: Life-long learning: Recognize the need for, and have the preparation and ability to

engage in independent and life-long learning in the broadest context of technological change.

Program Specific Outcomes (PSOs): PSO1: Circuit Design Concepts: Apply basic and advanced electronics for implementing and evaluating various circuit configurations

PSO2: VLSI and Embedded Domain: Demonstrate technical competency in the design and

analysis of components in VLSI and Embedded domains

PSO3: Communication Theory and Practice: Possess application level knowledge in

theoretical and practical aspects required for the realization of complex communication systems

5

CURRICULUM COURSE CREDITS DISTRIBUTION

Semester Humanities Basic Engineering Professional Profession Other Project Extra & Total

& Social Sciences Sciences/ Courses - al Courses Electives Work/Int Co- Credits Sciences / Lab Lab Core (Hard - Electives (OE) ernship curricul in a (HSS) (BS) (ES) core, soft (PC-E) (PW/IN) ar Semester core, Lab) activities

(PC-C) (EAC)

First 2 9 14 25 Second 4 9 12 25 Third 8 07 10 25

Fourth 4 21 25 Fifth 2 19 04 25 Sixth 15 04 06 25

Seventh 14 12 26 Eighth 4 18 02 24 Total 08 30 33 79 20 04 24 02 200

6

SCHEME OF TEACHING

III SEMESTER

SI. Course

Course Title Category Credits Contact

No. Code

Hours

L T P S Total

1. EC31 Mathematics – III BS 4 0 0 0 4 4

2. EC32 Analog Electronic Circuits PC-C 4 0 0 0 4 4

3. EC33 Digital Electronic Circuits PC-C 4 0 0 0 4 4

4. EC34 Network Analysis ES 3 1 0 0 4 5

5. EC35 Electromagnetics BS 4 0 0 0 4 4

6. EC362 Data Structures using C (Soft Core)

PC-C 2 0 0 1 3 2

7. EC363 Digital Electronic Measurements (Soft Core)

8. ECL37 Analog Electronic Circuits PC-C 0 0 1 0 1 2

Laboratory

9. ECL38 Digital Electronic Circuits PC-C 0 0 1 0 1 2

Laboratory

Total 21 1 2 1 25 27

IV SEMESTER

SI. Course

Course Title Category Credits Contact

No. Code

Hours

L T P S Total

1. EC41 Mathematics – IV BS 4 0 0 0 4 4

2. EC42 Linear Integrated Circuits PC-C 3 0 0 1 4 3

3. EC43 Control Systems PC-C 3 1 0 0 4 5

4. EC44 Microprocessors PC-C 4 0 0 0 4 4

5. EC45 Signals and Systems PC-C 4 0 0 0 4 4

6. EC462 Hardware Description Language (Soft Core)

PC-C 3 0 0 0 3 3

7. EC463 Computer Organization (Soft Core)

8. ECL47 Signals & Controls Laboratory PC-C 0 0 1 0 1 2

9. ECL48 Microprocessor Laboratory PC-C 0 0 1 0 1 2

Total 21 1 2 1 25 27

7

III SEMESTER

ENGINEERING MATHEMATICS – III

Course Code: EC31 Credits: 4:0:0:0 Prerequisite: Engineering Mathematics I and II Contact Hours: 56 Course Coordinators: Dr. Monica Anand & Mr. Vijaya Kumar

UNIT – I Numerical solution of Algebraic and Transcendental equations: Method of false position, Newton - Raphson method. Numerical solution of Ordinary differential equations: Taylor series method, Euler and modified Euler method, fourth order Runge-Kutta method. Statistics: Curve fitting by the method of least squares, fitting a linear curve, fitting a parabola, fitting a geometric curve, correlation and regression.

UNIT – II Linear Algebra: Elementary transformations on a matrix, Echelon form of a matrix, rank of a

matrix, Consistency of system of linear equations, Gauss elimination and Gauss – Siedel method

to solve system of linear equations, eigen values and eigen vectors of a matrix, Rayleigh power

method to determine the dominant eigen value of a matrix, diagonalization of a matrix, system of

ODEs as matrix differential equations.

UNIT – III Complex Variables – I: Functions of complex variables, Analytic function, Cauchy-Riemann

equations in cartesian and polar coordinates, Consequences of Cauchy-Riemann equations,

Construction of analytic functions.

Transformations: Conformal transformation, Discussion of the transformations –

,,2 zewzw and )0(2

zz

azw , bilinear transformation.

UNIT – IV

Complex Variables-II: Complex integration, Cauchy theorem, Cauchy integral formula, Taylor

and Laurent series (statements only), Singularities, Poles and residues, Cauchy residue theorem

(statement only).

8

UNIT – V Fourier series: Convergence and divergence of infinite series of positive terms, Periodic

function, Dirchlet conditions, Fourier series of periodic functions of period 2 and arbitrary

period, Half range series, Fourier series and Half Range Fourier series of Periodic square wave,

Half wave rectifier, Full wave rectifier, Saw-tooth wave with graphical representation, Practical

harmonic analysis.

Textbooks: 1. Erwin Kreyszig, “Advanced Engineering Mathematics”, Wiley Publication, 10th Edition, 2015.

2. B. S. Grewal, “Higher Engineering Mathematics”, Khanna Publishers, 43rd

Edition, 2015.

References: 1. Glyn James, “Advanced Modern Engineering Mathematics”, Pearson Education, 4th Edition,

2010.

2. Dennis G. Zill, Michael R. Cullen, “Advanced Engineering Mathematics”, Jones and Barlett

Publishers Inc., 3rd Edition, 2009.

3. Dennis G. Zill and Patric D. Shanahan, “A First Course in Complex Analysis with Applications”,

Jones and Bartlett Publishers, 2nd Edition, 2009.

Course Outcomes: 1. Solve the problems of algebraic, transcendental and ordinary differential equations using

numerical methods and fit a suitable curve by the method of least squares and determine the

lines of regression for a set of statistical data. (POs – 1, 2. PSO – 1, 3)

2. Analyze the concept of rank of a matrix and testing the consistency and the solution by Gauss

elimination and Gauss Siedel iteration methods. (POs – 1, 2. PSO – 1, 3)

3. Analyze functions of complex variable in terms of continuity, differentiability and analyticity

and apply Cauchy-Riemann equations and harmonic functions to solve problems related to

Fluid Mechanics, Thermo Dynamics and Electromagnetic fields and geometrical interpretation

of conformal and bilinear transformations. (POs – 1, 2. PSO – 1, 3)

4. Find singularities of complex functions and determine the values of integrals using residues.

(POs – 1, 2. PSO – 1, 3)

5. Apply the knowledge of Fourier series and expand a given function in both full range and half

range values of the variable and obtain the various harmonics of the Fourier series expansion for

the given numerical data. (POs – 1, 2. PSO – 1, 3)

9

ANALOG ELECTRONIC CIRCUITS

Course Code: EC32 Credits: 4:0:0:0 Prerequisite: Basic Electronics Contact Hours: 56 Course Coordinator: Mrs. Lakshmi Shrinivasan

UNIT – I Small signal low frequency transistor models: Two-port devices and hybrid model, the three

transistor configurations, determination of h-parameters from the characteristics, Advantages of

h-parameters, Analysis of a transistor amplifier circuit using h-parameters (CE Configuration

only), CE amplifier with emitter resistance, Miller’s theorem and its dual, Miller effect

capacitance

Low frequency transistor amplifier circuits: Bootstrapped Darlington circuit, Cascode transistor configuration.

Untuned amplifiers: Cascaded CE transistor stages.

UNIT – II Feedback amplifiers: Feedback concept, advantages of Negative feedback, Transfer gain with

feedback, Loop gain, Feedback amplifier topologies, General characteristics of negative

feedback amplifiers, effect of negative feedback on input and output resistance in voltage series,

Effect of negative feedback on amplifier bandwidth.

Sinusoidal Oscillators: Barkhausen Criterion, LC oscillators (tuned oscillators) - Transistor

Colpitts oscillator, Hartley oscillator, Transistor Phase Shift Oscillator – RC Phase shift & Wien

Bridge oscillator (both without mathematical analysis), Crystal oscillator – Frequency Stability.

UNIT – III Large Signal Amplifiers: Classification of power amplifiers, Class A Large signal amplifiers,

Second Harmonic distortion, conversion efficiency, Power Output, Transformer – Coupled

Audio Power Amplifier, Push – Pull Amplifiers, Advantages of a Push–Pull System, Class B

amplifiers, Complementary –Symmetry Circuits, Class AB operation, Class C and Class D

Amplifier, Problems, power transistor heat sink, thermal analogy of a power transistor.

10

UNIT – IV Field Effect Transistors: Junction Field Effect Transistor, Pinch-Off Voltage Vp, JFET volt-

ampere characteristics, FET small signal model, Insulated Gate FET(MOSFET), Comparison of

MOSFET & JFET, Common Source Amplifier, Common Drain Amplifier, or Source Follower,

Generalized FET Amplifier, Biasing FET, FET as a Voltage Variable Resistor(VVR), Uni-

junction Transistor, Problems. UNIT – V

MOSFET as an amplifier and a switch: Large signal operation – transfer characteristic,

graphical derivation of the transfer characteristic, operation as a switch, operation as a linear

amplifier, Biasing in MOS amplifiers, biasing by fixing VGS, biasing by fixing VGS and

connecting a resistance in the source, biasing using a drain-to-gate feedback resistor, biasing

using a constant current source.

Small signal operation and models: Small signal equivalent circuit model, T equivalent circuit

model, Modeling the Body effect, Common source amplifier with and without source resistance,

high frequency model of MOSFET, unity gain frequency, frequency response – Low frequency,

mid-band and high frequency analysis of common-source amplifier.

Textbooks: 1. Jacob Millman, Christos C. Halkias & Satyabrata Jit, “Electronic Devices and Circuits”, Tata

McGraw Hill, 2nd

Edition, 2008. 2. Adel Sedra, Kenneth Smith, “Microelectronic Circuits”, 1

st Indian Edition, Oxford University

Press, 2006.

References:

1. Robert L. Boylestad and Louis Nashelsky, “Electronic Devices and Circuit Theory”, PHI, 9

th

Edition, 2008.

Course Outcomes: 1. Analyze BJT hybrid model and its significance in circuit analysis along with general BJT

amplifiers. (POs – 1, 2, 3, 12. PSO –1) 2. Illustrate the importance of feedback amplifiers and oscillator circuits. (POs – 1, 2, 12.

PSO – 1) 3. Compute the conversion efficiency of different types of power amplifiers. (POs – 1, 2.

PSO – 1)

11

4. Compare different types of FET amplifiers. (POs – 1, 2, 4. PSO – 1) 5. Interpret the low and high frequency response of a common source amplifier using

MOSFET. (POs – 1, 2, 4. PSO – 1)

12

DIGITAL ELECTRONIC CIRCUITS

Course Code: EC33 Credits: 4:0:0:0

Prerequisites: Basic Electronics Contact Hours: 56

Course Coordinator: Mrs. Reshma Verma

UNIT – I

Introduction to different logic families: Electrical characteristics of logic gates – logic levels

and noise margins, fan-out, propagation delay, transition time, power consumption and power

delay product, TTL inverter – circuit description and operation, TTL NAND circuit description

and operation.

Combinational logic: Boolean algebra: Standard representation of logic functions – SOP and

POS forms, Minimization of 4 variable functions using Karnaugh maps, Multiplexing and

Demultiplexing, Multiplexers – Realization of 2:1, 4:1 and 8:1 multiplexers using gates,

applications, Demultiplexers: Realization of 1:2, 1:4, 1:8 using basic gates, applications.

UNIT – II Combinational logic: Code converters: BCD to Excess 3 and vice versa, Binary to gray and vice

versa, Encoders, Priority Encoders, Decoders, BCD to Decimal and BCD to Seven segment

decoders, Parity circuits (generator and checker), Comparators: 1 bit and 2 bit comparators

design, cascade comparators. Combinational Functions: Arithmetic operations: Adders, Parallel adders, Fast adders,

Subtractor: using 2s complement and applications, Adder/Subtractor, BCD adder, binary

multipliers.

UNIT – III Flip Flops: Latches, Flip-Flops: Master Salve Flip Flops, Edge Triggered Flip Flop, setup and hold time, Characteristic and Excitation Tables, Conversion from one flip flop to another.

Registers: Registers (basic, load control input, parallel load), Shift registers (basic, parallel load,

universal), SISO, SIPO, PISO, PIPO, Applications of shift registers (serial adder, ring counter,

Johnson counter)

UNIT – IV Sequential Circuits Analysis and Design:

Ripple counter: Up counter, down counter, up/down counter using flip flops, design of Mod N counter. Synchronous counters: Design of synchronous counters (self-starting counter)

13

Synchronous sequential Machines: State table, state diagram, Mealy and Moore Machines, Design and Analysis of Sequential Circuits using D /T Flip Flops.

UNIT – V Synchronous Sequential Machines: Sequence recognizer, State assignment, State reduction, design procedure. Memory and Programmable Logic Devices: Random Access-Memory, Timing waveforms,

Read Only Memory, Programmable logic devices (PROM, Programmable Logic Array,

Programmable Array Logic Devices), implementation of combinational circuits using PLDs. Textbooks: 1. M. Morris Mano and Charles R. Kime, “Logic and Computer Design Fundamentals”,

Pearson Education, 3rd

Edition, 2006. 2. Charles Roth Jr, and Larry L Kinney, “Fundamental of Logic Design”, Cengage Learning, 7th

Edition, 2014. References: 1. Donald D Givone, “Digital Principles and Design”, Tata McGraw Hill Edition, 2002. 2. John Yarbrough, “Digital Logic Applications and Principles”, Cengage Learning, 1

st

Edition, 2006.

Course Outcomes:

1. Employ K-Map for simplifying Boolean functions and design of circuits composed of NAND

and NOR gates. (POs – 1, 2. PSO – 2) 2. Analyze and design combinational logic circuits. (POs – 1, 2. PSO – 2) 3. Analyze and design sequential circuits. (POs – 1, 2, 3. PSO – 2) 4. Design and analyze synchronous sequential machines. (POs – 1, 2, 3, 4. PSO – 2) 5. Implement combinational logic circuits using PLD. (POs – 1, 2, 3, 4. PSO – 2)

14

NETWORK ANALYSIS

Course Code: EC34 Credits: 3:1:0:0 Prerequisites: Engineering Mathematics Contact hours: 56 Course Coordinator: Mrs. Punya Prabha

UNIT – I Voltage and Current Laws: Kirchoff’s Laws; Single Loop and Node-Pair Circuits; Connected Independent Sources; Voltage and Current Division. Circuit Analysis: Nodal and Mesh Analysis; Super Node; Super Mesh; Delta-Wye Conversion.

UNIT – II

Circuit Analysis Techniques: Linearity, Superposition, Reciprocity, Thevenin’s, Norton’s and Maximum Power Transfer Theorems; Source Transformation. Sinusoidal Steady-State Analysis: Forced Response; Complex Forcing Function; Phasor relationships for R, L and C; Impedances and Admittances in Nodal and Mesh Analysis; Superposition, Source Transformations and Thevenin’s Theorem.

UNIT – III

Initial Conditions in Networks: Initial Conditions in Elements; Evaluating Initial Conditions. Laplace Transformation: Basic Theorems; Partial Fraction Expansion; Solution by the Laplace Transformation. Transforms of Signal Waveforms: Shifted Unit Step Function; Ramp and Impulse Functions; Waveform Synthesis; Initial and Final Value theorems, Convolution Integral.

UNIT – IV Network Topology and Equations: Basic Definitions; Matrices of Graphs; Node and Mesh Transformations; Generalized Element; Formulation of Network Equations. Two-Port Parameters: Impedance, Admittance, Transmission and Hybrid Parameters, Relationships between Parameter Sets.

15

UNIT – V Synthesis of One-Port Networks: Synthesis of L-C Driving-Point Immittances, R-C (R-L) Impedances (Admittances).

Frequency Response: Parallel and Series Resonance Forms.

Textbooks:

1. W. H. Hayt Jr., J. E. Kemmerly, S. M. Durbin, “Engineering Circuit Analysis”, 6

th Edition,

Tata McGraw-Hill, 2002. 2. F. F. Kuo, “Network Analysis and Synthesis”, 2

nd Edition; Wiley, 1966.

References:

1. V. K. Aatre, “Network Theory and Filter Design” 2nd

Edition, New Age International, 1980.

2. M. E. Van Valkenburg, “Network Analysis”, 3rd

Edition, Pearson Prentice Hall, 1974.

3. M. Nahvi, J. A. Edminister, “Electric Circuits”, 4th

Edition, Tata McGraw-Hill, 2007.

4. C. K. Alexander, M. N. O. Sadiku, “Fundamentals of Electric Circuits”, 3rd

Edition, Tata

McGraw-Hill, 2008.

Course Outcomes: 1. Employ nodal and mesh analysis techniques to various electric circuits. (POs – 1, 2, 5.

PSO – 1) 2. Analyze electrical circuits using network theorems. (POs – 1, 2, 5. PSO – 1) 3. Solve electric circuits using Laplace transform and network topology. (POs – 1, 2, 5.

PSO – 1) 4. Determine two-port network parameters. (PO – 1, 2, 3, 5. PSO – 1) 5. Synthesize one-port networks using lumped elements. (PO – 1, 2, 3, 5. PSO – 1)

16

ELECTROMAGNETICS Course Code: EC35 Credits: 4:0:0:0 Prerequisites: Vector Analysis Contact hours: 56 Course Coordinator: Mrs. Jayashree S

UNIT – I

Coulomb's Law and Electric Field Intensity: The experimental Law of Coulomb, Electric field

intensity, Field Arising from a Continuous Volume Charge Distribution, Field of Line Charge,

Field of a Sheet of Charge.

Electric Flux Density, Gauss's Law: Electric Flux Density, Gauss's Law, Application of Gauss's Law, Some Symmetrical Charge distributions.

UNIT – II

Divergence: Differential Volume element, Divergence, Maxwell's First Equation

(Electrostatics), vector operator and Divergence Theorem.

Energy and Potential: Energy expended in moving a point charge in an electric field, Line

integral, Definition of Potential Difference and Potential, Potential field of a point charge,

Potential field of a system of charges: conservative property, Potential Gradient, Energy Density

in the Electrostatic Field.

UNIT – III

Dielectrics, Capacitance, Poisson's and Laplace's Equations: Boundary Conditions for perfect

dielectric materials, Capacitance, Several Capacitance examples, Derivation of Poisson's and

Laplace's equations, Examples of the solution of Laplace's equation, Examples of the solution of

Poisson's equation. Steady Magnetic Field: Biot-Savart's Law, Ampere's circuital law, Curl, Stokes’ theorem.

UNIT – IV

Magnetic Forces, Time-varying Fields and Maxwell's Equations: Magnetic flux and

Magnetic flux Density, Scalar and Vector Magnetic Potentials, Force on a Moving Charge, Force

on a Differential Current Element, Force between Differential Current Elements, Faraday's law,

Displacement Current, Maxwell's Equations in Point Form, Maxwell's Equations in Integral

Form, Retarded Potential.

17

UNIT – V

Uniform Plane Wave: Wave propagation in Free Space, Wave propagation in Dielectrics,

Poynting's Theorem and Wave Power, Propagation in good conductors: Skin effect, Wave

Polarization (Qualitative treatment).

Waveguides: Rectangular Waveguides, Analysis of field components, cut off frequency, group and phase velocities, phase constants, dominant modes. Textbook:

1. William H. Hayt Jr., John A. Buck, “Engineering Electromagnetics”, McGraw-Hill

Publications, 8th

Edition, 2010. Reference: 1. Mathew N. O. Sadiku, “Elements of Electromagnetics”, Oxford University Press, 4

th Edition,

2006. Course Outcomes:

1. Apply Coulomb’s law and Gauss’s law to various charge distributions. (POs – 1, 2, 10.

PSO – 3)

2. Analyze the concept of divergence, potential and energy density in electrostatic field.

(POs – 1, 2, 10. PSO – 3)

3. Employ boundary conditions, Laplace’s and Poisson’s equations to determine capacitance of

various configurations. (POs –1, 2, 3, 10. PSOs – 2, 3)

4. Use Biot-Savart’s law and Ampere’s law to determine magnetic field for various current

distributions. (POs – 1, 2, 10. PSOs –3)

5. Interpret Maxwell’s equations for time varying fields and in wave propagation. (POs – 1, 2,

10, 12. PSOs – 2, 3)

18

DATA STRUCTURES USING C

Course Code: EC362 Credits: 2:0:0:1 Prerequisite Courses: Fundamentals of Computing Contact hours: 28 Course Coordinator: Mrs. Reshma Verma

UNIT – I Stack and Queues: Basic stack operations (Push, Pop, stack top), Stack algorithms and C

functions (create, push, pop, display), stack applications (Infix to postfix, evaluating postfix

expression), Queue Operations (enqueue, dequeue) algorithms and C functions (queue front and

rear)

UNIT – II Linked List: General linear lists: Basic Operations (Insertion, deletion, retrieval, traversal),

Implementation, data structure (Head node, data node), algorithms and C functions (create list,

insert node, delete node, search node, display, traverse list), complex implementation (doubly

linked list, create list, insert node, delete node, search node, display, traverse list).

UNIT – III Sorting and Searching: Sort concepts, algorithms and C functions, selection sort (straight selection sort), insertion sort (straight selection sort), searching (sequential and binary search)

UNIT – IV Trees: Basic tree concepts, binary tree, binary tree (concept only), binary tree traversals (depth

first traversals, breadth first traversals), expression trees (infix, postfix and prefix traversals)

UNIT – V Graphs: Basic concepts, operations (insert and delete vertex, add and delete edge), traverse

graph (Depth-first traversal), Graph storage structures (Adjacency matrix), Networks: minimum

spanning tree (Prim’s algorithm), shortest path algorithm (Dijkstra’s) Self-Study: Stack applications (Infix to prefix), Circular singly list (create list, insert node,

delete node, search node, display, traverse list), Sort order, stability, efficiency, exchange sort

(bubble and quick sort), Binary search trees (Basic concepts, BST operations, traversals, C

functions), Breadth-first traversal, Adjacency list, minimum spanning tree (Kruskal’s algorithm)

21

Textbook:

1. Richard Gilberg and Behrouz Forouzan, “Data Structures: A pseudo code approach with C”,

2nd

Edition, Thomson Publishing, 2007.

Reference:

1. A. Tanenbaum, “Data Structures with C”, McGraw Hill, 2000.

Course Outcomes:

1. Implement stacks and queues. (POs – 1, 2, 3, 5, 12. PSO – 2) 2. Create various linked list applications. (POs –1, 2, 3, 12. PSO – 2) 3. Apply searching and sorting algorithms to sort data. (POs – 1, 2, 3, 5, 12. PSO – 2) 4. Illustrate the concepts of trees with suitable algorithm. (POs – 1, 2, 3, 5, 12. PSO – 2) 5. Develop algorithm to solve real world problems using graphs. (POs – 1, 2, 3, 5, 12. PSO – 2)

22

DIGITAL ELECTRONIC MEASUREMENTS

Course Code: EC363 Credits: 2:0:0:1 Pre-requisites: Basic Electronics Contact Hours: 28 Course Coordinator: Mrs. Punya Prabha. V

UNIT – I Measurement and Error: Definitions, Accuracy and precision, Significant figures, Types of

errors, Limiting errors, Classification of standards of measurement, Time and frequency

standards. Digital Voltmeters and Multimeters: Advantages of digital meters, General characteristics

(specifications) of a DVM, Ramp type DVM, Integrating type DVM (Voltage to frequency

conversion), Digital meter displays – LED and LCD displays, Range changing methods for

DVM, Digital multimeter.

UNIT – II Digital Frequency meters and Phase meters: Introduction, Frequency measurement, High

frequency measurement (extending the frequency range), Time (period) measurement, Universal

counter, Automatic and computing counters, Reciprocal electronic counters, Sources of

measurement errors, Specifications of electronic counters – Input characteristics and operating

mode specifications, Digital phase meter.

UNIT – III Digital Instruments: Digital tachometer, Digital PH meter, Digital measurement of mains (supply) frequency, Digital L, C and R measurements – Digital RCL meter. Special Oscilloscopes: Sampling oscilloscope, Digital read out oscilloscope.

UNIT – IV Digital Signal Generators: Arbitrary waveform generators (AWG), Key characteristics of digital signal generators. Digital Spectrum Analyzer: Principle of working and its applications.

Logic Analyzer: Types of logic analyzer – Logic time analyzer

Recorders: Digital data recording, Objectives and requirements of recording data, Recorder

selection and specifications.

UNIT – V Transducers: Electrical transducers, advantages, classification of transducers, characteristics

and choice (selection) of transducers.

Digital Data Acquisition System: Objectives of DAS, Elements of data acquisition system.

Telemetry systems: Landline and radio frequency (RF) telemetry systems.

Digital Controllers: Direct digital and computer supervisory control, Digital process controllers.

Self-Study: Dual slope integrating type DVM (Voltage to time conversion), Successive

approximation type DVM, Parallel or flash type DVM, Microprocessor based ramp type DVM,

Time interval measurement, Frequency ratio measurement, Totalizing mode of measurement,

Digital capacitance meter, Digital storage oscilloscopes, Arbitrary function generator, Data

generator, Logic state analyzer, Digital memory waveform recorder (DWR), Digital Transducers

– Optical encoders, Shaft (spatial) encoders, Data loggers.

Textbook: 1. Albert D. Helfrick, William D. Cooper, “Modern Electronic Instrumentation and

Measurement Techniques”, US Edition, PHI, 2012.

References:

1. H. S. Kalsi, “Electronic Instrumentation”, TMH, 3rd

Edition, Seventh reprint, 2012.

Course Outcomes: 1. Employ the concept of errors to study the performance of electronic instrumentation systems.

(POs – 1, 2, 5, 6, 12. PSO – 1) 2. Apply the basic principles of electronic instruments to design and construct new instruments.

(POs – 1, 2, 3, 5, 6, 12. PSO – 1) 3. Interpret the suitability of instruments in various applications. (POs – 1, 2, 3, 5, 6, 12. PSO –

1) 4. Select the instruments to observe waveforms and spectrum. (POs – 1, 2, 4, 5, 6, 12. PSOs –

1, 3) 5. Describe transducers, data acquisition systems and digital process controllers in electronic

applications. (POs – 1, 2, 3, 4, 5, 6, 12. PSOs – 1, 3)

ANALOG ELECTRONIC CIRCUITS LABORATORY

Course Code: ECL37 Credits: 0:0:1:0 Prerequisite: Basic Electronics Contact Sessions: 14 Course Coordinator: Mrs. Lakshmi Shrinivasan

LIST OF EXPERIMENTS

1. Verification of Thevinin’s theorem and Maximum Power Transfer Theorem

2. Study the input output characteristics of BJT CE Amplifier and determine the h-

parameters 3. Study of drain characteristics and transfer characteristics of n-channel MOSFET 4. Design and test Bridge Rectifier with and without C filter 5. Design and test diode clipping and clamping circuits 6. Design and test RF oscillators (i) Hartley (ii) Colpitts 7. Design and test RC Phase Shift oscillators 8. Design and test a BJT- RC coupled amplifier. Plot the frequency response. 9. Design and test a FET- RC coupled amplifier. Plot the frequency response.

10. Design a voltage series feedback amplifier. Compare the parameters with and without

feedback.

11. Design and test power amplifiers (i) Class A transformer coupled audio power amplifier (ii) Class B Push Pull power amplifier.

12. Design and test Darlington pair emitter follower with bootstrap capacitor 13. Simulation of all the above experiments.

Softwares suggested: MultiSim or any other suitable simulation tool.

Textbooks: 1. “Integrated Electronics”, Millman & Halkias, Tata McGraw Hill International Edition, 2001. 2. “Electronic Devices and Circuit Theory”, Robert L. Boylestad and Louis Nashelsky, 6

th

Edition PHI, 2002. 3. “RF Microelectronics”, Behzad Razavi, Prentice Hall Communications Engineering and

Emerging Technology Series, 1998.

Course Outcomes: 1. Design amplifier circuits using BJT and FET devices. (POs – 1, 2, 3, 5, 8, 9, 10. PSO – 1) 2. Design power and negative feedback amplifiers. (POs –1, 2, 3, 5, 8, 9, 10. PSO – 1)

23

3. Design diode clipping, clamping and rectifier circuits. (POs – 1, 2, 3, 5, 8, 9, 10. PSO – 1)

4. Design oscillator circuits using BJT. (POs – 1, 2, 3, 5, 8, 9, 10. PSO – 1) 5. Simulate and verify hardware designs. (POs – 1, 2, 3, 5, 8, 9, 10. PSO – 1)

24

DIGITAL ELECTRONIC CIRCUITS LABORATORY Course Code: ECL38 Credits: 0:0:1:0

Prerequisites: Basic Electronics Contact Sessions: 14

Course Coordinator: Mrs. Reshma Verma

LIST OF EXPERIMENTS

1. (i) Verification of basic, universal and XOR gates (ii) Simplification, realization of Boolean expressions using universal gates

2. Realization of Half/Full adder and Half/Full Subtractor using NAND gates 3. (i) Realization of BCD to Excess 3 code converter

(ii) Realization of Binary to Gray code converter 4. Study of Decoder chip to drive LED display and priority encoder using IC 74147 5. Multiplexer using IC74153 and its applications 6. Demultiplexer using IC74139 and its applications 7. (i) Parallel Adder/Subtractor using IC7483

(ii) BCD Adder using IC7483 (iii) One bit comparator and study of IC7485 magnitude comparator

8. (i) JK Master slave, T-Type and D-Type Flip Flop using IC7476 (ii) Ripple counter using IC7476

9. (i) Study of asynchronous decade counter using IC 7490 (ii) Synchronous counter using IC7476 (iii) Study of synchronous decade counter using IC 74192

10. (i) Shift left, Shift right, SIPO, SISO, PISO, PIPO operations using IC7495 shift register (ii) Study of Ring/Twisted counter using IC7495 (iii) Sequence generator using IC7495 (iv) Programming RAM using IC6116

Textbooks: 1. M. Morris Mano and Charles R. Kime, “Logic and Computer Design Fundamentals”,

Pearson Education, 3rd

Edition, 2006. 2. R. P. Jain, “Modern Digital Electronics”, Tata McGraw Hill, 4

th Edition, 2010.

References:

1. Donald D Givone, “Digital Principles and Design”, Tata McGraw Hill Edition, 2002. 2. Tocci, “Digital Systems, Principles and Applications”, PHI/Pearson Education, 6

th Edition,

1997.

25

Course Outcomes:

1. Design combinational logic circuits using gates. (POs – 1, 2, 9. PSO – 2) 2. Design combinational logic circuits using MUX/DEMUX/ADDER ICs (POs – 1, 2, 9.

PSO – 2) 3. Design sequential logic circuits. (POs – 1, 2, 3, 9. PSO – 2) 4. Demonstrate the operation of RAM. (POs – 3, 9. PSO – 2) 5. Design sequence generator circuits. (POs – 1, 2, 3, 9. PSO – 2)

26

IV SEMESTER

ENGINEERING MATHEMATICS – IV Course Code: EC41 Credits: 4:0:0:0 Prerequisites: Engineering Mathematics I and II Contact Hours: 56 Course Coordinators: Dr. Monica Anand & Mr. Vijaya Kumar

UNIT – I Finite Differences and Interpolation: Forward, Backward differences, Interpolation, Newton-

Gregory Forward and Backward Interpolation, formulae, Lagrange interpolation formula and

Newton divided difference interpolation formula (no proof).

Numerical Differentiation and Numerical Integration: Derivatives using Newton-Gregory

forward and backward interpolation formulae, Newton-Cotes quadrature formula, Trapezoidal

rule, Simpson 1/3rd rule, Simpson 3/8th rule.

UNIT – II

Fourier Transforms: Infinite Fourier transform, Infinite Fourier sine and cosine transforms,

properties, Inverse transform, Convolution theorem, Parseval identity (statements only), Fourier

transform of rectangular pulse with graphical representation and its output discussion,

Continuous Fourier spectra – Example and physical interpretation.

Z-Transforms: Definition, standard Z-transforms, Single sided and double sided, Linearity

property, Damping rule, Shifting property, Initial and final value theorem, Convergence of Z-

transforms, Inverse Z-transform, Convolution theorem and problems, Application of Z-transform

to solve difference equations.

UNIT – III Random Variables: Random Variables (Discrete and Continuous), Probability density function,

Cumulative distribution function, Mean, Variance, Moment generating function .

Probability Distributions: Binomial and Poisson distributions, Normal distribution,

Exponential distribution, Gamma distribution, Uniform distribution, Joint probability distribution

(both discrete and continuous), Conditional probability, Conditional expectation, Simulation of

random variables.

27

UNIT – IV Stochastic Processes: Introduction, Classification of stochastic processes, discrete time

processes, Stationarity, Ergodicity, Autocorrelation, Power spectral density.

Markov Chain: Probability Vectors, Stochastic matrices, Regular stochastic matrices, Markov

chains, Higher transition probabilities, Stationary distribution of regular Markov chains and

absorbing states, Markov and Poisson processes.

UNIT – V

Series Solution of ODEs and Special Functions: Series solution, Frobenius method, Series

solution of Bessel differential equation leading to Bessel function of first kind, Orthogonality of

Bessel functions, Series solution of Legendre differential equation leading to Legendre

polynomials, Rodrigues’s formula.

Textbooks:

1. B. S. Grewal, “Higher Engineering Mathematics”, Khanna Publishers, 43rd

Edition, 2015. 2. R. E. Walpole, R. H. Myers, R. S. L. Myers and K. Ye, “Probability and Statistics for

Engineers and Scientists”, Pearson Education, Delhi, 9th

Edition, 2012.

References: 1. Erwin Kreyszig, “Advanced Engineering Mathematics”, Wiley Publication, 10

th Edition,

2015 2. Glyn James, “Advanced Modern Engineering Mathematics”, Pearson Education, 4

th Edition,

2010 3. Kishor S. Trivedi, “Probability & Statistics with Reliability, Queuing and Computer Science

Applications”, John Wiley & Sons, 2nd

Edition, 2008. Course Outcomes:

1. Use a given data for equal and unequal intervals to find a polynomial function for estimation and compute maxima, minima, curvature, radius of curvature, arc length, area, surface area and volume using numerical differentiation and integration. (POs – 1, 2, PSOs – 1, 3)

28

2. Evaluate Fourier, Fourier sine and Fourier cosine transforms of functions and apply the

knowledge of Z – transforms to solve difference equations. (POs – 1, 2, PSOs – 1, 3) 3. Apply the concept of probability distribution to solve engineering problems. (POs – 1, 2,

PSOs – 1, 3) 4. Apply the stochastic process and Markov Chain in predictions of future events. (POs –

1, 2, PSOs – 1, 3) 5. Obtain the series solution of ordinary differential equations. (POs – 1, 2, PSOs – 1, 3)

29

LINEAR INTEGRATED CIRCUITS

Course Code: EC42 Credits: 3:0:0:1

Prerequisites: Analog Electronic Circuits Contact Hours: 42

Course Coordinator: Mrs. H. Mallika

UNIT – I Operational Amplifier Fundamentals: Basic Op-Amp circuits, Op-amp parameters: input and

Output voltage, CMRR and PSRR, offset voltages and currents, Input and Output Impedances,

Slew rate and Frequency limitations. Op-amp as DC Amplifiers: Biasing Op-amps, Direct Coupled Voltage follower, Non Inverting

Amplifiers, Inverting Amplifiers, Summing Amplifiers, Difference Amplifiers, Instrumentation

Amplifiers.

UNIT – II Op-Amp as AC amplifiers: Capacitor coupled Voltage followers, High Input Impedance

Capacitor coupled Voltage followers, Capacitor coupled Non Inverting Amplifiers, High Input

Impedance Capacitor coupled Non Inverting Amplifiers, Capacitor coupled Inverting Amplifiers,

setting the upper cut off frequency, capacitor coupled difference amplifiers.

UNIT – III Op-Amp switching, differentiating and integrating circuits: Zero crossing detectors, Inverting Schmitt trigger circuits, Integrating circuits and Differentiating circuits. Signal processing circuits using Op-Amp: Precision half-wave rectifier, Precision full-wave rectifier, Limiting Circuits, Clamping circuits, Peak Detectors, Sample and Hold circuits.

UNIT – IV Signal generators: Triangular/Rectangular wave generator, Phase shift Oscillator, Wein Bridge Oscillator, Monostable and Astable multivibrator. Active filters: First and second order Low and High pass filter, First order two op-amp band pass and band reject filters (block diagrams only)

30

UNIT – V Applications of other Linear ICs: Series Op-amp Regulator, IC 723 general purpose Regulator,

555 Timer – Basic Timer circuit used as astable multivibrator and monostable multivibrator, PLL

operating principles D – A and A – D Converters: DAC/ADC Specifications, R-2R DAC, Monolithic DAC, Successive Approximation ADC and Dual Slope ADC. Self-Study: Use of single polarity voltage supply, Op-amp frequency response and

compensation methods, Log and Antilog amplifier, multiplier and divider, Non-Inverting

Schmitt trigger, weighted resistor DAC, Flash type ADC and Counter type ADC

Textbooks:

1. David A. Bell, “Operational Amplifiers and Linear ICs”, PHI/Pearson, 3rd

Edition, 2011. 2. D. Roy Choudhury and Shail B. Jain, “Linear Integrated Circuits”, New Age International 2nd

Edition, Reprint 2006.

References: 1. Robert. F. Coughlin & Fred. F. Driscoll, “Operational Amplifiers and Linear Integrated

Circuits”, PHI/Pearson, 2006. 2. Ramakant A. Gayakwad, “Op-Amps and Linear Integrated Circuits”, PHI/Pearson, 4

th

Edition, 2004.

Course Outcomes:

1. Evaluate the parameters of an op-amp. (PO – 1, PSO – 1)

2. Design op-amp amplifier circuits. (PO – 1,2, 3, PSO – 1)

3. Analyze and design switching and signal processing circuits. (PO – 1,2, 3, PSO – 1)

4. Analyze active filters and signal generators. (PO – 1,2, 3, PSO – 1)

5. Employ linear ICs in various applications. (PO – 1,2, 3, PSO – 1)

31

CONTROL SYSTEMS

Course Code: EC43 Credits: 3:1:0:0 Prerequisites: Network Analysis, Engineering Mathematics Contact Hours: 56 Course Coordinator: Mrs. Punya Prabha. V

UNIT – I Introduction: Examples of control systems, closed loop vs open loop control systems, classification of control systems. Mathematical modeling of linear systems: Review of Laplace transforms, transfer function and impulse response: Block diagram and signal flow graph.

UNIT – II Mathematical modeling of linear systems: Analogous systems, Translational and Rotational Mechanical systems. Time response of feedback control systems: Test input signals, time response of first and

second order systems, Transient response specification of second order system, Steady state error

and error constants. Applications: Design and analysis of second order system.

UNIT – III Stability analysis: Concept of stability, Routh-Hurwitz criterion, Relative stability analysis,

application of Routh stability criterion, Nyquist plot: polar plots, Nyquist stability criterion,

assessment of relative stability using Nyquist criterion.

UNIT – IV Root-locus technique: Introduction, the root-locus concepts, construction of root loci.

Introduction to state variable analysis: Concepts of state, state variables and state model for

electrical systems, Solution of state equations.

UNIT – V Frequency response analysis: Introduction, Bode diagrams, assessment of relative stability using Bode plots.

32

Controllers: Classification of controllers, Brief analysis of different types of controllers.

Textbooks:

1. K. Ogata, “Modern Control Engineering”, 4th

Edition, Prentice Hall, 2001. 2. I. J. Nagrath and M. Gopal, “Control System Engineering”, 5

th Edition, New Age

International Publishers, 2007.

References:

1. Ajit. K. Mandal, “Introduction to Control Engineering Modeling, Analysis and Design”, 2

nd

Edition, New Age International Publishers, 2012. 2. Dhanesh N. Manik, “Control Systems”, Cengage Learning, 1

st Edition, 2012.

Course Outcomes: 1. Employ mathematical modeling techniques to determine the transfer function of a system.

(POs – 1, 2, 5. PSO – 1) 2. Analyze the time response of first and second order systems. (POs – 1, 2, 5. PSO – 1) 3. Apply the concept of RH Criterion and root locus technique to determine the stability of a

system. (POs – 1, 2, 4, 5. PSO – 1) 4. Interpret the frequency response of a system using Bode’s plot and Nyquist stability criterion.

(POs –1, 2, 4, 5. PSO – 1) 5. Describe the state models and various controllers. (POs – 1, 2, 4, 5. PSO – 1)

33

MICROPROCESSORS

Course Code: EC44 Credits: 4:0:0:0 Prerequisites: Digital Electronic Circuits Contact Hours: 56 Course Coordinator: Mrs. Flory Francis

UNIT – I Microprocessor and its architecture: Introduction, internal architecture of 8086, PSW, Real mode memory addressing.

Addressing modes: Data, Program memory, Stack memory

UNIT – II Instruction set of 8086: Data move, arithmetic and logic, program control, assembler directives, assembly language programming, programs using BIOS and DOS interrupts.

UNIT – III Modular Programming: Assembler & linker, PUBLIC & EXTRN, libraries, macros, DOS function calls, programming examples using macros & DOS function calls. 8086 Hardware Specifications: Pin outs and Pin functions of 8086, clock generator 8284A, Bus

buffering and latching, bus timing, READY and wait state, minimum mode versus maximum

mode. (Basic comparison only)

UNIT – IV Memory interfacing: Address decoding, memory interfacing for 8086, Introduction to dynamic memory interfacing. I/O interfacing: Introduction, I/O port address decoding (8 bit and 16 bit). Simple programs

related to I/O interface.

Interrupts: Basic interrupt processing, hardware interrupts.

34

UNIT – V Peripherals and their interfacing with 8086: Study of 8255 PPI, 8253 timer and 8279

keyboard Numeric Co-processor 8087: Data formats, numerical processors, architecture & programming. (Simple programs)

High end processors: Introduction to 80386, 80486 and Pentium.

Textbooks:

1. Barry B Brey, “The Intel Microprocessors – Architecture, Programming and Interfacing”, 8

th

Edition, Pearson Education, 2009. 2. A. K. Ray and K. M. Bhurchandi, “Advanced Microprocessor and Peripherals”, 3

rd Edition,

Tata McGraw Hill, 2007.

References: 1. Yu Cheng Liu & Glenn A Gibson, “Microcomputer systems 8086/8088 family, Architecture,

Programming and Design”, Prentice Hall of India, 2nd

Edition, July, 2003. 2. Douglas V. Hall, “Microprocessors & Interfacing, Programming & Hardware”, Penram

International, 2006.

Course Outcomes:

1. Explain the architecture and addressing modes of 8086. (PO – 1, PSO – 2)

2. Develop assembly language programs for different applications using instruction sets of

8086 and DOS functions. (POs – 2, 3, 5, PSO – 2)

3. Interpret hardware specifications for 8086 and clock generator. (POs – 2, 3, 5, PSO – 2)

4. Illustrate the concepts of interfacing and interrupts. (POs – 2, 3, 5, PSO – 2)

5. Describe peripheral ICs, co-processors and high end processors. (POs – 2, 3, 5, PSO – 2)

35

SIGNALS AND SYSTEMS

Course Code: EC45 Credits: 4:0:0:0

Prerequisites: Engineering Mathematics Contact Hours: 56 Course Coordinator: Ms. Akkamahadevi M. B.

UNIT – I Introduction to signals and systems: Continuous and Discrete time signals, transformation of

the independent variables, Exponential and Sinusoidal signals, unit impulse and step signals, CT

and DT systems, basic system properties.

UNIT – II LTI Systems: Discrete time LTI systems, continuous time LTI systems, properties of LTI systems, causal LTI systems described by differential and difference equations.

UNIT – III Continuous Time Fourier Transform: Representation of aperiodic signals, Fourier Transform

of periodic signals, Properties of CTFT: Linearity, time shifting, conjugation and conjugate

symmetry, differentiation and integration, time and frequency scaling, duality, Parseval’s

relation, convolution and multiplication

UNIT – IV DTFT and Z-Transform: Representation of aperiodic signals by DTFT, the Fourier Transform of periodic signals Z-Transform, ROC of Z-Transform, Inverse Z-Transform: Partial fraction and power series only,

Geometric evaluation of FT from pole zero plot, Properties of ZT: Linearity, time shifting,

scaling in the Z-domain, time reversal, time expansion

UNIT – V Properties of ZT and analysis of LTI Systems: Properties of ZT: conjugation, convolution,

differentiation in Z-domain, initial value theorem, analysis and characterization of LTI system

using Z-transform, system function, algebraic and block diagram representation, unilateral Z-

transform.

36

Textbooks: 1. Alan V. Oppenheim, Alan S. Wilsky with Hamid Nawab, “Signals and Systems”, 2

nd

Edition, PHI Publications, 2011.

References:

1. John G. Proakis and Dimitris G. Manolakis, “Digital Signal Processing, Principles,

Algorithms, and Applications”, 4th

Edition, PHI Publications, 2006. 2. Haykin and B. Van Veen, “Signals and Systems”, 2

nd Edition, Wiley, 2003.

Course Outcomes: 1. Classify and analyze continuous, discrete time signals and systems. (POs – 1, 2, 9. PSO – 3) 2. Compute the response of a system using convolution. (POs – 1, 2, 9. PSO – 3) 3. Analyze the system by difference and differential equations. (POs – 1, 2, 3, 9. PSO – 3) 4. Employ Fourier Transform to analyze signals and systems. (POs – 1, 2, 3, 9. PSO – 3) 5. Apply Z-Transform and analyze the signals and systems. (POs – 1, 2, 3, 9. PSO – 3)

37

HARDWARE DESCRIPTION LANGUAGE

Course Code: EC462 Credits: 3:0:0:0

Prerequisites: Digital Electronic Circuits Contact Hours: 42

Course coordinator: Mrs. A. R. Priyarenjini

UNIT – I

Overview of Digital Design with Verilog HDL: Evolution of computer aided digital design,

Emergence of HDLs, Importance of HDLs, Verilog HDL and Typical design flow, Design

methodologies, modules, instances, components of simulation, example, basic concepts.

UNIT – II

Modules and ports: Modules, ports, Rules, Hierarchical Names. Data flow modeling: Continuous assignment, Delays, Expressions, Operators, Operands, and Operator types, Gate level modeling.

UNIT – III Behavioral modeling: Structured procedures, Procedural assignments, Timing controls,

conditional statement, Multi way branching, Loops, Sequential and parallel blocks, generate

blocks, Examples.

UNIT – IV Tasks and Functions: Difference between Tasks and Functions, Tasks, Functions, Automatic Functions, Constant Function, Signed Functions.

UNIT – V Logic synthesis with Verilog HDL: Logic synthesis, Verilog HDL Synthesis, Interpretation of

Verilog Constructs, Modeling tips for logic synthesis, Synthesis Design flow, examples,

verification of the gate level netlist.

Timing and delays: Types of delay models, modeling, timing checks and delay back annotation. Textbook: 1. Samir Palnitkar, “Verilog HDL – A guide to Digital Design and Synthesis”, Prentice Hall,

2nd

Edition, 2010.

40

References:

1. Stephen Brown, Zvonko Vranesic, “Fundamentals of Digital logic with Verilog design”, Tata

McGraw Hill, 2003. 2. Michael D. Ciletti, “Advanced Digital Design with Verilog HDL”, Pearson Education, 2005.

Course Outcomes:

1. Recall the basics of digital design and lexical conventions of HDL. (POs – 1, 3, 4, 5, 8,

PSO – 2) 2. Design, apply and test combinational circuits in HDL to verify the functionality. (POs – 1, 3,

4, 5, 8, 9, 10, 12. PSO – 2) 3. Write efficient RTL codes for sequential circuits and test using test benches. (POs –1, 3, 4, 5,

8, 9, 10, 12. PSO – 2) 4. Apply the concepts of tasks and functions in designing large digital systems. (POs – 1, 3, 4,

5, 8, 9, PSO – 2) 5. Justify the usage of EDA tools in digital circuit functional verification and logic synthesis

with design tradeoffs. (POs – 1, 3, 4, 5, 8, 9, 10, 12, PSO – 2)

41

COMPUTER ORGANIZATION

Course Code: EC463 Credits: 3:0:0:0

Prerequisites: Basic Electronics Contact Hours: 42

Course Coordinator: Dr. Maya V. Karki

UNIT – I

Basic Structures of Computers: Computer types, Basic Operational Concepts, Performance,

Processor clock, Functional units: Input unit, Memory unit, Arithmetic and logic unit, Control

unit, Output unit, Pipelining and Superscalar operation, Basic performance equation.

UNIT – II

Input/Output Organization: Accessing I/O devices, Interrupts: Interrupt Hardware, Enabling &

Disabling Interrupt, Handling Multiple Devices, Controlling Device Requests, exceptions, Direct

Memory Access, Bus Arbitration; Standard I/O Interfaces, Parallel Port, Serial Port, PCI bus.

UNIT – III

Memory System: Some Basic Concepts, Semiconductor RAM memories, Read only memories,

Speed size and cost Cache memories, Virtual memories and performance considerations.

UNIT – IV

Basic Processing Unit: Some fundamental concepts: Register Transfers, Performing an

Arithmetic or Logic operation, Fetching a Word from Memory, Storing a Word in Memory,

Execution of a Complete Instruction, Branch instruction.

UNIT – V

Arithmetic Addition & Subtraction of Signed Numbers: Addition /Subtraction Logic Unit,

Multiplication of Positive numbers: Signed-Operand Multiplication, Booth Algorithm, Design of

fast adder: Carry-Look-ahead Addition.

Fast Multiplication: Bit-pair Recoding of Multipliers; Floating-point Numbers & Operations,

IEEE Standard for Floating-point Numbers

19

Textbook:

1. Carl Hamacher, Zvonko Vranesic and Safwat Zaky, “Computer Organization”, 5

th Edition,

Tata McGraw Hill, 2002.

References:

1. William Stallings, “Computer Organization and Architecture – Designing for Performance”,

6th

Edition, Pearson Education, 2003. 2. David A. Patterson and John L. Hennessy, “Computer Organization and Design: The

Hardware/Software Interface”, 3rd

Edition, Elsevier, 2005. Course Outcomes: 1. Recall the basic structure and functional units of a computer. (POs – 1, 2, 6. PSO – 2) 2. Describe the I/O organization and interface standards used in a computer. (POs – 2, 3, 4, 12.

PSO – 2) 3. List different types of memories used in computers. (POs – 2, 3, 6. PSO – 2) 4. Explain the basic processing schemes and data handling capability in a computer. (POs – 1,

2, 3, 5. PSO – 2) 5. Illustrate arithmetic logic unit and operations on floating point numbers. (POs – 1, 2, 3, 4, 5.

PSO – 2)

SIGNALS AND CONTROLS LABORATORY

Course Code: ECL47 Credits: 0:0:1:0 Prerequisites: Engineering Mathematics Contact Sessions: 14 Course Coordinators: Mrs. H. Mallika, Mr. V. Nuthan Prasad

LIST OF EXPERIMENTS

1. Introduction to MATLAB: different operators and functions 2. Generation of both discrete and continuous time signals 3. Operations on discrete time signals 4. Convolution of discrete and continuous time signals 5. Z transform and pole zero plot, frequency response and solving difference equations 6. Representation of control system by transfer function, partial fraction expansion and pole

zero map 7. Block diagram reduction 8. System response (with step, impulse, ramp and parabolic inputs) 9. System analysis: Root locus, Bode plot and Nyquist plot 10. Simulink model of a control system and its response 11. Simulink model of a control system with PID controller

Textbooks:

1. Dr. Shailendra Jain, “Modeling and Simulation using MATLAB-Simulink”, Wiley, 2

nd

Edition, 2014. 2. P. Ramakrishna Rao and Shankar Prakriya, “Signals and Systems”, McGraw Hill Education,

2nd

Edition, 2013. 3. Anoop K. Jairath and Saketh Kumar, “Control Systems – The state variable approach

(Conventional and MATLAB)”, Ane’s Students Edition, 2nd

Edition, 2010. Course Outcomes: 1. Recall various functions available in MATLAB for signal processing and control systems.

(POs – 1, 2, 5, 9. PSO – 3) 2. Demonstrate the various operations on signals. (POs – 1, 2,5.PSO – 3) 3. Solve the response of a system by difference equation and transfer functions. (POs – 1, 2, 3,

5. PSO – 2) 4. Analyze the system stability from root locus, Bode and Nyquist plots. (POs – 1, 2, 3, 4, 5, 9.

PSO – 2) 5. Employ Simulink model for control systems. (POs – 1, 2, 3, 4, 5, 9. PSO – 2)

42

MICROPROCESSOR LABORATORY

Course Code: ECL48 Credits: 0:0:1:0

Prerequisites: Digital Electronic Circuits Contact Sessions: 14

Course Coordinator: Mrs. Flory Francis

LIST OF EXPERIMENTS A. Assembly Language Programs

1. Programs involving data transfer instructions (i) Block move without overlapping (ii) Block move with overlapping (iii) Block move interchange

2. Programs involving arithmetic operations (i) 16 Bit Addition and Subtraction (ii) N-bit multi precision numbers(N ≥ 32bits) (iii) Multiplication of 32 bits unsigned hexadecimal number using successive addition

and using shift left and add (iv) Division of 16-bits number by 8-bits number

3. Programs involving bit manipulation instructions (i) To identify whether the given number is positive or negative and odd or even

(ii) 2 out of 5 Codes (iii) Bitwise and nibble wise palindrome (iv) Find the logical 1’s and 0’s in the given data

4. To find Square, Cube, LCM, HCF and Factorial (i) Program to find LCM of a given number (ii) Program to HCF of a given number (iii) Program to factorial of a given number

5. Code conversion (i) BCD to Hexadecimal (ii) Hexadecimal to BCD (iii) Addition and subtraction of two string ASCII digits (iv) Multiplication of a string of ASCII digits by a single ASCII digit (v) Division of a string of ASCII digits by a single ASCII digit

43

6. Programs involving branch/loop instruction (i) Program to sort the numbers in ascending order (bubble sorting) (ii) Program to sort the numbers in descending order (bubble sorting) (iii) Program to find the smallest and largest 16-bit signed number in an array

7. Programs involving string manipulation (i) Program for string transfer using primitive instruction (ii) Program to reverse a string

8. Program to search the occurrence of a character in the given string using DOS interrupt INT 21

B. Interface Experiments

1. Delay calculation and generation of a square wave, triangular wave generation and stair

case waveform using DAC. Display the waveform on a CRO

2. Program using 8279 Chip

(i) Program to display a message on the display unit

(ii) Program to display the ASCII equivalent of the key pressed

3. Interfacing the stepper motor

Textbooks:

1. Barry B Brey, “The Intel Microprocessors – Architecture, Programming and Interfacing”, 8

th

Edition, Pearson Education, 2009. 2. A. K. Ray and K. M. Bhurchandi, “Advanced Microprocessor and Peripherals”, 3

rd Edition,

Tata McGraw Hill, 2007.

References: 1. Yu Cheng Liu & Glenn A Gibson, “Microcomputer systems 8086/8088 family, Architecture,

Programming and Design”, Prentice Hall of India, 2nd

Edition, 2003.

2. Douglas V. Hall, “Microprocessors & Interfacing, Programming & Hardware”, Penram

International, 2006.

Course Outcomes: 1. Write, compile and debug assembly language programs using arithmetic instructions.

(POs –1, 2, 3, 5. PSO – 2)

44

2. Compute LCM, HCF, factorial and code conversion using assembly language programs.

(POs – 1, 2, 4, 5. PSO – 2)

3. Write assembly language programs to display using DOS functions. (POs – 1, 2, 4, 5.

PSO – 2)

4. Develop programs using string and loop instructions. (POs – 1, 2, 4, 5. PSO – 2)

5. Write assembly language programs to interface modules to 8086 microprocessor. (POs – 1, 2,

4, 5. PSO – 2)

45