critical materials report™ lithography materials

266
TECHCET CA LLC 11622 El Camino Real #100 San Diego, CA 92130 +1-480-382-8336 [email protected] 2021 TECHCET’S CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS PHOTORESISTS, EXTENSIONS & ANCILLARIES Prepared By: Warren Montgomery

Upload: others

Post on 29-Nov-2021

19 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

TECHCET CA LLC11622 El Camino Real #100San Diego, CA [email protected]

2021 TECHCET’SCRITICAL MATERIALS REPORT™

LITHOGRAPHY MATERIALSPHOTORESISTS, EXTENSIONS & ANCILLARIESPrepared By:Warren Montgomery

Page 2: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

TECHCET employs subject matter experts having first-hand experience within the industries which they analyze. Most of TECHCET’s analysts have over 25 years of direct and relevant experience in their field. Our analysts survey the commercial and technical staff of IC manufacturers and their suppliers, and conduct extensive research of literature and commerce statistics to ascertain the current and future market environment and global supply risks. Combining this data with TECHCET’s proprietary, quantitative wafer forecast results in a viable long-term market forecast for a variety of process materials.

This report represents the interpretation and analysis of information generally available to the public or released by responsible agencies or individuals. Data was obtained from sources considered reliable. However, accuracy or completeness is not guaranteed.

READER’S NOTE

RESEARCH METHODOLOGY

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 2

Page 3: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

ANALYST BIOGRAPHY

Warren Montgomery, M.B.A – Senior Technology & Market Analyst covers photolithography the associated materials, such as photoresist and ancillary chemicals for TECHCET. Previously, as VP of Technical and Consortia Program Development at CNSE (formally Albany Nanotech), Warren led process development efforts associated with (365nm, DUV, EUV, and e-beam) photoresists and ancillaries. Warren worked at SEMATECH, Applied Materials, LSI Logic, ASML, AZ Microelectronic, Irresistible Materials, and IBM in various, business development, sales, technical and senior leadership roles related to photoresist processes, materials engineering and lithography.

During his extensive career in Lithography, Warren has written over 50 technical and marketing publications and been awarded over 30 US and European patents: primarily focused on lithography materials and processes. Warren been BACUS President and Conference Chair. He has a B.S. in Chemistry from Marist College, a B.S. Business Administration from Mount St. Mary College, a graduate Certificate in Project Management from Empire State College and an MBA from City University.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 3

Page 4: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

TABLE OF CONTENTS

1 EXECUTIVE SUMMARY 91.1 MARKET GROWTH - REVENUES 101.2 EXTENSIONS 111.3 TRENDS IMPACTING PRICING 121.4 GROWTH SEGMENT -- EUV RESIST 131.5 GROWTH SEGMENT -- KRF RESIST 141.6 GROWTH SEGMENT -- NTD DEVELOP AND RINSE 151.7 PHOTORESIST MARKET SHARES 161.8 NEW INDUSTRY ENTRANTS 171.9 TECHNOLOGY TRENDS 181.10 ANALYST ASSESSMENT 192 SCOPE, PURPOSE AND METHODOLOGY 212.1 SCOPE 222.2 PURPOSE 232.3 METHODOLOGY 242.4 OVERVIEW OF OTHER TECHCET CMR™ REPORTS 253 SEMICONDUCTOR INDUSTRY MARKET OUTLOOK 253.0 SEMICONDUCTOR INDUSTRY MARKET STATUS 273.1 WORLDWIDE ECONOMY 28

3.1.1 SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY 303.1.2 SEMICONDUCTOR SALES GROWTH 31

3.1.3 TAIWAN MONTHLY SALES TRENDS 32

3.1.4 SEMICONDUCTOR INDUSTRY OUTLOOK 33

3.1.5 SEMICONDUCTOR UNITS AND WAFER SHIPMENT GROWTH FORECAST 34

3.2 ELECTRONIC GOODS MARKET 353.2.1 SMART PHONES 363.2.2 PC UNIT SHIPMENTS 37

3.2.3 AUTOMOTIVE SALES 38

3.2.3.1 INCREASE IN SEMICONDUCTOR CONTENT FOR AUTOS 39

3.2.3.2 SEMICONDUCTOR CONTENT BY AUTOMOTIVE ELECTRONIC SYSTEM 40

3.2.3.3 INCREASE IN SEMICONDUCTOR CONTENT FOR AUTOS 413.2.3.5 SEMICONDUCTOR CONTENT BY AUTOMOTIVE ELECTRONIC SYSTEM 42

3.2.4 SERVERS / IT 43

3.3 SEMICONDUCTOR FABRICATION GROWTH & EXPANSION 453.3.1 SEMICONDUCTORS UNIT AND WAFER SHIPMENT GROWTH FORECAST 46

3.3.2 EQUIPMENT SPENDING TRENDS 47

3.3.3 RECENT INVESTMENT AND FAB/PLANT EXPANSION ACTIVITY 503.3.4 OVERALL CHINA MARKET TRENDS 52

3.4 SEMICONDUCTOR INDUSTRY MARKET OUTLOOK SUMMARY 543.3.4 POLICY AND TRADE ISSUES 55

3.4.2 U.S. CHIPS ACT 57

3.4.3 OTHER SEMICONDUCTOR FUNDING ACTIVITY 58

3.5 SEMICONDUCTOR MATERIALS OUTLOOK 593.5.1 LEADING EDGE NODES DRIVE MATERIALS GROWTH 603.5.2 SEMICONDUCTOR PROCESS MATERIALS MARKET FORECAST 61

3.5.3 TECHCET FORECAST METHODOLOGY 62

4 LITHOGRAPHY MATERIALS MARKET LANDSCAPE 634.1 PHOTORESIST MARKET INFLUENCERS, FORECASTS & MARKET DRIVERS 64

4.1.1 COVID-19 65

4.1.2 TRADE RELATED CONFLICTS 67

4.1.3 WILD FIRES 694.1.4 TEXAS SNOW STORM 70

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 4

Page 5: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

TABLE OF CONTENTS

4.2 PHOTORESIST MARKET DRIVERS, FORECAST, STATUS AND TRENDS 714.2.1 MARKET DRIVERS 724.2.2 PHOTORESIST REVENUES 73

4.2.3 PHOTORESIST VOLUMES 74

4.2.4 TOOL CAPABILITIES 75

4.2.5 PHOTORESIST MARKET TRENDS 76

4.2.6 I AND G-LINE RESIST VOLUME FORECAST 774.2.6.1 I-LINE & G-LINE TRENDS 78

4.2.7 KRF/248NM PHOTORESIST VOLUME FORECAST 79

4.2.7.1 KRF/248NM LITHOGRAPHY & 3DNAND TRENDS 80

4.2.7.2 KRF/248NM LITHOGRAPHY & 3DNAND, CONTINUED 81

4.2.8 ARF/ARFI /193 NM RESIST FORECAST 824.2.8.1 ARF / 193NM VS. EUV TRENDS – OEM TOOLS 83

4.2.9 CHINA AND RESISTS 84

4.2.10 EUV RESISTS VOLUME FORECAST 85

4.2.10.1 EUV RESISTS REVENUE FORECAST 86

4.3 EXTENSION MATERIALS FORECASTS, MARKET DRIVERS & ANTIREFLECTIVE COATINGS DESCRIBED 87

4.3.1 EXTENSION MATERIALS FORECASTS & MARKET DRIVERS 884.3.2 KEY SUPPLIERS OF EXTENSION MATERIALS 89

4.4 ANCILLARY MATERIALS – DEVELOPER & EBR TRENDS 904.4.1 ANCILLARY VOLUMES AND REVENUES HISTORICALLY FORECASTED

ESTIMATIONS 91

4.4.1.1 NTD AND RINSE VOLUME FORECAST 92

4.4.1.2 NTD AND RINSE REVENUE FORECAST 934.4.2 EDGE BEAD REMOVERS VOLUME FORECAST & TRENDS 94

4.4.2.1 EDGE BEAD REMOVERS VOLUME FORECAST & TRENDS 95

4.4.3 PT DEVELOPER VOLUMES AND REVENUES FORECASTS 96

4.4.4 RINSE MATERIALS STATUS & CHALLENGES 974.4.2.1 EDGE BEAD REMOVERS VOLUME FORECAST & TRENDS 95

4.4.3 PT DEVELOPER VOLUMES AND REVENUES FORECASTS 96

4.4.4 RINSE MATERIALS STATUS & CHALLENGES 97

4.5 EUV LITHOGRAPHY AND MATERIALS 984.5.1 EUV OVERVIEW 99

4.5.2 EUV MATERIALS GROWTH DRIVERS & TRENDS 101

4.5.3 EUV STATUS AND OVERVIEW 102

4.5.4 EUV PHOTOLITHOGRAPHY TRENDS 103

4.5.5 EUV PHOTORESIST PLATFORM (CURRENT AND FUTURE) 104

4.5.6 LIMITATIONS ON EUV PHOTORESIST GROWTH – LITHO TOOL SUPPLY 105

4.5.7 A NOTE ABOUT EUV ANCILLARIES 107

4.6 OTHER NEW MATERIALS FOR PATTERNING 1084.6.1 DIRECTED SELF ASSEMBLY (DSA) HAS RENEWED ACTIVITY 109

4.6.2 DSA IMPROVEMENTS REPORTED 110

4.6.3 NANO IMPRINT LITHOGRAPHY IS CLOSE TO PRODUCTION FOR 3D NAND FLASH 111

4.6.4 NANOIMPRINT LITHOGRAPHY (NIL) – HOW DOES IT WORK? 112

4.6.5 NEW PATTERNING METHODS – ASSESSMENT 113

4.7 EHS AND LOGISTIC ISSUES 1144.7.1 PFOS AND PFAS 115

5 SUPPLIER MARKET LANDSCAPE 117

5.1 PHOTORESIST MARKET SHARES 1185.1.1 MARKET LANDSCAPE 119

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 5

Page 6: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

TABLE OF CONTENTS

5.2 PHOTORESIST SUPPLY MARKET DYNAMICS 1215.2.1 PHOTORESIST SUPPLIER TRENDS TO WATCH 122

5.2.2 PHOTORESIST SUPPLIER STATUS AND ACTIVITIES 123

5.2.2.1 SUPPLIER YEAR-END RESULTS & SYNOPSIS - DUPONT 124

5.2.2.2 SUPPLIER YEAR-END RESULTS & SYNOPSIS – FUJIFILM 125

5.2.2.3 SUPPLIER YEAR-END RESULTS & SYNOPSIS – JSR 126

5.2.2.4 SUPPLIER YEAR-END RESULTS & SYNOPSIS - SHIN-ETSU 127

5.2.2.5 SUPPLIER YEAR-END RESULTS & SYNOPSIS – SUMITOMO 128

5.2.2.6 SUPPLIER YEAR-END RESULTS & SYNOPSIS – TOK 129

5.2.2.7 SUPPLIER YEAR-END RESULTS & SYNOPSIS – INPRIA 130

5.2.2.8 SUPPLIER YEAR-END RESULTS & SYNOPSIS – IRRESISIBLE MATERIALS 131

5.3 PLANT CLOSURES AND PRODUCT DISCONTINUATIONS 132

6 SUB TIER MATERIAL SUPPLY CHAIN CONSIDERATIONS 133

6.1 SUB-TIER MATERIAL SUPPLY CHAIN CONSIDERATIONS 134

6.2 SUB-TIER MATERIALS LOGISTICS ISSUES 135

7 SUPPLIER PROFILES 136

APPENDIX – PHOTORESIST HISTORICAL TECH TRENDS 252APPENDIX – TONE DEVELOPER BACKGROUND 254APPENDIX – EUV TECHNOLOGY 256APPENDIX – ARCS & BARCS AND TARCS EXPLAINED 260REFERENCES 266

AVANTORBASFBREWER SCIENCECHANG CHUNDONGJIN CHEMICALDUPONTEASTMANEVERLIGHT CHEMICALFUJIFILMINPRIAIRRESISTABLE MATERIALSJIANGSU HANTOP PHOTO-MATERIALSJIANGSU NATA OPTO-ELECTRONICMATERIALSJSRKEMPURMERCK KGAA/EMD/AZMOSES LAKE INDUSTRIES

NISSAN CHEMICALPHICHEMRUIHONGSACHEMSHIN-ETSUSUMITOMOSUNTIFICTOKYOUNGCHANG CHEMICALALLRESIST GESELLSCHAFT FÜR CHEMICHEZEONJIANGSU NATA OPTOELECTRONICSSHANGHAI SINYANG SEMICONDUCTOR MATERIALS CO. LTD

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 6

Page 7: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

FIGURES & TABLES

FIGURE 1: PHOTORESIST REVENUES HISTORY & FORECAST ESTIMATES 10FIGURE 2A AND 2B: EXTENSION MATERIALS VOLUMES & REVENUES

FORECAST ESTIMATES 11FIGURE 3: EUV RESIST REVENUES 13FIGURE 4: EUV RESIST VOLUMES 13FIGURE 5: KRF PHOTORESIST REVENUES 14FIGURE 6: KRF PHOTORESIST VOLUME(KILOLITERS) 14FIGURE 7: SOLVENT VOLUMES FOR NTD RINSE AND DEVELOP &

FORECAST ESTIMATES 15FIGURE 8: 2020 PHOTORESIST MARKET SHARES (% OF WW REVENUES 16FIGURE 9: GLOBAL ECONOMY AND THE ELECTRONICS

SUPPLY CHAIN (2020) 30FIGURE 10: WORLDWIDE SEMICONDUCTOR SALES 31FIGURE 11: WORLDWIDE SEMICONDUCTOR SALES (TSMC, UMC, VIS,

ASE, CHIPMOS, KYEC) 32FIGURE 12: SEMICONDUCTOR REVENUES 2021 33FIGURE 13: SEMICONDUCTORR WAFER AREA SHIPMENTS 34FIGURE 14: SEMICONDUCTOR CHIP APPLICATIONS 35FIGURE 15: MOBILE PHONE SHIPMENTS WW ESTIMATES 36FIGURE 16: PC NOTEBOOK SHIPMENTS 37FIGURE 17: U.S. AUTOMOTIVE SALES 38FIGURE 18: MONTHLY AUTOMOTIVE SALES TRENDS 39FIGURE 19: GLOBAL EV TRENDS 40FIGURE 20: SEMICONDUCTOR SPEND PER VEHICLE TYPE 41

FIGURE 21 :SEMICONDUCTOR CONTENT BY AUTOMOTIVE APPLICATION 42FIGURE 22: AMAZON SERVER FARM 43FIGURE 23: SEMICONDUCTOR WAFER AREA SHIPMENTS 46FIGURE 24: 3-MONTH AVERAGE SEMICONDUCTOR EQUIPMENT BILLINGS 47FIGURE 25: CAPITAL SPENDING TRENDS BY TECHNOLOGY NODE 48FIGURE 26: OVERVIEW OF LOGIC ROADMAP TRENDS 49FIGURE 27: CHINA IC MARKET AND PRODUCTION TRENDS 52FIGURE 28 : ADVANCED NODES WAFER STARTS HISTORY & FORECAST. 60FIGURE 29 :GLOBAL SEMICONDUCTOR MATERIALS OUTLOOK 61FIGURE 31: PHOTORESIST REVENUES FORECAST ESTIMATE BY RESIST TYPE 73FIGURE 32: PHOTORESIST VOLUME FORECAST ESTIMATE BY DEVICE TYPE 74FIGURE 33: I AND G LINE PHOTORESIST VOLUME PROJECTION

2020-2025 CAGR 75FIGURE 34: I AND G LINE PHOTORESIST VOLUME PROJECTION

2020-2025 CAGR 2% 79FIGURE 35: BIRDS-EYE VIEW OF DC-SF CELL ARRAY 81FIGURE 36: 193NM PHOTORESIST VOLUME PROJECTION 2020-2025

CAGR 2% FOR ARF CAGR 16.7 FOR ARFI 82FIGURE 37: ASML REVENUE 193NM IMMERSION VS EUV REVENUE 83

F I G U R E S

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 7

Page 8: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

FIGURES & TABLES

FIGURE 30: SHRINKONOMICS OF OPTICAL LITHOGRAPHY 72FIGURE 38: EUV (13.5NM) PHOTORESIST VOLUME PROJECTION

2020-2025 CAGR 53% 85FIGURE 39: EUV PHOTORESIST REVENUES (US$ MILLIONS) 86FIGURE 40: EXTENSIONS VOLUME HISTORY & FORECAST ESTIMATES

2020-2025 FORECAST 88FIGURE 41: SOLVENT VOLUMES FOR NTD RINSE AND DEVELOP HISTORY &

FORECAST EST 92FIGURE 42: NTD DEVELOPER AND RINSE REVENUE PROJECTED 2020-2025

(US$ MILLIONS) 93FIGURE 43: EBR RELATIVE VOLUMES* HISTORY & FORECAST ESTIMATE 94FIGURE 44: EBR AND PREWET REVENUE PROJECTED 2020-2025 (US$ MILLIONS) 95FIGURE 45: ANCILLARY VOLUMES AND REVENUES HISTORICALLY FORECASTED

ESTIMATIONS 96FIGURE 46: EUV LAYERS EVOLUTION 101FIGURE 47: EUV LITHOGRAPHY OPTICAL PATH (ASML TYPICAL SCANNER) 102FIGURE 48: EUV TOOL PHOTO MEASURE AND 14NM PATTERNING DEMONSTRATION 106FIGURE 49: REPAIR OF EUV PATTERNS 110FIGURE 50: NANOIMPRINT PROCESS 112FIGURE 51: 2020 PHOTORESIST MARKET SHARES (% OF WW REVENUES) 118

TABLES TABLE 1: GLOBAL GDP AND SEMICONDUCTOR REVENUES* 28TABLE 2: IMF WORLD ECONOMIC OUTLOOK* 29TABLE 3: DATA CENTER SYSTEMS AND COMMUNICATION

SERVICES FORECAST 2021 44TABLE 4: RECENT INVESTMENT AND FAB/PLANT EXPANSION ACTIVITY 50TABLE 5: US CHIPS ACT PROVISIONS 57TABLE 6: KEY SUPPLIERS OF EXTENSION MATERIALS 89TABLE 7: EUV PHOTORESIST PLATFORM (CURRENT AND FUTURE) 104

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 8

Page 9: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1 EXECUTIVE SUMMARY

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 9

Page 10: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1.1 MARKET GROWTH - REVENUES

• 2021 Resist revenues are forecasted to grow ~6% from 2020 to US$1.9B to US$2.5B in 2025 (see top chart on the left)

• EUV and KrF materials will continue growing through 2025 given continued ramping of leading-edge devices.

• 2021 EUV photoresist revenue was estimated to be US$51M up from US$27M in 2020, a 90% increase.

• As EUV scales, cost per gallon should decrease.

• KrF revenue is estimated to have increased from US$619M in 2020 to US$690M in 2021 approximate 11.5% rise. (3D NAND is a driver.)

• ArFi (immersion) revenue increased ~6% while Dry ArF rose 3.4% from 2020-2021(Quad patterning is a driver.)

• I and g-line photoresist revenue was flat at ~0.8% from 2020-2021; basically, zero growth (it is sustaining current technologies and not used in advanced layers.)

• Market Dynamics will continue to drive photoresist material revenues upward due to high chip demand and low chip supply. This will be especially true for device segments that support automotive applications.

Photoresist Revenues History & Forecast EstimatesFigure 1:

0.00

100.00

200.00

300.00

400.00

500.00

600.00

700.00

800.00

900.00

1000.00

$0

$100

$200

$300

$400

$500

$600

$700

$800

$900

$1,000

2020 2021 2022 2023 2024 2025

Photoresist Revenues (US$ millions)

EUV ArFi ArF KrF G & I line

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 10

Page 11: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1.2 EXTENSION MATERIALS

• Extension material revenues are projected to show a rise of about 7% from 2020 (to US$1.40 B) by 2021 yearend.

• The biggest revenues are expected to come from SoC and SiArc which are expected to grow 5-6% CAGR through 2025.

o SoC and SiARC growth will be driven by the use of multipatterning for advanced logic devices.

Figure 2A and 2B: Extension Materials Volumes & Revenues Forecast Estimates

0

1000

2000

3000

4000

5000

6000

2020 2021 2022 2023 2024 2025

Extensions Volumes (Kliters)

Si BARC SOC ArF BARC KrF BARC

$0.00

$100.00

$200.00

$300.00

$400.00

$500.00

$600.00

$700.00

$0

$200

$400

$600

$800

$1,000

$1,200

$1,400

$1,600

2020 2021 2022 2023 2024 2025

Extensions Sales (US$ millions)

Si BARC SOC ArF BARC KrF BARC

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 11

Page 12: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1.3 TRENDS IMPACTING PRICING

EUV resist is in rapid growth phase

• OEM tools are “sold out” for 2021 – as TSMC, Samsung and Intel continue to buy up all the available ASML EUV exposure systems (ASML is the only manufacturer).

• 193nm PT resist (at TSMC and Samsung) will experience growth from EUV although minor in comparison to 193nm resist volumes from ArF/ArFi applications.

• Metal oxide EUV resist is presumed to be a strong new entrant into the fab environment.• Metal ion migration concerns from the photoresist must be addressed to satisfy major IDMs.• Early Inpria volumes will be premium priced until Inpria can find an optimized scale up path.

Price Increases on the Horizon Due to Pandemic and Trade Disputes:

• Typically, manufacturing costs come down on legacy products, but there may be situations where price actually increases due to raw material issues caused by the pandemic.

• Tier 2/ raw materials pricing has increased for solvents and petrochemical refining related chemicals and plastics (DuPont notedthat raw material price increases contributed to lower profit margins).

• Logistics issues that have been experienced over the past year continue have increased shipping costs.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 12

Page 13: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1.4 GROWTH SEGMENT -- EUV RESIST

EUV resist is highlighted here because of its rapid growth.

• EUV resist revenue is forecasted to grow at 53% CAGR 2020-2025.

• EUV Resist production almost doubled from 2020 to 2021 (18 to 35 kiloliters)

• EUV resist growth has been driven by new logic nodes

• The additional nodes will drive more EUV use than the current node (due to more aggressive design rule requirements.)

• EUV DRAM introduction will also drive revenue growth.

• More information on EUV photoresist can be found in section 4. 18 35 62 89 107 145

2020 2021 2022 2023 2024 2025

EUV PHOTORESIST VOLUMES (KILOLITERS)

EUV

Figure 4:

$0

$200

$400

2020 2021 2022 2023 2024 2025

EUV PHOTORESIST REVENUES(US$ MILLIONS)

EUV

Figure 3:

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 13

Page 14: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1.5 GROWTH SEGMENT - KRF RESIST

Another bright spot, showing growth in chemical sales was KrF photoresist.

• CAGR (2021-2025)forecasted to be 8%

• KrF photoresist showed a ~12% increase in both revenue and volumes year over year from 2020 to 2021, driven by expanding wafer starts for 3D NAND.

• Propylene glycol methyl ether acetate (PGMEA; 1-methoxy-2-propanol acetate) volumes should grow; as the predominate casting solvent.

• There was also, likely, some contribution from the self-sufficiency market activity in China; China still needs externally provided photoresist as their resist suppliers are new to the market.

3307 3704 4100 4400 4623 4965

2020 2021 2022 2023 2024 2025

KrF Photoresist Volume(Kiloliters)

$0

$500

$1,000

2020 2021 2022 2023 2024 2025

KrF Photoresist Revenues (US$ millions)

KrF

Figure 6:

Figure 5:

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 14

Page 15: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1.6 GROWTH SEGMENT -- NTD DEVELOPER AND RINSE

• The Wafer model projects that there will be a +16% rise in 2021volumes over 2020

• Overall volumes in NTD developer and rinse are forecasted to grow at ~14% CAGR 2021-2025

• These materials are needed for ArFi(immersion resist) and EUV thus are driven by leading edge devices and continued need pattern reduction.

• EUV as developer and rinse

• Immersion the solvent reverses the image of the positive tone Chemically amplified photoresist.

Figure 7 : Solvent Volumes for NTD Rinse and Develop & Forecast Estimates

2020 2021 2022 2023 2024 2025NTD Rinse 7597 9380 10844 12274 14210 15643NTD Dev 7597 9380 10844 12274 14210 15643

05000

100001500020000250003000035000

Projected NTD Rinse and Developer 2020-2025(kiloliters)

NTD Dev NTD Rinse

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 15

Page 16: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1.7 PHOTORESIST MARKET SHARES

• The Semiconductor industry is both concentrated and globally dispersed. Disruptions to the supply chains are intense.

• There are fewer and fewer chip makers- consolidation

• Chip makes are located in Taiwan, China, Japan, S. Korea, Europe and the USA.

• Firms do not have the ability to easily replace suppliers in the short-term (and long-term replacements are costly to implement.)

• Market shares tends to be stable – and largely dependent on long-term relationships.

• Once a resist supplier is qualified in a chip fab, it is hard to displace them.

• For the first time in a long time, change in Photolithography chemicals market size (adding China) and market share (adding Korean and Chinese companies to the ‘mix’) may be coming.

• Geopolitics: China vs USA and Japan vs. South Korea will play a great role in deciding who gains or looses share.

• China by its sheer market size.

• South Korea due to Samsung, SK hynix

JSR23%,

TOK21%

DuPont16%

Shin-Etsu10%

Sumi/DW

15%

FF8%

Other8%

2020 Photoresist Market Shares (% of WW Revenues)

Figure 8:

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 16

Page 17: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1.8 NEW INDUSTRY ENTRANTS

A snapshot of significant events in the photoresist materials space

• Jiangsu Nanda Optoelectronic Materials Co., Ltd. (Nata) has obtained funding to set up ArF resist facilities in China. They have no resist products as yet, though do have significant business is gases used for doping semiconductors.

• Kempur (based in Beijing) is the biggest photoresist manufacturer in China and has a variety of products, which includes negative photoresists and ancillaries

• Shanghai Sinyang Semiconductor Materials Co Ltd (based in Shanghai) is reported to be investing US$12M dollars to set up photoresist capability in China.

• SK Materials (part of the SK Group) took over Kumho Tire‘s photoresist business in 2020.

• SK Group, who took over Hynix is rapidly becoming a vertically integrated semiconductor concern.

• Irresistible Materials is spin out of the University of Birmingham in the U.K., that has developed a family of EUV photoresists and spin-on hard mask materials. There model is not to become a photoresist manufacturing company but to license or sell the technology.

• Inpria is a startup company that spun out of Oregon State University and is now producing commercial quantities of EUV resist.

• In February 2020, Inpria announced that it had secured US$31 M in Series C funding from a “broad syndicate”https://www.theburnin.com/industry/inpria-euv-photoresist-maker-secures-31m-investment-2020-3/

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 17

Page 18: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1.9 TECHNOLOGY TRENDS

Technology trends to watch

• EUV lithography is in high volume production (HVM) at TSMC and Samsung logic fabs. Intel is expected to start production with EUV in 2021 This will drive EUV ancillaries and photoresist volumes.

• Samsung Electronics is planning mass production of D1z process DRAM using EUV lithography

• 3D NAND device sales growth and node changes creating more exposure levels will drive increases in KrF resist usage, specifically for the thicker formulations, in the ~20% range 2022-2025.

• Directed Self Assembly (DSA) has been demonstrating promising results ; lower defectivity and applicability to lithography pattern repair. This technology is making the sort of progress that portends a future applicability to high volume chip manufacturing (likely in 2023 timeframe and for 5nm technologies and below.)

• Nanoimprint (Canon) shown improved defectivity and overlay capability and is being yield tested for use in production of 3D NAND memory.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 18

Page 19: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1.10 ANALYST ASSESSMENT – KEY POINTS

• Lithography materials market is growing and strong with a bright future.• As we fight our way out of the Pandemic and watch the Trade dispute events unfold between USA,

China, Japan and S. Korea, trade tensions are expected to continue driving localization:• Korea - Shifts in resist market share possible driven by localization trends – High volumes of chips expected from

Samsung and SK Hynix plans over the next several years will drive increased investment in local materials production. Korean materials companies could gain significant share relative to other parts of the world.

• China – continued government subsidies and private investments are enabling Chinese suppliers to advance in technology and get qualified at chip fabricators. Resist suppliers like Kempur are expected to grow in capability and revenues as China’s chip industry grows, positioning Chinese resist suppliers for huge growth over the next 3-5 years.

• EUV photoresists (positive tone 193nm resists) and related ancillary materials are now officially being used for HVM (TSMC and Samsung).

• EUV photoresist, specifically, will grow rapidly as new logic nodes are introduced and the newly shipped ASML scanners are placed into production.

• KrF photoresists are another bright spot showing rapid growth due to 3D NAND

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 19

Page 20: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1.10 ANALYST ASSESSMENT – KEY POINTS, CONTINUED

• DSA materials are showing promise and may become the replacement (or supplement) for PT EUV resists.

• Likely 2-3 years out as defectivity and product testing is still needed.

• I- and G-line photoresist continue to be cash cows for photoresist makers; they are producing steady profits with little or now additional R&D expense.

• There are three photoresist new technology platforms that could find a foothold , replacing, current 193nm photoresist platforms being used for EUV; Metal Oxide, Multitrigger and dry deposited photoresist. It is possible that one or all get utilized; time will tell (more detail in the Section 4 EUV discussion.)

• Acquisitions are always a possibility- for example Inpria and / or Irresistible Materials seem likely candidates.

• The Value Act Capital (a San Francisco-based investment company) investment in JSR is also a wildcard with divestiture/consolidation implications.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 20

Page 21: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

2 SCOPE, PURPOSE AND METHODOLOGY

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 21

Page 22: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

SCOPE

• This report covers the lithography materials market and supply-chain for these materials used in semiconductor device fabrication. The report contains data and analysis from TECHCET’s data base and Sr. Analyst experience, as well as that developed from primary and secondary market research. For more information on TECHCET Critical materials Reports™ please go to https://TECHCET.com

• Photoresists are defined as the spun-on photo-active resins used to capture photons in microlithographic patterning. Extensions are defined as materials that extend the resolution of photoresists including bottom anti-reflective coatings (BARC or spin-on carbon SOC) and top anti-reflective coatings (TARC), spin-on hard-masks (SOHM), adhesion layers (AL), and shrink/trim materials. Ancillaries are defined as critical materials for microlithography including edge-bead removers (EBR), strip/rinse solvents, and developers.

• Note that TECHCET does not define Post-Etch Residue Remover (PERR) chemistries as part of lithography materials, but as "Wet Chemicals / Specialty Cleaning Chemicals" as shown in the table in Section 2.4.

2.1

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 22

Page 23: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

PURPOSE

This Critical Materials Report™ (CMR) provides focused information for supply-chain managers, process integration and R&D directors, as well as business development managers, and financial analysts. The report covers information about key suppliers, issues/trends in the material supply chain, estimates on supplier market share, and forecast for the material segments.

2.2

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 23

Page 24: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

METHODOLOGY

TECHCET employs subject matter experts having first-hand experience within the industries which they analyze. Most of TECHCET’s analysts have over 25 years of direct and relevant experience in their field. Our analysts survey the commercial and technical staff of IC manufacturers and their suppliers and conduct extensive research of literature and commerce statistics to ascertain the current and future market environment and global supply risks. Combining this data with TECHCET’s proprietary, quantitative wafer forecast results in a viable long-term market forecast for a variety of process materials.

2.3

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 24

Page 25: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

OVERVIEW OFOTHER TECHCET CMR™ REPORTS

• TECHCET produces electronic material supply chain reports each year as one of its functions for the Critical Materials Council. Reports to be published in 2019 can be found at www.techcet.com and are listed in the table below:

2.4

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 25

Page 26: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

3 SEMICONDUCTOR INDUSTRY MARKET OUTLOOK

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 26

Page 27: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

SEMICONDUCTORINDUSTRYMARKET STATUS & OUTLOOK

• GDP recovers for all advanced economies• Semiconductor revenues are expected to be

very healthy for 2021, growing as much as 20% for 2021• Foundries and OSATs reporting high-capacity

utilizations• Semiconductor supply constraints now impacting

automotive production• Top challenges to growth include economics,

geopolitics, and on-going impact of COVID-19, though vaccine roll out expected to provide welcome relief and enable global economies to more fully open with fewer restrictions

• Trade and IP concerns continue to strongly influence future markets – new US Administration to ease tensions?

3.0

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 27

Page 28: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

WORLDWIDEECONOMY

• Semiconductor industry tracks strongly and is influenced by the global economy

• Strong recovery in global GDP expected for 2021 following the 2020 pandemic

• Semiconductor device revenues forecasted to increase by +8% to +13% in 2021

3.1 2018 2019 2020 2021FGlobal GDP (IMF) 3.7 2.9 -3.5 5.5%Semiconductor Revenues (US$B)

US$468.8 US$412.3 US$439.0 US$470 to

US$495

% Semiconductor Revenue Growth

13.7% -12.1% 6.5% 8% to 13%

Table 1: Global GDP and Semiconductor Revenues*

* 2021 semiconductor revenue growth estimates from VLSI Research, IC Insights and WSTS

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 28

Page 29: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

IMF WORLD ECONOMIC OUTLOOK• Multiple vaccines are approved worldwide, and the

launch of vaccinations raises hope of an eventual end to the pandemic.

• Stronger than expected economic activity in second half of 2020 points to strong momentum for 2021. Businesses appear to be adapting to subdued contact-intensive activity.

• Policy measures announced at the end of 2020—notably in the United States and Japan—are expected to provide further support in 2021–22 to the global economic growth.

• All of these developments indicate a stronger starting point for the 2021–22 global outlook than envisaged in the October forecast issued by the IMF.

• Downside risks include delays in vaccine rollout; virus surge of variants difficult to contain; and debt/liquidity issues

Table 2: IMF World Economic Outlook*

*From World Economic Outlook International Monetary Fund, January 2021

3.1 CONTINUED, WORLDWIDE ECONOMY

2020 2021F 2022FWorld -3.5 5.5 4.2Advanced Economies -4.9 4.3 2.1

United States -3.4 5.1 2.5Euro Area -7.2 4.2 3.6

Germany -5.4 3.5 3.1France -9.0 5.5 4.1

I taly -9.2 3.0 3.6Spain -11.1 5.9 4.7

Japan -5.1 3.1 2.4United Kingdom -10.0 4.5 5.0

Canada -5.5 3.6 4.1Emerging Market -2.4 6.3 5.0

China 2.3 8.1 5.6India -8.0 11.5 6.8

ASEAN 5 -3.7 5.2 6.0Russia -3.6 3.0 3.9

Latin America/Caribbean -7.4 4.1 2.9Middle East/ Central Asia -3.2 3.0 4.2

Sub-Sahara Africa -2.6 3.2 3.9

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 29

Page 30: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

3.1.1 SEMICONDUCTORINDUSTRIES TIES TO THEGLOBAL ECONOMY

Pyramid shows the chain of cause and effect of demand

Figure 9: Global Economy and the Electronics Supply Chain (2020)

Source: TECHCET

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 30

Page 31: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Per the WSTS, worldwide chip sales grew 6.5% in 2020 vs. 2019

• Annual sales of logic products increased by 10.3% compared to 2019

• Memory products were up 10.2%, with NAND flash sales increasing 23.1%

• Strong momentum continued into February 2021

• Monthly sales up 14.7% from one year ago

• Decreased -1% vs. January 2021

Figure 10: Worldwide Semiconductor Sales

Source: WSTS

3.1.2 SEMICONDUCTOR SALES GROWTH

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 31

Page 32: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Combined monthly sales of six outsource manufacturers in Taiwan increased throughout much of 2020.

• March 2021 sales increased +17% from one year ago. (Seasonally, 1Q sales tend to trend downwards from 4Q)

• Reports out of Taiwan state capacity utilization is strong at Taiwan’s foundries (both 200 mm and 300 mm lines) and backend assembly & test houses

• The Taiwan data trends point to a strong semiconductor industry growth year for 2021.

Figure 11: Worldwide Semiconductor Sales(TSMC, UMC, VIS, ASE, ChipMOS, KYEC)

Source: Company Monthly Reports

3.1.3 TAIWAN MONTHLY SALES TRENDS

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 32

Page 33: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Semiconductor market is forecasted experience very healthy growth in 2021

• Many analysts forecasting double-digit revenue growth for the year, as high as 20% revenue growth

• Increase in smartphone shipments, continued rollout of 5G, demand growth in data centers and the recovery and growth for automotive electronics

• Possible negatives to the forecast remain stagnant economic recovery from pandemic and trade issues

3.1.4 SEMICONDUCTOR INDUSTRY OUTLOOK

Figure 12: Semiconductor Revenues 2021

Copyright 2020 TECHCET CA LLC all rights reservedTECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 33

Page 34: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Semiconductor unit shipments and wafer shipments are a strong indicator of the direction of semiconductor materials market growth. As shown in the figure, 6.5% wafer shipment growth is forecasted in 2021.

• This follows the 5.2% increase in semiconductor wafer shipments in 2020 despite the global pandemic

• 2H2020 wafer shipments were >9% above 2H2019

• The semiconductor industry strengthened in the second half of 2020, preparing for strong demand 2021.

3.1.5 SEMICONDUCTOR UNITS AND WAFER SHIPMENT GROWTH FORECAST

Figure 13: Semiconductor Wafer Area Shipments

Source: TECHCET

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 34

Page 35: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

ELECTRONIC GOODS MARKET

• Electronic product sales were US$2,155 billion in 2020, up 1.6% from 2019

• Electronic sales were expected to grow 7.8% in 2021 to reach US$2.3 trillion

• Largest Chip Segments tied to consumer spending include: smartphone, data centers, and consumer electronics.

3.2Figure 14: Semiconductor Chip Applications

Source: TECHCET Estimate from various SourcesTECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 35

Page 36: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Total smartphone unit shipments to increase by 11% in 2021• This follows -10% to -11% unit shipment

decline in 2020

• 5G smartphone growth to drive Total smartphone unit shipments in 2021 • 5G phones shipments growing 2X or more

in 2021• Approximately 1.5X higher silicon content in

5G vs. 4G phones

• 5G phone shipments could reach 70% of Total phone shipments by 2024 (DigiTimesResearch)

• 5G now the standard in a number of market regions, such as China, S. Korea, and soon the U.S. • Also means an increase in the number of

5G base station deployments

3.2.1 SMARTPHONES

Figure 15: Mobile Phone Shipments WW Estimates

Source: Gartner

* Total Smartphones refers to non-5G smartphones

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 36

Page 37: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Strong PC Notebook unit shipments in 2020 due to Remote Learning and Work-From-Home

• 14.1% unit growth in 2020

• 2021 forecast shows 4.7% PC Notebook unit growth overall

• Stronger for Chromebooks

• 2020 to 2024 CAGR of 2% for PC Notebook Units

• Including Desktop PCs, total PC unit shipments will increase 1.7% in 2021 (IDC)

3.2.2 PC UNIT SHIPMENTS

* Omdia Press Release Fall 2020

Figure 16: PC Notebook Shipments

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 37

Page 38: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• In the Spring of 2020, automakers around the world shutdown production due to the COVID-19 outbreak.

• 2020 U.S. auto sale down -14.7% from 2019, the lowest full-year sales total since 2012.

• Auto sales declined -1.9% in China to 25.3 million vehicles (China Association of Automobile Manufacturers).

• 2021 global auto sales forecasted to increase 9% to 83.4 million vehicles (IHS)

• U.S. market could grow by 10%

• China vehicle sales to increase by 5.6%

3.2.3 AUTOMOTIVE SALES

Figure 17 : U.S. Automotive Sales

Source: https://www.goodcarbadcar.net/usa-auto-industry-total-sales-figures/

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 38

Page 39: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Auto makers cut semiconductor device orders in 2Q 2020, with foundry and device maker responding by shifting production to data center and networking applications

• By summer of 2020, auto production and sales began to recover

• In the U.S., Seasonally Adjusted Auto Sales (SAAR) were trending around 16 million vehicles by 4Q 2020, up from the 9.1 million SAAR reported in May 2020.

• Reports state as the auto market improved, device makers shipped products out of inventory (as opposed to increasing production or adding capacity) leading to constraints in the chip supply-chain.

• At start of 2021, tight auto semiconductor device supply emerged, and will take several months for IC production shift to respond to auto demand. TECHCET contacts indicate that there is none to little extra capacity in the supply-chain and chip fabs that support the auto sector are running 24/7.

• Foundries and IDMs working to boost auto semiconductor device production

3.2.3.1 AUTOMOTIVE SALES AND IMPACT ON SEMICONDUCTOR SALES

Figure 18: Monthly Automotive Sales Trends

Source: VLSI Research (H. Dan Hutcheson LinkedIn)

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 39

Page 40: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• EV sales in 2020 were about 3.4 million units, up from 2.6 million units in 2019, or roughly 4.5% of total vehicle sales.

• EV market is forecasted to grow at a 25% CAGR from 2019 to 2025

• China is the largest EV market

• EV sales were 1.3 million units in 2020, up 20% from 1.1 million units in 2019.

• CAAM expects EV sales will top 1.8 million growing > 30% in 2021.

• As announced, China will begin to phase out EV subsidies this year and next following a pause in 2020. This phase out was previously announced so to reduce market dependency on subsidies

3.2.3.2 ELECTRIC VEHICLE (EV) MARKET TRENDS

Figure 19: Global EV Trends

Source: Renesas Corp. 4Q 2020 Quarterly Report

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 40

Page 41: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Semiconductor device content of EVs is estimated to be 2X that of a traditional combustion engine vehicle (ICB). See the figure left.

• Semiconductor revenue for automotive applications is estimated to be in the 8-10% range of total semiconductor revenues and will approach US$40 billion in 2021.

• Total auto semiconductor sales will approach US$60 billion by 2025, an 8% 2019-2025 CAGR

3.2.3.3 INCREASE IN SEMICONDUCTOR CONTENT FOR AUTOS

Figure 20: Semiconductor Spend per Vehicle Type

ICE = internal combustion engine, HEV = hybrid electric/gas vehicle, BEV = fully battery electronic vehicle

Source: KPMG Automotive Semiconductor Market Model 2019

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 41

Page 42: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Among all electronic systems used for car manufacturing, the advanced driver assisted systems (ADAS), and Control system represent >50% of vehicle semiconductor content

• Semiconductors for EV semiconductor is the fastest growing segment with 21% CAGR• Semiconductors for ADAS to grow

17% CAGR

3.2.3.5 SEMICONDUCTOR CONTENT BY AUTOMOTIVE ELECTRONIC SYSTEM

Figure21: Semiconductor Content by Automotive Application

Source: Renesas Corp. 4Q 2020 Quarterly Report

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 42

Page 43: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Worldwide IT spending to total US$3.7 trillion in 2020, a decline of -3.2% versus 2019 (Gartner)

• Companies focused on “mission-critical” technologies during the COVID-19 Pandemic

• “Digital business represents the dominant technology trend in late 2020 and early 2021with areas such as cloud computing, core business applications, security and customer experience at the forefront.”

Figure 22: Amazon Server Farm

https://www.calcalistech.com/ctech/articles/0,7340,L-3750786,00.html

3.2.4 SERVERS / IT

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 43

Page 44: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Spending ($B USD) Growth

2020 2021F 2022F 2020

/2019

2021F

/2020

2022F

/2021F

Data Center Systems 215 228 236 0.0% 6.2% 3.4%

Communication Services

(including video conferencing)

1,350 1,411 1,413 -1.7% 4.5% 3.3%

Source: Gartner January 2021 press release

• Total Global IT spending to grow 6.2% in 2021 to top US$3.9 billion

• Data Center Systems grow by 6.2%

• Communication Services grow by 4.5%

• 2021 growth due to companies on-going investments for enabling remote workforce and recovery in the global economy

• Global server shipments are forecasted to grow at a CAGR of 6.7% from 2020-2025 (Digitimes Research)

• Server semiconductor device applications forecasted to grow by 8% CAGR from 2019 to 2024 (IDC)

3.2.4 SERVERS / IT, CONTINUED

Table 3: Data Center Systems and Communication Services Forecast 2021

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 44

Page 45: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

SEMICONDUCTORFABRICATIONGROWTH & EXPANSION

• Despite the global COVID-19 pandemic, demand for semiconductors rose with the 2020 semiconductor market growing from 2019 revenues

• 2020 revenues of US$439B represents, 6.5% growth from 2019.

• For 2021, with the expected recovery in the global economy and with the 5G rollout and growth in data centers, strong semiconductor revenues is forecasted

• Many analysts are forecasting double-digit revenue growth

3.3

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 45

Page 46: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Semiconductor unit shipments and wafer shipments are a strong indicator of the direction of semiconductor materials market growth. As shown in the figure, 6.5% wafer shipment growth is forecasted in 2021.

• This follows the 5.2% increase in semiconductor wafer shipments in 2020 despite the global pandemic

• 2H2020 wafer shipments were >9% above 2H2019

• The semiconductor industry strengthened in the second half of 2020, preparing for strong demand 2021.

3.3.1 SEMICONDUCTOR UNITS AND WAFER SHIPMENT GROWTH FORECAST

Figure23: Semiconductor Wafer Area Shipments

Source: TECHCET

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 46

Page 47: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

3.3.2 EQUIPMENT SPENDING TRENDS

• 2020 SEMI/SEAJ equipment billings increase15% above 2019 billings

• January 2021 3-month average billings at an all-time high

• ASML reported total net sales of €14 billion in 2020

• Up 18.2% from 2019

• Total of 181 New Litho Units booked in 3Q and 4Q

• 2021 Equipment Market Outlook

• VLSI Research: 12.4%

• Gartner (WFE): 7.8%

• SEMI: 21%Source: SEMI/SEAJ and TECHCET

Figure 24: 3-month Average Semiconductor Equipment Billings

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 47

Page 48: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

3.3.2 EQUIPMENT SPENDING TRENDS, CONTINUED

• Equipment spending correlates to both capacity and technology investments by fabs that will drive future growth in materials consumption.

• >US$20B spending on 7nm and below wafer fabrication technology

• 45nm node segment spending aligned with 3DNAND flash investments

• Top three regions spending on semiconductor equipment in 2021: South Korea, Taiwan, and China.

Source: ASM 4Q2020 Financial Presentation

Figure 25: Capital Spending Trends by Technology Node

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 48

Page 49: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

3.3.2 EQUIPMENT SPENDING TRENDS, CONTINUED

• Foundry/Logic investments include focus on • As 5nm and below

technologies ramp up or enter production over the next two years

• FDSOI device technology

Figure 26: Overview of Logic Roadmap Trends

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 49

Page 50: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

3.3.3 RECENT INVESTMENT AND FAB/PLANT EXPANSION ACTIVITY

Company Spending/Investment/(Possible) Acquisition Trends

TSMC • Reported to invest over US$20 billion in 2021 Capex plans. • Leading edge fab ramp in Taiwan• Construct new fab in Phoenix, Arizona

Samsung • Samsung invested about $26.5 billion in 2020; Expect a 20% to 30% increase in spending in 2021.

• Samsung will start the construction of its third chip fabrication facility in Pyeongtaek• Expansion in Austin, Texas

Micron • Micron targeting US$9 billion in Capex for FY2021

Intel • increasing spending on 7-nanometer inside the company (Spent US$14 billion on Capex in 2020)

GlobalFoundries • Doubling investment planned for 2021 to US$1.4B

SK hynix • Estimate SK hynix will spend between 10T to 11T Won in 2021 Capex• Includes spending on new M16 Fab, with EUV capabilities

Table 4: Recent Investment and Fab/Plant Expansion Activity

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 50

Page 51: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

3.3.3 RECENT INVESTMENT AND FAB/PLANT EXPANSION ACTIVITY, CONTNIUED

Company Spending/Investment/(Possible) Acquisition Trends

Infineon • Investments of €1.4 billion to €1.5 billion• Continued investments in 300 mm power• Silectra investments (150 mm SiC)

Koxia • Began constructions of Fab 7 at Yokkachi Plat• Plant site preparation for K2 fab at Kitakami plant site.

ST Microelectronics • Plan to invest US$1.8 billion to US$2.0 billion• Increasing 300mm capacity at the Crolles with a modular approach.

SMIC • Planned 2021 Capex is approximately $4.3 billion, the majority which is for non-FinFET capacity expansion, and the remaining is for FinFET, the infrastructure of the new Beijing joint-venture project, and other.

• US$4.3B 2021 Capex vs. US$5.7B in 2020

UMC • US$1.5 B Capex 15% for 200mm 85% for 300mm• Reported in talks with Toshiba to acquire two 200mm wafer fabs in Japan

Vanguard • Also seeking acquisition of 200mm fabs• Toshiba and Silterra mentioned in reports. Possible fab in S. Korea

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 51

Page 52: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• “Made in China 2025” initiative announced in 2015 provided billions of dollars for industry investments

• Sixty guidelines in the 14th 5-year plan (2021-2025), include strategic industry development for 5G, New Energy, EV, advanced manufacturing, semiconductor Big data, AI, and other.

• The goal in China was to increase domestic ability to satisfy China demand for semiconductor devices from 15% share in 2017 to 70% share by 2025.

• IC production in China represented 15.9% of its $143.4 billion IC market and is forecasted to increase to 19.4% share in 2025.

• Domestic makers in China are limited in 14nm and below production and will remain so for the foreseeable future.

• SMIC total 28nm/14nm revenues for 2020 were US$363 million, vs. US$5.7 billion for TSMC’s 28nm 2020 sales. Hence China is still highly dependent on outside sources for their chip supply, and a long way from being self-sufficient.

• Tsinghua Unigroup reportedly defaulted on a 1.3-billion-yuan ($197.96 million) bonds in 2020.

3.3.4 OVERALL CHINA MARKET TRENDS

Figure 27 China IC Market and Production Trends

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 52

Page 53: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• In February 2021, SMIC announced it secured supply of deep ultraviolet (DUV) lithography systems from ASML in an amended purchase agreement worth US$1.2 billion. This will enable SMIC to develop process technology fabrication down to 7nm.

• The agreement does not include EUV lithography systems. ASML is still waiting for a license to export EUV systems to China.

• SMIC fab SN1 in Shanghai will focus on developing process technologies down to 14nm

• Huali Microelectronics has two 300mm fabs in Shanghai. Fab2 continues to ramp up to support 28nm and below technologies

• YMTC ramping a planned 100,000 300mm wpm memory fab in Wuhan. YMTC entered 64L 3D NAND mass production in 2019 and has introduced 128L 3D NAND.

3.3.4 OVERALL CHINA MARKET TRENDS, CONTINUED

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 53

Page 54: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

POLICY & TRADETRENDS ANDIMPACT

• Trade and IP concerns continue to strongly influence markets—and are a factor in localization trends for materials manufacturing

• US government tactics started the trend to focus on developing local supply to minimize threat of increased tariffs and supply interruptions

• Japan - Korea trade tensions around key semiconductor materials emerged in summer of 2019; and pushed South Korea supply chain to develop domestic capabilities for key materials.3.4

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 54

Page 55: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Trade war and other bilateral trade conflicts relating to semiconductor materials supply (e.g., Japan-Korea, China-U.S.) have triggered a focus on securing localized supply chains of critical materials in all markets or alternative suppliers from other markets

• US government tactics started the trend to focus on developing local supply to minimize threat of increased tariffs and supply interruptions

• Trump-era rules targeting Chinese technology firms deemed to pose a threat to the United States. Numerous licenses to sell technology to Chinese firms, such as Huawei, were denied.

• U.S. restrictions resulted in TSMC limiting its business with Huawei in 2020

• New Biden administration announced it would allow the rules to go in effect following a Public Comment period.

• US American Foundries Act / Chips Act, $30 to $45B of funding expected.

3.4.1 POLICY AND TRADE ISSUES

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 55

Page 56: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• In the Summer of 2019, Japan announced restrictions for key electronic materialsshipped to South Korea.

• Korea US$5B funding for materials, components & equipment announced in2019. Lead to development of local HF supply-chain (SK Material & Soulbrain).

• Europe 145 B Euros to invest in local design and production of processors andsemiconductors (see next pages for more details)

• China self-sufficiency plans continue – 14th 5 -year plan approved. Key initiativesfocus on strengthening local supply-chains.

3.4.1 POLICY AND TRADE ISSUES, CONTINUED

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 56

Page 57: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• CHIPS for America Act, passed in the 2020 National Defense Authorization Act (NDAA)

• Only 12 percent of global semiconductor manufacturing capacity is located in the U.S.

• Robust incentives in other countries and the lack of a federal U.S. incentive have contributed to a 50 percent decline in the U.S. share of global semiconductor manufacturing capacity over the past 20 years.

• Funding the bipartisan and enacting an ITC (investment tax credits) for semiconductor investments would create strong incentives and public investments to support the semiconductor industry.

• US CHIPS Act requires funding to advance the initiatives

• Industry requests funding within the Fiscal Year 2022 Budget Appropriations

• TECHCET was invited participated in Executive Order on the US chip supply-chain from President Biden, April 2021 via SIA and SEMI. For details, please refer to: https://www.semiconductors.org/wp-content/uploads/2021/04/4.5.21-SIA-supply-chain-submission.pdf

Initiatives Provisions

Manufacturing • US$20+ billion in manufacturing grants and tax incentives

• Spur construction of onshore facilities• Supply defense, critical infrastructure and

commercial needsR&D Investments • US$17 billion

• Basic and applied R&D• Funding agencies: Dept. of Defense, Dept.

of Energy, National Science Foundation, National Institute of Standards & Technology

3.4.2 U.S. CHIPS ACT

Table 5: US Chips Act Provisions

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 57

Page 58: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

3.4.3 OTHER SEMICONDUCTOR FUNDING ACTIVITY

• In December 2020, 13 EU member countries signed a declaration of “A European Initiative on Processors and semiconductor technologies”

• Will require a collective effort to pool investment and to coordinate actions, by both public and private stakeholders

• 20% of the European Recovery and Resilience plans should go to digital transition; this is up to 145B€ over the next 2 to 3 years.

• Invest in research, design and production capability for processors in Europe should not be missed

• In 2019, Korea US$5B funding for materials, components & equipment lead to development of local HF supply-chain (SK Material & Soulbrain)

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 58

Page 59: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

SEMICONDUCTORMATERIALSOUTLOOK

The 2021 semiconductor market trends impacting materials in brief:

• Strong global economic recovery will drive overall semiconductor industry growth for the year.

• Smartphone, including 5G rollout, data center, and automotive semiconductor demand are key drivers for advanced logic, advanced memory, RF, Power, and Analog device growth in 2021.

• The leading-edge nodes of all device will spur market growth for advanced materials in precursors, CMP materials, and lithography materials that support EUV and related processes. Materials growth in these subsegments may grow > 10%.

• Longer-term prospects for materials consumption remain positive as 5G, high-performance computing, automotive, and other emerging applications drive demand for semiconductors in the forecast years

3.5

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 59

Page 60: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• TECHCET is tracking 4% CAGR growth in the overall wafer starts

• Advanced nodes 12% CAGR

• 3DNAND growth and lateral scaling will continue to drive demand for silicon specialty gases and precursors

• Leading-edge logic drives growth for metal and high-k precursors

• EUV nodes ramping

• Ru will grow if implemented in leading edge nodes

• First choice continued use of Co and W

• DRAM demand continues driving growth for High - EUV production

3.5.1 LEADING EDGE NODES DRIVE MATERIALS GROWTH

Copyright 2021 TECHCET CA LLC all rights reserved [email protected]

www.techcet.com SEMICON China March 2021

0.00

10.00

20.00

30.00

40.00

50.00

60.00

2019 2020 2021 2022 2023 2024 2025

Wa

fer S

tarts

(M’s

200

mm

equ

iv.)

Figure 28: Advanced Nodes Wafer Starts History & Forecast

Logic (< 14nm)

DRAM (< 1y) 3DNAND 12% CAGR

2020-2025Total advanced nodes

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 60

Page 61: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

SOURCE: TECHCET CA LLC

3.5.2 SEMICONDUCTOR PROCESS MATERIALS MARKET FORECAST

announced expansions upside

• Total materials revenues will top $50B this year growing > 8%

• Potentially higher growth of 10%+ by 2025 due to chip expansions announced by TSMC, Samsung, Intel and others

• It is yet unclear which of these will actually caome to pass and when

• Highest growth areas by revenue:

1. metals/ precursors

2. equipment consumables

3. wet chemicals/cleans

4. CMP

5. Photoresists

• Driven by 3D-NAND and advanced Logic

Figure 29:

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 61

Page 62: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

TECHCET WAFER START AND MATERIALS MODELINGMETHODOLOGY

• TECHCET’s proprietary wafer starts forecast is a product of business and technology market intelligence. The model is updated quarterly using multiple sources of data including interviews with industry experts, published wafer capacity, device production numbers, chip fab company announcements, and technical trend information. Historical cycles and vetting with electronic system forecasts, like equipment utilization, and test wafers, and other factors, are also taken into account.

• TECHCET’s materials forecasts are built from the ground up using number of process steps/passes for each material segment. Our technical knowledge of devices and processes combined with inputs from our industry contacts are used for baseline assumptions. Model outputs are then vetted with external interviews with business and technical experts (e.g. suppliers and IDMs).

Complexity of wafer starts vs. materials forecasting -

Not a 1:1 correlation

• Semiconductor materials growth for any given year depends on various factors including volume per device type as well wafer start usage for any given node. Although one can assume that materials growth will be at least as great as that for wafer starts, most material market segments differ greatly from these numbers. TECHCET’s models for each of the materials segments are developed using their proprietary wafer start forecast (as above) combined with knowledge of various devices types, process flows, and material usage per process step to generate material volumes for each device type for any given year. The output of the model is validated routinely by interviews with various industry experts from materials suppliers, OEMs, and semiconductor device fabricators.

• Although one can assume that materials growth will be at least as great as that for wafer starts, most material market segments differ greatly from these numbers. + TECHCET’s model can improve or solve this issue.

3.5.3 TECHCET FORECAST METHODOLOGY

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 62

Page 63: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4 LITHOGRAPHY MATERIALS MARKET LANDSCAPE

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 63

Page 64: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Photoresist Market Influencers

• COVID 19

• Geopolitical environments

• Natural Events that impacted the Photoresist Market

• Wildfire impacts

• Weather related Impacts

Photoresist Market Forecasts

• Lithography Materials Segment Status & Trends by wavelength

Market Segment Status, Strategies & Trends

• I-line (365nm), g-line (405nm) & h-line(435nm)

• 248nm (KrF) Market Trends

• 193nm Market Trends

• EUV Photoresist Market Trends

4.1PHOTORESIST MARKETINFLUENCERS

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 64

Page 65: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.1.1 COVID-19

. The Photochemical industry was not spared the disruption caused by the COVID-19

• The 2020 coronavirus pandemic caused severe economic disruptions last year as families and businesses adapted to mandatory and voluntary safety measures — collectively referred to as social distancing (which limited in-person interactions for fear of spreading the virus.) 7(WM).

• Disruptions were: factory closures, understaffing of facilities, and significant job loss(~3M people in the USA.)

• The impact was focused on particular sectors of the economy, such as travel, logistics and hospitality,

• Integrated Device Manufacturers (IDMs) and Foundries saw their raw materials delayed or undelivered as they competed with vaccine shipments for space on shipping planes and trucks.

• COVID-19 lead to a decrease in monthly year-over-year sales growth for application-specific chips, like those used in autos, which happed abruptly in March and April.

• March and April was just when the pandemic was closing auto plants globally.

• A high supply low demand environment ensued.

• Amid exceptional uncertainty, the International Monetary Fund (IMF ) predicts that the global economy will grow 5.5% in 2021 and 4.2% in 2022.*

• “Even with high uncertainty about the path of the pandemic, a way out of this health and economic crisis is increasingly visible,” IMF chief economist Gita Gopinath said in the latest World Economic Outlook report.

The global economy appears to be recovering nicely.

* https://www.imf.org/en/Publications/WEO

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 65

Page 66: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Covid 19 caused multiple impacts on the distribution system globally.

• The Logistics systems in many countries where overwhelmed with orders.

• People were urged to stay home, so they had to leverage retailers on-line services (especially ecommerce giants like Amazon, Alibaba and Costco) for their household goods.)

• This put a huge demand on companies like Federal Express, UPS and the Postal service (USPS).

• Another unexpected but real impact on the logistic systems, was school closures which drove an increased requirement for home computers (for home learners) and schools supplies.

• Parents ordered these items on line and had them delivered by Fed Ex, UPS or USPS.

• To protect themselves, FedEx and UPS suspended service guarantees, citing that business closures had impacted their ability to meet delivery schedules.

• Note: Both carriers have the ability to invalidate money-back guarantees when delivery is attempted and the business is closed.

• Material manufacturers saw shipments delayed getting to chip maker locations.

• Even when the materials arrived on time, it wasn’t always possible for them to be brought into the chip facilities. 10(WM)

• In spite of all the issues, 2020 turned out to be a strong growth year and 2021 looks to be at similarly as strong.

4.1.1 COVID-19, CONTINUED

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 66

Page 67: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

. The Photoresist and Ancillaries Market was impacted by trade conflict between USA & China.

• The China vs US trade conflict put significant pressure on the semiconductor industry.

• China is reported to imports some $300 billion of semiconductors, and most, though not all, major American semiconductor companies obtain large portion (~25%) of their sales from China.

• China, indirectly, drives the consumption of large quantities of chemical consumed outside of its borders.

• China imported US$350 billion worth of chips in 2020, an increase of 14.6 percent from 2019.

• China is a net importer of semiconductors, heavily relying on foreign manufacturers (and the U.S. specifically) facilitate most of its technology progress.

• In an effort to thwart its dependance on external chip supply, China aims to create a US$327 billion domestic semiconductor sector by 2023**

• Thus photoresist and ancillary consumption within China should grow dramatically.

4.1.2 TRADE RELATED CONFLICTS

** https://www.theburnin.com/industry/china-wants-327b-domestic-semiconductor-sector-2021-02-15/#:~:text=China%20aims%20to%20create%20a%20%24327%20billion%20domestic%20semiconductor%20sector%20by%202023&text=The%20Chinese%20government%20recently%20unveiled,billion%20in%20value%20by%202023.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 67

Page 68: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

. Japan, announced on July 1, 2019, it would restrict exports of three chemicals to South Korea: fluorinated polyimide, photoresist and hydrogen fluoride. Those high-tech materials are used in the production of semiconductors and display screens.

• JSR, Fujifilm, Shin Etsu and TOK (in particular) saw their photoresist businesses negatively, impacted.

• A 90 day delay in product shipments, to S. Korea, was introduced as special paperwork (shipping licenses) were required by the Japanese government. 12(WM)

The Korean Government and Samsung, displaying strong nationalism, have responded by massively funding ‘home grown’ photoresist and ancillary suppliers.

• Dongjin for example reported supplies Samsung with various wavelength photoresist and is testing EUV Photoresist.

• Young Chang (YC Chem) Ltd is also supplying rinse materials which had previously been supplied by JSR

• A division of SK Group (owners of Hynix) purchased Kumho chemical’s photoresist business and will continue efforts in the area of 193nm and EUV Photoresist development.

4.1.2 TRADE RELATED CONFLICTS, CONTINUED

https://www.cnbc.com/2019/07/23/japan-south-korea-dispute-impact-on-semiconductor-supply-chain-prices.html

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 68

Page 69: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.1.3 WILD FIRES

The California and Oregon Wildfires impacted Chip manufacturing operations in those states.

• Air quality was reported to be the worst in the country for a 1 week period.

• Oregon evacuated 10% of it’s population some of whom worked for Intel, Qorvo and Analog Devices. Locally operated companies supporting those chip makers were also adversely impacted.

• “Oregon Fires Force 500,000 People to Evacuate; California Fire Becomes State's Deadliest of 2020 (The Weather Channel 09/10/2020) 9(WM)”

• Intel, in Hillsboro, Oregon, closed it’s fab in response to wildfires at the same time that many workers were already working from home (due to covid 19) leaving the facilities producing even less output.

• Collocated suppliers (TOK and JSR for example) experienced work stoppages or slowdowns.

• Resulting in manufacturing schedule delays.

• JSR, who also has a facility in California, was also hampered by the California wildfires.

• This facility typically runs 5 days a week and ships throughout the US and is reported to be running 6 days a week to ‘catch up.’

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 69

Page 70: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Texas Winter weather closed a number of chip plants, compounding the impact of the chip shortages.

Idled plants means photolithography chemicals consumption is reduced (though not eliminated.)

• Chip makers have to maintain a very small amount of chemical consumption to keep photoresist coating ‘tracks’ and photoresist lines conditioned (in good working order.)

Impacted facilities:

• NXP Semiconductors, a Dutch firm with a facility in Texas, and a major provider of automotive and mobile phone chips, said its plant in Austin, Texas was closed as a result of the state's electric power difficulties caused by the winter storm.

• Infineon Technologies, a German-based chip firm, said it initiated a temporary facility idle when is was given notice that the power would be turned off for its plant in Austin (to address storm related issues.)

• Operations were also suspended Texas facilities belonging to Samsung, the South Korea based chip giant, that also has facilities proximal to Austin Texas, because of the winder weather.

4.1.4 TEXAS SNOW STORM

http://Winter weather closes Texas chip plants, worsening shortages (techxplore.com)

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 70

Page 71: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

PHOTORESISTMARKET DRIVERS, FORECAST, STATUSAND TRENDS

4.2

In this subsection

• Photoresist Market Drivers

• Photoresist Market Forecasts

• KrF Market Segment Status & Trends

• I-line and g-line Market Segment Status & Trends

• EUV Photoresist Growth Overview

o See Section 4.4 for full details on EUV photoresists

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 71

Page 72: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.2.1 MARKET DRIVERS

* Lithographic feature size reduction has been the driver for advanced semiconductor chip manufacturing for decades.

* Scanners/steppers, litho tools, have been the route to smaller features/lower wavelength; thus new lithographic materials.

Figure 30: Shrinkonomics of Optical Lithography

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 72

Page 73: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.2.2 PHOTORESIST REVENUES

• Photoresist sales, world wide, are expected increase to ~ US$2B in 2021, which represents ~11% a net increase over 2020 revenues.

• Growth in wafer starts show an uptick in EUV and KrF revenue are the key financial drivers.

• 5-year CAGR of 4% for all Photoresists

• KrF 5-year CAGR estimate: 6% as the result of robust growth in 3D NAND

• EUV 5-year CAGR estimate: 53%, but EUV is a small part of the total market

• Escalating Japan-South Korea tensions, has enabled (Korea based ) companies like Dongjin and Young Chang to get a foothold in the marketplace for lithography materials in Korea.

• Significant because growing share at Samsung, and SK Hynix can shift Photoresist company market share leadership to S. Korea.

2020 2021 2022 2023 2024 2025G & I line 290 292 295 294 288 290KrF 612 690 765 819 851 907ArF 190 196 197 193 190 188ArFi 714 759 800 823 852 884EUV 27 51 89 126 148 197

$0

$500

$1,000

$1,500

$2,000

$2,500

$3,000

Mill

ions

of d

olla

rs

Years

Photoresist Revenues (US$ millions)

Figure 31: Photoresist Revenues Forecast Estimate by Resist Type

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 73

Page 74: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.2.3 PHOTORESIST VOLUMES

0

2000

4000

6000

8000

10000

12000

2020 2021 2022 2023 2024 2025

kilo

liter

s

Photoresist Volume Forecast (est.)

Logic DRAM Non Volatile Memorry

Photoresist used by Technology Type

Volume in kiloliters2020 2021 2022 2023 2024 2025

2020-2025 CAGR

Logic 5663 5954 6252 6469 6568 6774 4%DRAM 1495 1565 1601 1572 1598 1615 2%

Non Volatile Memory 1564 1853 2162 2433 2639 3002 14%

• As shown in the plot on the left, and the table below, non-Volatile memory is the real growth driver at a projected 14% CAGR over the period of 2020-2025.

• Demand for non-volatile memory is due to the ongoing development of mobile devices, which require increasing memory capacity; particularly for cameras, smartphones, and tablets .

• Comparatively, Logic and DRAM are relatively flat at 2% and 4%. .

Figure 32: Photoresist Volume Forecast Estimate by Device Type

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 74

Page 75: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.2.4 TOOL CAPABILITIES

• Improved lenses (higher NA, reflective vs transmissive, etc.)

• Improved photoresist

• Illumination schemes,

• Optical proximity corrections,

• Mask based illumination strategies

• Multipattern techniques

The greatest gains have been seen with changes in wavelength

g-line

435nm

h-line

405nm

i-line

365nm

DUV

248nm

ArF Dry

193nm

ArF immersion

193nm wet

EUV

13.5 nm

i, g, h-line: Novolak resin DUV: KrF 248nm: PHS DUV: ArF and EUV 193 nm: Acrylic

~0.5um 7nm

Category

Wavelength

Polymerplatform

CriticalDimension addressed

The Real progress in Lithography has been enabled by a combination of advances.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 75

Page 76: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Photoresist used at critical layers is subject to change:

• EUV materials will show strong growth as Logic increases EUV usage (on critical layers) and DRAM starts to use EUV (also on critical layers) for volume production

• ArF and ArF immersion Photoresist usage is expected to be flat or to increase slightly over the next five years (as technology transitions ArF volumes will fluctuate)

• KrF Photoresist and related material usage is expected to show significant growth over the next five years

• 3D NAND will grow bits per chip by adding more lithography levels, most of which will be KrF

• NOTE: ASML reported an increase in sales of KrF scanners, they reported KrF exposure tool sales rising (unexpectedly) from 65 in 2019 to 103 in 2020; each system ~200 wafers/hour.

Non-critical layers, Photomask and MEMs type application:

• Use predominately, I, G, and H line photoresist products which is expected to be stable over the next five years; however, with the recent chip supply shortages of the auto industry these applications will be monitored (by TECHCET) closely as this assessment may have to change.

4.2.5 PHOTORESIST MARKET TRENDS

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 76

Page 77: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

2020 2021 2022 2023 2024 2025G & I line 3658 3762 3874 3936 3940 4048

3400

3500

3600

3700

3800

3900

4000

4100

kilo

liter

s

I and G line Photoresist VolumeProjection 2020-2025

CAGR 2% • The TECHCET wafer start model projects a 5-year CAGR of 2% for I and g line photoresist consumption.

• I and G line photoresist have reached product life cycle maturity.

• These material are no longer being developed, so no added cost for the photoresist manufacturer; in terms of R&D.

4.2.6 I-LINE AND G-LINE RESIST VOLUME FORECAST

I and G-line (365nm and 435nm) Photoresist

Figure 33: I and G line Photoresist Volume Projection 2020-2025 CAGR 2%

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 77

Page 78: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

I-Line & G-Line Trends• Automotive (EV), flat panel, MEMs, photomask, and inkjet use i and g line photoresist; many of

these applications are expected to continue growing.

• Chinese companies entering the market as photoresist suppliers to the Chinese market and the world market which is expected to impact the current market dynamics.

• Chinese subsidized will likely cause downward pricing pressure within the market as they have government support, at times financial, which can allow them to provide ‘competitive’ pricing.

• Chinese semiconductor materials suppliers have expanded beyond China.

• For example, Kemper photoresist selling in the US (outside it’s Chinese market) because they want to have large, international customer base.

• It’s fair to say, the lions share of device technologies still use a significant amount of i and g-line photoresist and that usage is expected to, at least, remain stable.

4.2.6.1 I-LINE & G-LINE TRENDS

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 78

Page 79: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.2.7 KRF/248NM PHOTORESIST VOLUME FORECAST

2020 2021 2022 2023 2024 2025KrF 3307 3704 4100 4400 4623 4965

0

1000

2000

3000

4000

5000

6000

kilo

liter

s

248nm (DUV) Photoresist VolumeProjection 2020-2025

CAGR 8%• The TECHCET wafer start model

projects a 5-year CAGR of 8% consumption growth.

• DUV photoresist has gotten new life as 3D NAND utilizes KrF and is currently in its growth phase.

• Scanner manufactures are also reporting higher KrF tool sales which should also drive significant photoresist consumption and supports the notion of growth in KrFphotoresist volume.

248nm Photoresist

Figure 34: I and G line Photoresist Volume Projection 2020-2025 CAGR 2%

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 79

Page 80: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.2.7.1 KRF/248NM LITHOGRAPHY & 3DNAND TRENDS

248NM LITHOGRAPHY TRENDS

• The need to increase integrated circuit storage capacities while, at the same time, reducing the cost has led to the development of a stacked 3D structure for NAND (see figure on the next page.)

• The 3D NAND structures have been enabled by the use of thick KrF (248NM) photoresist coated in a staircase pattern and incorporating multiple etch steps.

• As KrF photoresist and processes are used, in 3D NAND technology, KrF photoresist have found a new market to serve.

• Utilizing existing KrF tooling, process learning, and infrastructure leads saves on fab capital expense thus enabling a cost-effective patterning approach.

• The photoresist used in KrF lithography is based on poly(hydroxy styrene) (PHS) type polymers, an appropriate sensitizer and PGMEA solvent; the use of each of these components will climb with increased KrF photoresist use.

• PGMEA on of the predominant casting solvents is also, frequently, the prewet, backside rinse and edge bead solvent for these 3D NAND KrF applications.

• Should tone reversal be necessary PGMEA or NBA might be used.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 80

Page 81: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

3D NAND FLASH MEMORY FACILITATED WITH 248NM PHOTORESIST

Source: semanticscholar.org

Repeated KrF photoresist patterning and vertical, lateral trim etch to define word line contact pads (b) Resulting “Up and Down” staircase (Sources: Lam Research and Objective Analysis)

The 3D NAND structures have been enabled by the use of thick KrF (248NM) photoresist coated in a staircase pattern and incorporating multiple etch steps.

4.2.7.2 KRF/248NM LITHOGRAPHY & 3DNAND, CONTINUED

https://d3i71xaburhd42.cloudfront.net/5f02d82b23494d9d34e95b9cdaf266e778ff4571/2-Figure11-1.png

Figure 35: Birds-eye view of DC-SF cell array

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 81

Page 82: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.2.8 ARF/ARFI /193 NM RESIST FORECAST

2020 2021 2022 2023 2024 2025ArF 549 580 592 591 596 602ArFi 1190 1291 1388 1458 1539 1630

0

500

1000

1500

2000

2500

kilo

liter

s

193nm Photoresist VolumeProjection 2020-2025

CAGR 2% for ArFCAGR 16.7 for ArFi

ArFi ArF

• TECHCET projects a volume growth 5-year CAGR of 2% for ArF photoresist consumptions (e.g. lower growth) as it is not used for ‘new advanced layers.’

• 193nm photoresist is used for multiple patterning to achieve tighter pitches; thus 2-4 times as much photoresist for patterning using ArFi (immersion 193nm) and is currently used for a majority of advanced chip layers.

• A leveling off or slight decline in 193nm immersion materials is expected as current and new critical layers are transitioned to EUV. (see EUV transition show on next page)

• The wafer start model is indicating that 193nm is moving into the maturity phase of its product life cycle.

193nm Photoresist Immersion (ArFi) and non-immersion (ArF)

Figure 36: 193nm Photoresist Volume Projection 2020-2025 CAGR 2% for ArF CAGR 16.7 for ArFi

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 82

Page 83: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

https://seekingalpha.com/article/4412366-asml-not-dominant-without-euv

4.2.8.1 ARF / 193NM VS. EUV TRENDS – OEM TOOLS

ASML revenue 193nm immersion vs EUV revenue The figure on the left provides a good ‘picture’ of what is happening in the lithography market at the advanced layers.

• ASML is the dominate lithography tool supplier, and their chip customers, for advance scanners, are buying more EUV tools and less ArF immersion tools.

• The left axis is ASML revenue in Millions dollars from 2018 (projected to 2022.)

• Not depicted is the competitor; Nikon that is gaining some share in China but still represents only 5-10% of the market (and only ASML makes EUV tools.)

Note:EUV systems are priced at US$165 million eachArF immersion systems priced at US$65 million each

Figure 37: ASML revenue 193nm immersion vs EUV revenue

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 83

Page 84: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

193NM UPDATE (CHINA’S DRIVE TO SELF RELIANCE)Non-Immersion DUV lithography systems are the primary source of China revenue for ASML; accounting for seventeen percent of ASML's 14 billion euros in 2020 sales.

• ASML is prohibited (by the Dutch Government) from shipping 193nm immersion and EUV systems to China.

• With or without the immersion scanner and EUV licenses, ASML expects its Chinese sales to increase in 2021 as it continues to ship earlier-generation equipment.

• ASML is the only supplier of EUV Scanners and owns ~95% of the immersion scanner market.

• Nikon has been shipping immersion systems in China and are gaining DUV share due to China sales.

• Separately, China is funding the development of ‘home grown’ lithography tools.

China's Shanghai Micro Electronics Equipment (SMEE), founded in 2002, announced that it was building on its previous 90nm lithography exposure tool to produce the first China-made 28nm immersion lithography machine, which will be delivered in 2021-2022.

• The current model of the SMEE 28nm DUV system is reported to be the equivalent to ASML’s 1980i. This means it can now pattern ~12nm Chips, but, with multiple patterning techniques, critical dimensions will be able to reach 7nm.

China, with access to 193nm immersion processing capability, will drive increased demand for ArF photoresist as it scales production of advanced chips using ArFi based multiple patterning.

4.2.9 CHINA AND RESISTS

https://www.reuters.com/article/us-asml-holding-usa-china-insight/trump-administration-pressed-dutch-hard-to-cancel-china-chip-equipment-sale-sources-idUSKBN1Z50HN

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 84

Page 85: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• EUV photoresist is in rapid growth phase as TSMC, Intel and Samsung continue to buy up all the available ASML EUV exposure systems (ASML is the only manufacturer.)

• The EUV photoresist in HVM (used today) is currently 193nm positive tone photoresist with multi-patterning and is relatively small in volume and revenues when compared to its use in ArFlithography.

• Metal oxide EUV photoresist is presumed to be a strongest new entrant (i.e. Inpria), although metal ion migration concerns must be overcome to be acceptable to IDMs.

• EUV photoresists also carry with them an increase in organic solvents which are currently the developers of choice for EUV photoresist (aqueous developer used for 193nm, 248nm, i-line and g-line, i.e. Tetramethyl Ammonium Hydroxide; (TMAH - aqueous developer)

2020 2021 2022 2023 2024 2025EUV 18 35 62 89 107 145

0

20

40

60

80

100

120

140

160

kilo

liter

s

Figure 38: EUV (13.5nm) Photoresist VolumeProjection 2020-2025

CAGR 53%

4.2.10 EUV RESISTS VOLUME FORECAST

5- year CAGR of 53% for ’new’ EUV photoresists

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 85

Page 86: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.2.10.1 EUV RESISTS REVENUE FORECAST

2021 2022 2023 2024 2025EUV 51.37 88.64 125.70 148.14 196.76

$0

$50

$100

$150

$200

$250

Figure 39: EUV Photoresist Revenues (US$ millions)

• Novel/new EUV Photoresist revenues are expected to grow to ~US$200M by 2025

• The cost of EUV photoresist is high when compared to 193nm photoresist; manufacturers must recoup R&D cost.

• EUV is the first technology node where photoresist makers couldn’t support their patterning needs internally (EUV tools are in limited supply.)

• Built into the photoresist cost, is access to EUV exposure capability, a new cost.

• Nanya recently disclosed plans to build an advanced wafer fab in New Taipei City, northern Taiwan. The fab is designed for the company's 10nm-class and manufacturing(45,000 wafer per month final capacity.) Digitimes ref below. https://www.digitimes.com/news/a20210421PD201.html

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 86

Page 87: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

EXTENSION MATERIALSFORECASTS, MARKETDRIVERS & ANTIREFLECTIVECOATINGS DESCRIBED

• 4.3.1 Extension Materials Forecasts & Market Drivers

• 4.3.2 Key Suppliers of Extension Materials

• 4.3.4 Antireflective coatings explained

4.3

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 87

Page 88: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

TECHCET, herein, defines “Extensions” as KrF BARC, ArF BARC, Si BARC and spin on carbon (SoC)

• Overall extensions volume growth for 2020 to 2025 is projected to grow at CAGR of 4%

• As with photoresist, the growth is due to wafer start growth combined with increasing product complexity; EUV, 3DNAND, etc.

• ArF BARC is projected to decline in use, which is manifested in the wafers starts by a transition to EUV levels; which will replace multiple patterning ArF levels.

• The fastest growing Extension materials are the Si BARC and SOC also driven by the increasing product complexity; e.g. Lithography Scaling.

• Immersion 193nm and dry 193nm infrastructure will not be eliminated, it will be repurposed; e.g. used for less critical layers for example.)

• More detail regarding the reasons for using BARC will be described on subsequent pages.

4.3.1 EXTENSION MATERIALS FORECASTS & MARKET DRIVERS

Figure 40: Extensions Volume History & Forecast Estimates2020-2025 forecast

**1 kiloliter= 264.172 gallons

2020 2021 2022 2023 2024 2025KrF BARC 1441 1560 1671 1748 1810 1907ArF BARC 799 847 902 939 956 980SOC 963 1058 1176 1283 1351 1421Si BARC 853 927 1014 1095 1150 1221

0

1000

2000

3000

4000

5000

6000

kilo

liter

s

Extensions Volumes

Si BARC SOC ArF BARC KrF BARC

*BARC = Bottom Anti Reflective Coating

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 88

Page 89: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.3.2 KEY SUPPLIERS OF EXTENSION MATERIALS

The table on the right shows Photoresist manufacturers and their key material specialization in the Extension Material space :

Material Type Key Supplier(s)Anti-collapse rinse YC Chemical/Merck-EMD

TARC Merck-EMDBARCs Brewer/Nissan/ DupontSi BARC Shin-Etsu, JSRSpin on Carbon (SOC) Shin-Etsu, JSR Immersion Top Coat JSRDSA Materials Merck-EMD, Brewer

Note: BARCs refer to ArF and KrF BARCs, which are antireflective coatings used to control reflection during exposure.

Si BARC is a layer with a high silicon content which is used as an etch mask (in an O2 plasma. ) These materials save the floor space and tool cost of a chemical vapor deposition tool as they are applied on the same track as the photoresist.

Table 6: Key Suppliers of Extension Materials

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 89

Page 90: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

ANCILLARYMATERIALS –DEVELOPER & EBR TRENDS

• 4.4.1 Developers status and trends• 4.4.2 Developers types organic and inorganic• 4.4.3 Prewet, EBR organic solvents.

4.4

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 90

Page 91: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.4.1 NEGATIVE TONE DEVELOPER (NTD) MATERIALS STATUS

Negative Tone organic developer applications

• Negative tone developer (NTD) is an organic solvent.

• NTD is typically highly purified (metal ion free); on the order of parts per trillion now.

• As a solvent NTD drives higher pricing than TMAH.

• FujiFilm has a key patent in the NTD space which has provided revenue supported for their photoresist activities.

• NTD is used to reverse the tone of ArFi layers.

• For 193nm ArF / ArFi, NBA (n-butyl acetate), MAK (methyl amyl ketone), and PGMEA are all potential casting solvents for the photoresist; which makes them good image reversing solvents for 193nm material

• EUV CAR Photoresists can also be developed with solvent to give negative tone imaging, (also called tone reversal Fujifilm and Dupont have an offering) but this will be less common in EUV than it is in ArF

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 91

Page 92: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• NTD develop and rinse are projected to grow 13% CAGR from 2020-2025

• Growth will be driven by EUV negative tone levels and ArFi image reversal.

• The growth in EUV will be due to shifting logic product mix and ramping memory.

• Smaller feature designs

• Positive tone developer, EBR and Prewet are projected to show little or no growth.

• In reality, EBR and Prewet could grow if wafer starts increase in the future.

• Positive tone developer might increase if older technologies grow (for example in China.)

Figure 41: Solvent Volumes for NTD Rinse and Develop History & Forecast Est.

4.4.1.1 NTD AND RINSE VOLUME FORECAST

2020 2021 2022 2023 2024 2025NTD Rinse 8481 9858 11195 12111 13444 14900NTD Dev 8481 9858 11195 12111 13444 14900

0

5000

10000

15000

20000

25000

30000

35000

kilo

liter

s

Solvent Growth for NTD Rinse and Develop

NTD Dev NTD Rinse

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 92

Page 93: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.4.1.2 NTD AND RINSE REVENUE FORECAST

2020 2021 2022 2023 2024 2025NTD Rinse $100.83 $117.20 $133.10 $143.99 $159.83 $177.15NTD Dev $100.83 $117.20 $133.10 $143.99 $159.83 $177.15

$0

$50

$100

$150

$200

$250

$300

$350

$400

Figure 42: NTD Developer and Rinse RevenueProjected 2020-2025

(US$ Millions)• NTD develop and rinse revenue are projected to

grow 12% CAGR from 2020-2025

• Growth will be driven by introduction of EUV negative tone levels and ArFi image reversal.

• The growth in EUV, as mentioned on the prior page, will be due to shifting logic product mix and ramping memory.

• Positive tone developer, EBR and Prewet are projected to show little or no revenue growth.

• It should be noted that NTD developer could also be used as Edge bead remover and prewet which would add 5-15% to these projections if implemented.

Revenues

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 93

Page 94: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Prewet and Edge bead solvents are projected to show a 1% CAGR from 2020-2025 based on volume

• Edge Bead Removers (EBRs) and prewet solvents, typically the same materials, are low margin

• Photoresist companies that also supply solvents will price these quite low to get volume business from the Chip maker.

• Replacement EBRs must be qualified which is a high barrier to entry and, typically, not worth the cost savings.

• Qualifying a new EBR is time consuming and costly to the chip maker.

• EBRs may be specially formulated, such as with a co-solvents, which can provide some special performance at perhaps a higher cost than a single solvent version.

Figure 43: EBR Relative Volumes* History & Forecast Estimate

4.4.2 EDGE BEAD REMOVERS VOLUME FORECAST & TRENDS

PREWET AND EDGE BEAD REMOVERS

2020 2021 2022 2023 2024 2025EBR 19223 20420 21565 22327 22967 20210Prewet 12815 13614 14376 14884 15311 13470

0

5000

10000

15000

20000

25000

Ancillary Volume (kiloliters)

EBR Prewet

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 94

Page 95: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.4.2.1 EDGE BEAD REMOVERS VOLUME FORECAST & TRENDS

• Prewet and Edge bead solvents are projected to show a ~5% revenue CAGR from 2020-2025.

• Edge Bead Removers (EBRs) and prewet solvents are low margin

• Replacement EBRs could drive a yield reduction which would make any materials savings inconsequential.

• The TECHCET wafer model shows basically flat growth through 2025 at which time there is a slight down turn; possibly do to improved coating capability.

$0.00

$50.00

$100.00

$150.00

$200.00

$250.00

$300.00

$350.00

2020 2021 2022 2023 2024 2025

Figure 44 : EBR and Prewet RevenueProjected 2020-2025

(US$ Millions)

EBR Prewet

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 95

Page 96: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Overall PTD revenue and volume growth for 2020 to 2025 is projected to be at a ~4% CAGR• Markets and prices, for these products are expected to be stable with only modest price

decreases from year to year• PTD (positive tone developer) is expected to decrease (volumes and revenues) as users transition over

to Solvent based EUV applications (about 2025 per the wafer model.)• The developer transition is expected to be gradual

4.4.3 PT DEVELOPER VOLUMES AND REVENUES FORECASTS

Figures 45: Ancillary Volumes and Revenues Historically Forecasted Estimations

$0.00

$100.00

$200.00

$300.00

$400.00

$500.00

$600.00

2020 2021 2022 2023 2024 2025

Positive Tone Developer RevenueProjected 2020-2025

(US$ Millions)

PTD

0

20000

40000

60000

80000

100000

120000

140000

160000

2020 2021 2022 2023 2024 2025

Ancillary Volume (kiloliters)

PTD Developer

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 96

Page 97: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.4.4 RINSE MATERIALS STATUS & CHALLENGES

Defectivity:Stochastic printing failures has been a challenge highlighted for EUV

• Stochastic failures are: printing failures that are random, non-repeatable, sometimes isolated defects such as microbridges, broken lines and / or missing to merging contacts.

Extra Process steps:Photoresist develop processes sometimes include a rinse step after development

• The rinse serves to remove residual photoresist and thus improves defectivity (possibly lowering stochastic noise.)

• Another special rinse material is used to prevent line collapse Merck and Young Chang have a product in this space.

A return to solvent based photoresist developers (widely used in the 1970s)Negative tone photoresist use a solvent develop

• Common EUV rinse solvent is MIBC (methyl iso butyl carbinol), and NBA.• This rinse improves defects and provides cleaner (less photoresist residue) post develop patterning.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 97

Page 98: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

EUV LITHOGRAPHYAND MATERIALS

In this section

• 4.5.1 EUV Overview

• 4.5.2 EUV Technology Trends that Impact Business

• 4.5.3 EUV Photoresist platform overview

• 4.5.4 EUV Tool Outlook and Issues

• 4.5.5 A Note about EUV Ancillaries4.5

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 98

Page 99: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.5.1 EUV OVERVIEW

EUV Lithography is the newest patterning technology, using 13.5nm wavelength light to pattern.

• EUV’s introduction had a number of “fits and stops” and it took a longtime to enter high volume production (>25 years.)

• EUV is the first technology in which the photoresist suppliers couldn’t test their products on an internal exposure tool.

• Limited availability of EUV photons for photoresist testing, development and qualification.

• EUV lithography will only be used on a select number of chip manufacturing layers currently 2-4.

• EUV scanners are extremely expensive, ~US$150M per system and they are in limited supply.

• Chip makers have been the first recipients of the tools.

• TECHCET’s wafer start model projects rapid growth of EUV Photoresist, though volumes will be low at the start, when compared to other technologies.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 99

Page 100: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.5.1 EUV OVERVIEW, CONTINUED

EUV production has so far been focused on logic.

• Samsung is using it for logic production(and TSMC) is beginning with their “7nm and smaller” nodes.

• Intel is expected to use EUV for their 7nm node starting late in 2021.

Memory usage of EUV will be confined to DRAM

• EUV will be fully deployed, by Samsung, at the 4th-gen 10nm-class DRAM ( according to the company)this year1.

• Recently, Samsung was the first to adopt EUV in DRAM production to overcome challenging DRAM scaling; EUV reduces repetitive processing steps2.

• Samsung has an EUV facility that is shared by Logic and memory and is already manufacturing using EUV.

• SK Hynix has constructed a fab and have allocated space for EUV tooling.

2) https://news.samsung.com/global/samsung-announces-industrys-first-euv-dram-with-shipment-of-first-million-modules

1) https://www.samsung.com/semiconductor/newsroom/news-events/samsung-announces-industrys-first-euv-dram-with-shipment-of-first-million-modules/

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 100

Page 101: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

THE NUMBER OF EUV LAYERS IS EXPECTED TO INCREASE BY >50% FOR LOGIC AND DRAM ACCORDING TO ASML

4.5.2 EUV MATERIALS GROWTH DRIVERS & TRENDS

EUV Lithography use manufacturing 2013-2020. (Source: ASML)

Figure 46: EUV Layers Evolution

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 101

Page 102: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• EUV lithography introduced a number of ‘firsts’ to lithographic patterning:

• Exposure using reflective optics (as show in the figure) vs transmissive optics.

• A reflective photomask rather than transmissive one.

• Tin droplet generated photons rather than a excimer laser delivered approach.

• “New photoresist platforms” modified 193nm photoresist and others platforms described in later pages.

• The industry needed to build out new infrastructure, ranging from photomasks, scanner optics, mask inspection systems and now possibly a new photoresist platform to enable EUV.

• After a number of delays EUV is now in production for high performance logic chips. EUV wafer volume is expected to grow rapidly over the next few years.

• EUV mask counts in foundry logic nodes are forecasted to increase from 5-6 masks at 7nm-node (F7N) 20+ masks at F3N.

• Both negative and positive tone photoresist will be needed

4.5.3 EUV STATUS AND OVERVIEW

Figure 47: EUV Lithography Optical Path (ASML typical Scanner)

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 102

Page 103: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.5.4 EUV PHOTOLITHOGRAPHY TRENDS

EUV Photolithography trends

• Future EUV exposure tools are also being developed that incorporate a special anamorphic projection optics with an NA of 0.55, capable of resolving 8 nm half-pitch patterns; this may drive a photoresist that can print smaller images.)

• What’s old is new again

• Techniques used to extend the capability of 193nm lithography are being employed at EUV

• Self Aligned Litho/Etch Litho/Etch(SALELE) is a process derived from the double patterning activities of 193nm and ‘helps’ to address printing tight chip features.

• IMEC introduced this concept to address difficult Back End Of the Line (BEOL) applications (using EUV) at the Advanced Lithography conference in March 2021.

• Double patterning at EUV for front end layers has also been assumed to be happening, in spite EUV’s inherent ability to print smaller features due to chip design complexity.

New Photoresists

type

Wavelength extension -

Scanner innovations

Process innovations

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 103

Page 104: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.5.5 EUV PHOTORESIST PLATFORM (CURRENT AND FUTURE)

4.5.3 EUV Photoresist Platform(Current and future)

Vendor Selling feature Issues Photoresist tone

Other

Chemically Amplified (CAR)The incumbent (likely in HVM in Taiwan & Korea)

TOK, JSR, Sumitomo, Shin Etsu, Dongjin, and Fujifilm

Well characterized, good photo speed, etch resistance.

Acid migration, resolution,

+++Large scale photoresist

Inorganic (metallic)Inpria

Etch resistance this photoresist is coats a thinner film; higher resolving than CAR.

Contamination issue, high dose requirement ---

Well funded start-upIndustry backing.

Chain Scission Nippon ZeonHighly resolving (potentially. Low etch resistance;

high dose required+++

Small chemical company

Multitrigger Irresistible Materials High Photo speed, readily ‘tunable’ for various applications.

30% better etch resistance than CAR

---

Start-up not as well funded as Inpria

Dry Deposited (ALD/CVD type) LAM ResearchGood etch resistance, metal containing. Vapor deposited so low shelf life issues.

Metal concerns, high dose requirementNew module required

---LAM is not a chemical company –scale-up?

Table 7: EUV Photoresist Platform (Current and future)

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 104

Page 105: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

EUV LITHO TOOL SUPPLY SHORTAGES ANTICIPATED

TECHCET anticipates EUV wafer starts will be a tight balance of EUV manufacturing tool supply and wafer starts demand.

In 2022, as newer nodes come online, TECHCET projects scanner capacity will not match demand.

• ASML has historically had difficulty meeting demand (and satisfactory too ‘up time’.)

• Potential alternatives are:

• Continue with 193nm quad patterning where possible.

• Print smaller features with EUV double patterning; only most critical layers for EUV.

• Consider mix and match using 193nm and EUV combined to print certain layers.

• Review other technologies; multi beam e-beam lithography for example.

4.5.6 LIMITATIONS ON EUV PHOTORESIST GROWTH – LITHO TOOL SUPPLY

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 105

Page 106: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• ASML sold 35 EUV tools in 2020 which included their 100th system (109 in the field.)

• TSMC reported that they have 50% of the total EUV systems that ASML has produced.

• ASML’s expected capacity will be 40-45 tools/year 2021+ (demand is in the area of ~50/year.)

• A demonstration of 14nm patterning from an advanced EUV tool (is pictured on the right.)

Also shown is cumulative wafer count exposed on EUV tools (millions of wafers have been process using EUV. Sources ASML and Irresistible Materials (SEM image)

4.5.6 EUV PHOTORESIST LIMITATIONS – LITHO TOOL SUPPLY, CONTINUED

EUV TOOL THROUGHPUT AND PATTERNING CAPABILITY. Figure 48 : EUV Tool photo measure and 14nm patterning

demonstration

Patterned using an ASML EUV NXE 3400

Irresistible Materials MTR 2204 PhotoresistCD 14. nm

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 106

Page 107: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.5.7 A NOTE ABOUT EUV ANCILLARIES

Some Ancillary Materials Specific to EUV may be needed

For example:• Underlayers that improve Photoresist profile and EUV photo-speed. Brewer Science

and Nissan Chemical have developed and promoted such materials

• Rinses to prevent EUV line collapse. Merck/EMD and Korea based Young Chang Chemical (YC Chem) have developed EUV specific rinse materials for positive tone applications

• Silicon hard mask materials tailored to EUV resists; JSR and Shin-Etsu have developed material for this application.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 107

Page 108: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

OTHER NEWMATERIALS FORPATTERNING

• 4.6.1 DSA (Directed Self Assembly)

• Nano Imprint Lithography

• 4.6.2 Nano Imprint Lithography is Close to Production for 3D NAND flash

• 4.6.3 Patterning Assessment

4.6

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 108

Page 109: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.6.1 DIRECTED SELF ASSEMBLY (DSA) HAS RENEWED ACTIVITY

• DSA was an active subject of research several years but, at this point, it has not been implemented into high volume manufacturing .

• Issues related to defectivity, placement accuracy and tool design need to resolved to enable this technique.

• Additionally, the challenges of DSA integration into fab flow and designing chips around the technology also need to be addressed.

• Micron reported in 2014 that multiple patterning gave better LER than a DSA process

• The approach seemed to have high defects and could be used on all patterning shapes.

• Recently, testing activity has been solely from materials companies and academic institutions

• In 2020, Intel reported new results in two published talks:

• Yield with a DSA process using ArF immersion reasonable.

• LWR for a DSA process combined with EUV was excellent and enables much faster EUV photo-speeds

• Intel seemed to indicate that DSA could be used to augment EUV patterning.

• DSA consumables would be:

• Block Copolymer, Guide pattern layer, and Neutral layer

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 109

Page 110: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• EUV Photoresist is used to pattern a guide layer for DSA at the same pitch as the DSA polymer.

• A DSA processing then provides a pattern of DSA ‘polymer blocks’ that can be etched.

• Line width roughness (LWR) was ~2X better for the process and some of the defects in the EUV pattern could be repaired.

DSA pattern roughness seemed to be independent of EUV pattern roughness.

‘Fixing’ of EUV patterns

Pitch Multiplication

• EUV pattern repair has been demonstrated many times, in the past and is one promising aspects of DSA.

• Defect levels for DSA now match those of the baseline process (verses the higher than normal it used to produce.)

• Defect levels were confirmed with electrical tests indicating that Intrinsic defect levels were low

4.6.2 DSA IMPROVEMENTS REPORTED

Affinity to "A"

Guide Pattern Pitch

Guide Pattern CD

Guide Pattern CD

Surface Affinity is UnimportantAffinity for "A"

Neutral to "A" and "B" Surface Affinity is Unimportant

Final Pitch

"A""A" "B""B"

"A""A" "A"

"A"Surface Affinity is Unimportant

"A" "B"

"A" "A"

Surface Affinity is Unimportant

"B"

Final Pitch

"A"

Neutral to "A" and "B" Affinity to "A" Neutral to "A" and "B"

"B""B" "A" "B" "A" "B" "A""A" "B" "A" "B" "A""A" "B" "A" "B"

"B""B""B""B"Affinity to"A"

Affinity to"A" Affinity to"A"

Affinity to"A"

Figure 49 : Repair of EUV patterns

(Communicated by Intel)

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 110

Page 111: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.6.3 NANO IMPRINT LITHOGRAPHY IS CLOSE TO PRODUCTION FOR 3D NAND FLASH

• NanoImprint lithography (NIL) is in pilot production and yield testing as part of 3D NAND flash process at Kioxia; DRAM and Logic don’t use this technology in production at this time.

• Canon Nanotech provides the tooling

• Dai Nippon Printing Co., Ltd. (DNP) is believed to be manufacturing the templates (nano imprint ‘masks’.)

• Fujifilm is believed to be providing the patterning materials.

• Nanoimprint can also be used for optical elements such as micro lens.

• TOK is targeting this market with nanoimprint materials designed for micro lens fabrication.

• Nanoimprint yields, up to this point, aren’t high enough for high volume manufacturing.

• Canon Nanotech showed, at the most recent Photomask Japan conference PMJ), that to cost of ownership for Nano imprint vs EUV is very compelling (at some 30% reduction in manufacturing costs.)

• If successful, NIL would reduce demand for ArFi Photoresist and EUV in 3D NAND production.

• A visual representation of Nano imprint follows on the next page.

• TECHCET will continue to monitor the status of Nanoimprint.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 111

Page 112: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Previously called “step and squish,’ Nano imprint works by physically stamping and then curing/freezing a pattern in the imprint resist as pictured on the left.

• The resolution is limited by templates.

• Templates are same size as features to be patterned, unlike conventional lithography which are the result of image reduction.

• A master template is used to make replica templates that are used to make the actual pattern on wafers.

• Key consumables include:

• Imprint Photoresist

• Imprint release layer

• Quartz for making replica templates

Figure 50: Nanoimprint process

4.6.4 NANOIMPRINT LITHOGRAPHY (NIL) – HOW DOES IT WORK?

TECHCET Lithotherapy Annual report 2020

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 112

Page 113: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.6.5 NEW PATTERNING METHODS – ASSESSMENT

• Nanoimprint has the potential to eliminate ArF for 3D NAND memory production

• But yields have to improve before it could even be considered.

• Qualification and substitution would first need to be justified.

• Once qualified it could still take a number of years to implement.

• DSA has demonstrated the potential to improve stochastic defects and improve cost of ownership. But, at this point, only Kioxia is the only company putting effort into qualifying Nanoimprint.

• EUV supplemented with DSA has been discussed as a potential alternative to high NA EUV.

• Intel has reported favorable results using this approach and there is a chance they might use it for their 7nm technology introduction.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 113

Page 114: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

EHS AND LOGISTICISSUES

• 4.7.1 PFOS and PFAS

4.7

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 114

Page 115: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.7.1 PFOS AND PFAS

• Semiconductor uses of PFOS include:

• Photoacid generators (PAGs) that increase the sensitivity of photoresist.

• Anti-reflective coatings (ARCs) that address reflections semiconductor surfaces.

• They were used in developers: but out 2006.

• There is scientific evidence that exposure to PFAS can lead to adverse health outcomes in humans. The researched the most are PFAS chemicals ;PFOA and PFOS.

• Studies indicate that PFOA and PFOS exposure can lead to reproductive and developmental, liver and kidney, and immunological injury in laboratory animals.

• Environmental attention is still focused on mitigation of environmental PFOS and PFAS.

• All photoresists and related materials that had PFOS or PFAS have transitioned to alternatives materials.

• Some products PFOS and PFAS materials are still in the phase out process.

• There are still customers using older formulations that contain PFOS or PFAS

• Users of these legacy materials are preparing to switch in case new environmental regulations are imposed.

• TECHCET continues to monitor this situation as it unfolds.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 115

Page 116: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.7.1 PFOS AND PFAS, CONTINUED

Why are we concerned about Perfluorooctanoic acid (PFOA) and Perfluorooctanesulfonate (PFOS) and what are they?

• (PFOA) and (PFOS) are used in many industrial and commercial applications

• Previously, a PFAS derivative was used as a photoresist and BARC additive it helped planarize the photoresist/BARC surface; also called leveling agents. They have been getting phased out in the last 10 years or so.

• Both materials are representatives of Perfluoroalkyl and Polyfluoroalkyl substances (PFAS) which are often found in fire-fighting foam, industrial waste, stain resistant carpets, carpet cleaning products, microwave popcorn bags, ammunition, climbing ropes, guitar strings, artificial turf, and soil remediation and non-stick pans.

• PFOA and PFOS, which are also called a “C8” PFAS because of its 8 carbon atoms structure, they are man-made chemicals which have the potential to be a health concern because they can persist in the environment and in the human body for long periods of time; so called “forever chemicals.” (Scientific American Annie Sneed on January 22, 2021.)

https://line.17qq.com/articles/dpgdgdjdz.html

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 116

Page 117: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

5 SUPPLIER MARKET LANDSCAPE

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 117

Page 118: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

PHOTORESISTMARKET SHARES

• Market shares are mostly driven by quality and performance. • Customer confidence, once secured is hard to displace (positions don’t

change much over time.)

• 2021 might prove to be a transition year with the Trade dispute related events unfolding and the evolution of Chinese chemical companies.

• TOK is the market leader followed closely by JSR. Sumitomo, DuPont, Shin-Etsu and Fujifilm rounds out the market leaders..

5.1Figure 51: : 2020 Photoresist Market Shares

(% of WW Revenues)

JSR 21%

TOK23%

DuPont16%

Shin-Etsu10%

Sumitomo15%

FF8%

Other8%

Est. Photoresist Market breakout

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 118

Page 119: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Trends tend to be application specific product specification.

• Many photoresist companies have carved out specific niches where they lead in technology and production competency:

• Shin-Etsu makes precoated quartz mask blanks(used in the exposure tool), SiARC and Photoresist

• TOK primarily makes Photoresist, developer and edge bead remover.

• JSR manufactures Bottom Antireflective coatings, rinses developers and Photoresist

• Dupont Printed Circuit board patterning materials, BARC, CMP products, developers and Photoresist

• Fujifilm makes CMP chemicals, cleans, edge bead, and photoresist

• Merck makes Flat panel Photoresist, cleans, BARC, TARC

• Having a leading position in an alternative technology can offset, balance or fund the photoresist business.

5.1.1 MARKET LANDSCAPE

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 119

Page 120: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Formulations get fixed and development is focused on the next ”node”• As photolithography transition to new technologies, for example 248nm moves to 193nm, and then to EUV-

backward looking - photoresist formulations get “fixed or locked in.”

• I, G, H line photoresist are no longer developed for improved performance.

• DUV Photoresist formulations are locked; they’ve been transferred to manufacturing and put on autopilot.

• 193nm formulations (for the most part) have also been locked; technology advances are now process not materials based (multiple patterning for example.)

• As a result, of discontinued R&D, photoresist costs, for the above-mentioned products, R&D costs approaches zero and the companies manufacturing organization focuses on ringing out cost.

• The Photoresist Cos. R&D teams focus on material for the next wavelength which is currently EUV

• The most economical approach for the Photoresist manufacturer is to optimize something they already make for the new wavelength; the current situation for EUV (193nm Photoresist used at EUV with some ‘tweaks’.)

• EUV has three newly proposed platforms which will be described later; Multitrigger, Metal Oxide and Dry deposited Photoresist.

5.1.1 MARKET LANDSCAPE, CONTINUED

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 120

Page 121: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

PHOTORESISTSUPPLY MARKETDYNAMICS

• A trend to watch is China’s efforts to be self sufficient in semiconductors. Access to advanced exposure tool has been slowing development and testing of 193nm and 248nm photoresist (but that is changing; see details on the following page.)

• The market leading photolithography materials suppliers enjoy a pretty stable market environment for legacy products.

• Net: a stable income base

• Customer are weary to change suppliers, as mentioned previously, due to qualification risk, time for and cost.

• The new frontier in photoresist is EUV and that’s where suppliers are currently competing most fiercely.

• I, g-line, DUV, 193nm, ancillary products help fund EUV development.

• EUV Photoresist are in production, but no clear winning platform has been selected; therefore, market leadership in EUV is uncertain.

• DSA materials and NanoImprint are gaining popularity and may reach high volume manufacturing by 2025.

• The leading photoresist suppliers and their current activities are discussed, in more detail, on the following pages.

5.2

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 121

Page 122: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

5.2.1 PHOTORESIST SUPPLIER TRENDS TO WATCH

The China Market as China becomes more Semiconductor self sufficient.

• China is a huge market for electronics many companies are looking to China for growth.

• Jingrui, the parent company of ReiHong, purchased an used immersion scanner from Korea for USUS$11 million.

• Shanghai Xinyang has announced they will raise over 1 Billion RMB from up to 35 investors to fund “high-end photoresist research and development and industrialization projects for integrated circuit manufacturing”.

• The money will be used to fund ArF dry resist and KrF thick film resist.

• Ningbo NATA optoelectronics has announced the commissioning of a 193nm resist development facility.

• Kemper Microelectrons, based in Shunyi District, Beijing China is already making I and G line products and thus have the skills to move into more advanced photoresist types (248nm and 193nm with exposure capability located in China.)

• There are now four companies in China working on ArF resist. A dominate China market position could make one these companies a rival marketshare leader (displacing for example Fujifilm and/ or Shin Etsu.)

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 122

Page 123: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

5.2.2 PHOTORESIST SUPPLIER STATUS AND ACTIVITIES

• Shin-Etsu, JSR, and TOK have active EUV programs and probably significant EUV sales.

• JSR has a formal alliance with the IMEC research institute in Belgium to develop EUV materials

• Sumitomo announced this year that they will invest in EUV QA equipment.

• Dow/Dupont status changed in 2020 and they will now develop EUV resists, see the next slide

• Inpria is the leader in metal-based resists. They are a startup that only does EUV Photoresist. They have leading resolution but may have issues with defects and residue after develop. All of their high-performance resists are negative tone. They have investments from both TOK and JSR as well as Samsung, Intel and venture capitalists and others

• Irresistible Materials is a startup that has EUV resists with competitive performance. They have not gotten as much funding as Inpria and are probably looking for funding.

• TOK has limited EUV activity but does have an investment in Inpria

• Fujifilm has some effort, especially in NTD and may increase their effort

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 123

Page 124: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Dupont’s fiscal year ends December 31, 2020 due to recent reorganizations and spin offs, no annual report (so data was obtained from an earnings update,)

• ELECTRONICS & IMAGING has the part of Dupont that includes photoresist and extensions. It had

• DuPont reported they expected seasonably slow smartphone sales and that industry benchmarks for autos expected a down Q4; neither was correct.

• Q4 was the busiest production quarter of the year as 23 million autos were purchased worldwide.

• Demand for Dupont’s semiconductor products was robust.

• Dupont reported higher raw material costs, volume declines and an unfavorable currency impact more than offset cost synergies and income associated with an asset sale; but they managed through it.

• There have been press reports that DuPont is considering the divestiture of their electronics unit

• DuPont is particularly strong in KrF resists, immersion Photoresists and BARCs

• DuPont recently announced they will restart work on EUV resists at a facility in S. Korea, Dupont has been building 193nm capability there for over 10 years.

5.2.2.1 SUPPLIER YEAR-END RESULTS & SYNOPSIS - DUPONT

TECHCET Clients and CMC Members Confidential Copyright 2020 TECHCET CA LLC all rights reserved

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 124

Page 125: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Fiscal year ended December 2020

• Photoresist and associated materials is grouped in the Healthcare and Materials group.

• Fujifilm shows revenue from Semiconductor/fine chemicals/industry products up from ¥ 129.9 to ¥141.6 approximately 9% (Billions of Yen)

• The electronic materials business, revenue increased through strong sales of semiconductor process materials, due to buoyant demand for data center servers reflecting more widespread work-from-home.

• In the display materials business, revenue was up on higher sales materials used for monitors, tablets, and TVs (photoresist is also used for this applications.)

• FujiFilm has been losing share to competitors in the DUV space.

• Fujifilm pioneered Negative-Tone Develop (NTD) using solvent develop and solvent rinse

• The have strong IP protects market share in DUV solvents

• Continued investment in NTD IP for EUV patterning

5.2.2.2 SUPPLIER YEAR-END RESULTS & SYNOPSIS - FUJIFILM

TECHCET Clients and CMC Members Confidential Copyright 2020 TECHCET CA LLC all rights reserved

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 125

Page 126: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• JSR : (Delayed)Consolidated Financial Results for the 1Q FY Ending March 2021

• JSR had an operating profit drop from 43,030 in March of 2019 to 32,884 March 2020

• JSR has been first or second in photoresist market share0 for a number of years.

• Activist Invester ValueAct Capital became a major shareholder in JSR with more than a 7% stake early last year; reports Reuters.

• Acquired invested ~ USUS$283 million

• The positioning is typically or driven by new product winds or stealing an account due to poor performance.

• JSR Lithography materials range as follows:

• ArF/ArFi photoresists

• Multi-layer ancillaries and rinses

• EUV photoresist

5.2.2.3 SUPPLIER YEAR-END RESULTS & SYNOPSIS - JSR

TECHCET Clients and CMC Members Confidential Copyright 2020 TECHCET CA LLC all rights reserved

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 126

Page 127: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Fiscal year ended December 2020

• Shin Etsu combines the photoresist, optical products and photomask business in the Electronics & Functional Materials business unit.

• Electronics & Functional Materials Business Apr - Dec 2019 (Billions of yen) Apr - Dec 2020 (Billions of yen): Net sales 167.9 170.1 and increase of 1.3%

• Operating income 51.4 verses 51.6 which represented 0.3%

• Shin-Etsu is particularly strong in silicon containing materials such as silicon BARC but also has a good position in ArF and KrF Photoresists

• Shin Etsu’s Si BARC is the number one silicone bottom anti reflective coating product.

• From the annual report; “With regard to the photoresist products business, the ArF photoresists and EUV photoresists continued to perform well.”

• The photomask blanks business also performed well for both high-end and general-purpose products. As mentioned previously, Shin Etsu provides precoated photomask blanks to Semiconductor mask makers which complements photoresist business (they are actually synergistic to one another as they use photoresist they develop on the photomasks blanks.)

5.2.2.4 SUPPLIER YEAR-END RESULTS & SYNOPSIS - SHIN-ETSU

TECHCET Clients and CMC Members Confidential Copyright 2020 TECHCET CA LLC all rights reserved

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 127

Page 128: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Fiscal year ended December 2020

• In the latter half of the 1990s, Sumitomo Chemical decided to define information technology-related businesses that handle components and materials for electronic devices as one of the pillars that support the future of Sumitomo Chemical, and established the IT-related Chemicals Sector.

• With a focus on the South Korean, Taiwanese and Chinese markets, the company set up a local production capability and expanded the business.

• They leveraged rapid expansion in the use of liquid crystal display panels, the business for display components, like polarizing films and color filters, and achieved remarkable growth.

• Sumitomo does not break out the photoresist business, it is listed in the IT Related Chemicals”

• Revenue from this division was ~415Billion yen, total revenue for Sumitomo ¥1,642.6 billion ( not including the final nine months which ends 1Q 2021); YoY a drop of 8% which is larger than the IT group.

• Sumitomo Chemical has significant market share in the area of immersion argon fluoride (ArF) photoresists.

• The company is accelerating the development of resists for extreme ultraviolet (EUV) lithography; at this point it looks like trying to extend 193nm CAR.

• A major facilities completion took place fiscal 2019 and included the expansion of a manufacturing facility for processing photoresist in their Chinese subsidiary and there was also an upgrade to a Japan facility to enable higher volume photoresist testing.

• Sumitomo’s CEO said that one of the Growth Drivers for Future is: “Expanding sales of new high-functionality products in IT-related Chemicals and Energy & Functional Materials.” as he discussed the growing he growing EV and 5G markets.

5.2.2.5 SUPPLIER YEAR-END RESULTS & SYNOPSIS - SUMITOMO

TECHCET Clients and CMC Members Confidential Copyright 2020 TECHCET CA LLC all rights reserved

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 128

Page 129: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Fiscal year ended December 2020

• Tokyo Ohka Kogyo Co., Ltd. (TOK) had a net sales in from ¥102, 820M to ¥117,585M

• “Electronic Functional Materials” ~ photoresists increased net sales ¥58,246M to ¥65,878M and increase of 13.1% which, according to the annual report was due to photoresist sales.

• “High Purity Chemical” including ancillaries up 19.8% YoY – ( ¥ 40,674 to ¥ 48732M)

• TOK has active EUV Photoresist sales

• TOK has a strong ancillary business selling PGMEA as a prewet, backside and edge bead solvent.

• TOK also sell large quantities of o.26N tetramethyl ammonium hydroxide (PTD).

• ArFi Photoresists targeting sales in China

5.2.2.6 SUPPLIER YEAR-END RESULTS & SYNOPSIS - TOK

TECHCET Clients and CMC Members Confidential Copyright 2020 TECHCET CA LLC all rights reserved

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 129

Page 130: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

• Inpria is a supplier of metal oxide based EUV Photoresist and is a private company (therefore, they do not file an annual report.)

• In 2020,we estimate that there were 80 gallons per month shipped (early testing.)

• Inpria built a production facility and has been optimizing it since 2019

• February of 2020 Inpria received an additional US$31M in funding.

• That brings the total investment by various companies in the Semiconductor industry( in Inpria) to ~70 million dollars.

5.2.2.7 SUPPLIER YEAR-END RESULTS & SYNOPSIS - INPRIA

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 130

Page 131: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Irresistible Materials Ltd, is a spin out company from the University of Birmingham (UK), working in alliance with Nano-C Inc (USA) to develop novel EUV photoresist and hard mask (BARC) applications in next generation lithography.

Irresistible Materials is a private company (therefore, they do not file an annual report.)

• IM was created in 2010 to further develop and commercialize the University of Birmingham’s lithographic materials technology for the next.

• Funding Round • Apr 19, 2018 (other, unreported funding may have been obtained)

• Irresistible Materials raised £460,000 / Grant from Innovate UK

• Irresistible Materials raised £200,000 / Angel from Mercia Fund Managers

Reportedly, Irresistible Material’s model is to sell or license IP developed by the company. An acquisition is also a consideration the company would entertain.

• Irresistible materials has no plans to compete in the photoresist supplier space.

5.2.2.8 SUPPLIER YEAR-END RESULTS & SYNOPSIS - IM

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 131

Page 132: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

PLANT CLOSURESAND PRODUCTDISCONTINUATIONS

• None to report

5.3

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 132

Page 133: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

6 SUB TIER MATERIAL SUPPLY CHAIN CONSIDERATIONS

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 133

Page 134: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

6.1 SUB-TIER MATERIAL SUPPLY CHAIN CONSIDERATIONS

• Many of the raw materials used in photoresist are derived from petroleum; thus the current perturbations, taking place in refineries, does periodically, impact raw material pricing and supply availability.

• Raw materials are high value add (e.g. been qualified for purity and lot to lot reproducibility.)

• Photoresist suppliers are unlikely to change raw materials sources because their customers aversion to change; specifically, cost to change and the risks of qualification.

• Solvents and some additive chemical compounds are easier to change but new suppliers still have to provide low metals versions of any component used in volume in Photoresist.

• Chip makers may still resist even those change for fear of what “could happen” to their end products.

• For solvents, metal content must be 1ppb or lower

• To address the solids, many Chip makers have a total metal specification with limits on the maximum of each typical metal ion (typically set at the lowest measurable level available.)

• As discussed earlier, China and S. Korea working to localize the supply chain.

• Other than this, TECHCET expects little change in the raw material supply chain

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 134

Page 135: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

6.2 SUB-TIER MATERIALS LOGISTICS ISSUES

• TECHCET expects logistics issues for international shipments to continue for at least several months resulting in higher shipping costs and/or longer shipping times.

• The work from home economy is still evolving which impacts the need to ship items.

• The need to distribute vaccine continues impact the global logistics system.

• Companies that can source domestically may now have motivation to do so.

• Governments are putting pressure on home based companies to become less dependent on external suppliers.

• A desire to have less dependence on supply chain constraints (more shipping options land vs air.)

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 135

Page 136: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

7 SUPPLIER PROFILES

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 136

Page 137: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

137

TAB L E O F CONTENTS

1. Avantor.........................................................................................................................146

1.1. CompanyOverview............................................................................................................146

1.2. BusinessSegments.............................................................................................................146

1.3. FinancialOverview.............................................................................................................147

1.4. News..................................................................................................................................147

1.5. KeyCustomers(especiallyelectronics/chipcompanies).....................................................148

1.6. ManufacturingLocations,Capabilities,andCertifications...................................................148

1.7. JVsandPartnerships...........................................................................................................149

1.8. SalesRepsandDistributorsbyRegion................................................................................149

1.9. TECHCETAnalystAssessment..............................................................................................150

2. BASF.............................................................................................................................151

2.1. CompanyOverview............................................................................................................151

2.2. BusinessSegments.............................................................................................................151

2.3. FinancialOverview.............................................................................................................153

2.4. News..................................................................................................................................153

2.5. KeyCustomers(especiallyelectronics/chipcompanies).....................................................154

2.6. ManufacturingLocations,Capabilities,andCertifications...................................................154

2.7. JVsandPartnerships...........................................................................................................155

2.8. SalesRepsandDistributorsbyRegion................................................................................156

2.9. TECHCETAnalystAssessment..............................................................................................156

3. BrewerScience.............................................................................................................157

3.1. CompanyOverview............................................................................................................157

3.2. BusinessSegments.............................................................................................................157

3.3. FinancialOverview.............................................................................................................158

3.4. News..................................................................................................................................158

3.5. KeyCustomers(especiallyelectronics/chipcompanies)......................................................158

3.6. ManufacturingLocations,Capabilities,andCertifications...................................................158

3.7. JVsandPartnerships...........................................................................................................159

3.8. SalesRepsandDistributorsbyRegion................................................................................159

3.9. TECHCETAnalystAssessment..............................................................................................160

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 137

Page 138: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4. ChangChunPetrochemical............................................................................................161

4.1. CompanyOverview............................................................................................................161

4.2. BusinessSegments.............................................................................................................161

4.3. FinancialOverview.............................................................................................................162

4.4. News..................................................................................................................................162

4.5. KeyCustomers(especiallyelectronics/chipcompanies).....................................................162

4.6. ManufacturingLocations,Capabilities,andCertifications...................................................162

4.7. JVsandPartnerships...........................................................................................................163

4.8. SalesRepsandDistributorsbyRegion................................................................................163

4.9. TECHCETAnalystAssessment..............................................................................................163

5. DongjinChemical..........................................................................................................164

5.1. CompanyOverview............................................................................................................164

5.2. BusinessSegments.............................................................................................................165

5.3. FinancialOverview.............................................................................................................165

5.4. News..................................................................................................................................165

5.5. KeyCustomers(especiallyelectronics/chipcompanies)......................................................165

5.6. ManufacturingLocations,Capabilities,andCertifications...................................................166

5.7. JVsandPartnerships...........................................................................................................167

5.8. SalesRepsandDistributorsbyRegion................................................................................168

5.9. TECHCETAnalystAssessment..............................................................................................168

6. Dupont..........................................................................................................................169

6.1. CompanyOverview............................................................................................................169

6.2. BusinessSegments.............................................................................................................169

6.3. FinancialOverview.............................................................................................................170

6.4. News..................................................................................................................................171

6.5. KeyCustomers....................................................................................................................171

6.6. ManufacturingLocations,Capabilities,andAccreditations.................................................171

6.7. JVsandPartnerships...........................................................................................................172

6.8. SalesRepsandDistributorsbyRegion................................................................................172

6.9. TECHCETAnalystAssessment..............................................................................................173

7. Eastman........................................................................................................................174

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 138

Page 139: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

7.1. BusinessSegments.............................................................................................................174

7.2. FinancialOverview.............................................................................................................175

7.3. News..................................................................................................................................175

7.4. ManufacturingLocations,Capabilities,andAccreditations-Eastman..................................176

7.5. SalesRepsandDistributorsbyRegion................................................................................176

7.6. TECHCETAnalystAssessment..............................................................................................177

8. EverlightChemical.........................................................................................................178

8.1. CompanyOverview............................................................................................................178

8.2. BusinessSegments.............................................................................................................178

8.3. FinancialOverview.............................................................................................................179

8.4. News..................................................................................................................................179

8.5. ManufacturingLocations,Capabilities,andCertifications...................................................179

8.6. JVsandPartnerships,M&A.................................................................................................179

8.7. TECHCETAnalystAssessment..............................................................................................179

9. Fujifilm..........................................................................................................................180

9.1. CompanyOverview............................................................................................................180

9.2. BusinessSegments.............................................................................................................180

9.3. FinancialOverview.............................................................................................................182

9.4. News..................................................................................................................................182

9.5. ManufacturingLocations,Capabilities,andAccreditations.................................................182

9.6. SalesRepsandDistributorsbyRegion................................................................................183

9.7. TECHCETAnalystAssessment..............................................................................................183

10. Inpria............................................................................................................................184

10.1. CompanyOverview............................................................................................................184

10.2. BusinessSegments.............................................................................................................184

10.3. FinancialOverview.............................................................................................................184

10.4. News..................................................................................................................................184

10.5. ManufacturingLocations,Capabilities,andCertifications...................................................185

10.6. JVsandPartnerships...........................................................................................................185

10.7. SalesRepsandDistributorsbyRegion................................................................................185

10.8. TECHCETAnalystAssessment..............................................................................................185

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 139

Page 140: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

11. IrresistibleMaterials.....................................................................................................186

11.1. CompanyOverview............................................................................................................186

11.2. BusinessSegments.............................................................................................................186

11.3. FinancialOverview.............................................................................................................186

11.4. News..................................................................................................................................187

11.5. KeyCustomers(especiallyelectronics/chipcompanies).....................................................187

11.6. ManufacturingLocations,Capabilities,andCertifications...................................................187

11.7. JVsandPartnerships...........................................................................................................187

11.8. SalesRepsandDistributorsbyRegion................................................................................187

11.9. TECHCETAnalystAssessment..............................................................................................187

12. JiangsuHanTopPhoto-Materials...................................................................................188

12.1. CompanyOverview............................................................................................................188

12.2. BusinessSegments.............................................................................................................188

13. JiangsuNataOpto-electronicMaterial..........................................................................189

13.1. CompanyOverview............................................................................................................189

13.2. BusinessSegments.............................................................................................................189

13.3. FinancialOverview.............................................................................................................189

13.4. News..................................................................................................................................190

13.5. KeyCustomers(especiallyelectronics/chipcompanies).....................................................190

13.6. ManufacturingLocations,Capabilities,andAccreditations.................................................190

13.7. SalesRepsandDistributorsbyRegion................................................................................191

13.8. TECHCETAnalystAssessment..............................................................................................191

14. JSR................................................................................................................................192

14.1. CompanyOverview............................................................................................................192

14.2. BusinessSegments.............................................................................................................193

14.3. FinancialOverview.............................................................................................................193

14.4. News..................................................................................................................................194

14.5. KeyCustomers....................................................................................................................194

14.6. ManufacturingLocations,Capabilities,andCertifications...................................................194

14.7. JVsandPartnerships...........................................................................................................195

14.8. SalesRepsandDistributorsbyRegion................................................................................195

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 140

Page 141: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

14.9. TECHCETAnalystAssessment..............................................................................................196

15. KempurMicroelectronics..............................................................................................197

15.1. CompanyOverview............................................................................................................197

15.2. BusinessSegments.............................................................................................................197

15.3. FinancialOverview.............................................................................................................199

15.4. News..................................................................................................................................199

15.5. ManufacturingLocations,Capabilities,andCertifications...................................................199

15.6. Machines&Technologies.....................................................................................................199

15.7. JVs,shareholdersandPartnerships.....................................................................................200

15.8. SalesRepsandDistributorsbyRegion................................................................................200

15.9. TECHCETAnalystAssessment..............................................................................................200

16. MerckKGaA/EMD/AZ................................................................................................201

16.1. CompanyOverview............................................................................................................201

16.2. BusinessSegments.............................................................................................................201

16.3. FinancialOverview.............................................................................................................202

16.4. News..................................................................................................................................203

16.5. ManufacturingLocations,Capabilities,andAccreditations.................................................203

16.6. SalesRepsandDistributorsbyRegion................................................................................204

16.7. TECHCETAnalystAssessment..............................................................................................205

17. MosesLakeIndustries...................................................................................................206

17.1. CompanyOverview............................................................................................................206

17.2. BusinessSegments.............................................................................................................206

17.3. FinancialOverview.............................................................................................................207

17.4. News..................................................................................................................................207

17.5. KeyCustomers(especiallyelectronics/chipcompanies).....................................................207

17.6. ManufacturingLocations,Capabilities,andCertifications...................................................207

17.7. JVsandPartnerships...........................................................................................................208

17.8. SalesRepsandDistributorsbyRegion................................................................................208

17.9. TECHCETAnalystAssessment..............................................................................................208

18. NissanChemical............................................................................................................209

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 141

Page 142: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

18.1. CompanyOverview............................................................................................................209

18.2. BusinessSegments.............................................................................................................210

18.3. FinancialOverview.............................................................................................................210

18.4. News..................................................................................................................................211

18.5. KeyCustomers....................................................................................................................211

18.6. ManufacturingLocations,Capabilities,andCertifications...................................................211

18.7. JVsandPartnerships...........................................................................................................212

18.8. SalesRepsandDistributorsbyRegion................................................................................212

18.9. TECHCETAnalystAssessment..............................................................................................213

19. PhiChem.......................................................................................................................214

19.1. CompanyOverview............................................................................................................214

19.2. BusinessSegments.............................................................................................................214

19.3. FinancialOverview.............................................................................................................215

19.4. News..................................................................................................................................215

19.5. KeyCustomers....................................................................................................................215

19.6. ManufacturingLocations,Capabilities,andAccreditations.................................................215

19.7. JVsandPartnerships...........................................................................................................216

19.8. SalesRepsandDistributorsbyRegion................................................................................216

19.9. TECHCETAnalystAssessment..............................................................................................216

20. RuiHong........................................................................................................................217

20.1. CompanyOverview............................................................................................................217

20.2. BusinessSegments.............................................................................................................217

20.3. FinancialOverview.............................................................................................................217

20.4. News..................................................................................................................................217

20.5. Manufacturinglocations,certificates..................................................................................217

20.6. TECHCETAnalystAssessment..............................................................................................218

21. SACHEM........................................................................................................................219

21.1. CompanyOverview............................................................................................................219

21.2. BusinessSegments.............................................................................................................219

21.3. FinancialOverview.............................................................................................................220

21.4. News..................................................................................................................................220

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 142

Page 143: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

21.5. Manufacturinglocations,certificates..................................................................................220

21.6. JVsandpartnerships...........................................................................................................221

21.7. Salesrepresentativesanddistributors................................................................................222

21.8. TECHCETAnalystAssessment..............................................................................................222

22. Shin-Etsu.......................................................................................................................223

22.1. CompanyOverview............................................................................................................223

22.2. BusinessSegments.............................................................................................................224

22.3. FinancialOverview.............................................................................................................224

22.4. News..................................................................................................................................225

22.5. SalesRepsandDistributorsbyRegion................................................................................225

22.6. manufactringlocations.......................................................................................................227

22.7. TECHCETAnalystAssessment..............................................................................................227

23. Sumitomo.....................................................................................................................228

23.1. CompanyOverview............................................................................................................228

23.2. BusinessSegments.............................................................................................................229

23.3. FinancialOverview.............................................................................................................229

23.4. News..................................................................................................................................229

23.5. TECHCETAnalystAssessment..............................................................................................229

24. Suntific..........................................................................................................................230

24.1. CompanyOverview............................................................................................................230

24.2. BusinessSegments.............................................................................................................230

24.3. FinancialOverview.............................................................................................................230

24.4. News..................................................................................................................................230

24.5. manufacturinglocations&Certificates...............................................................................230

24.6. TECHCETAnalystAssessment..............................................................................................230

25. TOK...............................................................................................................................231

25.1. CompanyOverview............................................................................................................231

25.2. BusinessSegments.............................................................................................................232

25.3. FinancialOverview.............................................................................................................233

25.4. News..................................................................................................................................233

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 143

Page 144: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

25.5. KeyCustomers....................................................................................................................233

25.6. ManufacturingLocations,Capabilities,andCertifications...................................................233

25.7. JVsandPartnerships;worldwidesubsidiaries.....................................................................234

25.8. SalesRepsandDistributorsbyRegion................................................................................235

25.9. TECHCETAnalystAssessment..............................................................................................235

26. Youngchangchemical....................................................................................................236

26.1. CompanyOverview............................................................................................................236

26.2. BusinessSegments.............................................................................................................236

26.3. KeyCustomers....................................................................................................................237

26.4. Financialoverview..............................................................................................................237

26.5. ManufacturingLocations,Capabilities,andCertifications...................................................237

27. AllresistGesellschaftfürchemische..............................................................................238

27.1. CompanyOverview............................................................................................................238

27.2. BusinessSegments.............................................................................................................238

27.3. KeyCustomers....................................................................................................................238

27.4. SalesRepsandDistributorsbyRegion................................................................................238

28. ZEON.............................................................................................................................240

28.1. CompanyOverview............................................................................................................240

28.2. BusinessSegments.............................................................................................................240

28.3. Financialoverview..............................................................................................................241

28.4. KeyCustomers....................................................................................................................242

28.5. Certificates&Manufacturinglocations...............................................................................242

28.6. MainStockholders..............................................................................................................243

28.7. SalesRepsandDistributorsbyRegion................................................................................244

29. JiangsuNataOptoelectronics........................................................................................246

29.1. CompanyOverview............................................................................................................246

29.2. BusinessSegments.............................................................................................................246

29.3. FinancialOverview.............................................................................................................246

29.4. News..................................................................................................................................247

29.5. Manufacturinglocations,certificates..................................................................................247

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 144

Page 145: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

29.6. JVsandpartnerships...........................................................................................................247

29.7. Salesrepresentativesanddistributors................................................................................247

29.8. TECHCETAnalystAssessment..............................................................................................248

30. ShanghaiSinyangSemiconductorMaterialsCo.,Ltd......................................................249

30.1. CompanyOverview............................................................................................................249

30.2. BusinessSegments.............................................................................................................249

30.3. FinancialOverview.............................................................................................................249

30.4. News..................................................................................................................................250

30.5. Manufacturinglocations,certificates..................................................................................250

30.6. JVsandpartnerships,Shareholders....................................................................................250

30.7. Salesrepresentativesanddistributors................................................................................250

30.8. TECHCETAnalystAssessment..............................................................................................251

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 145

Page 146: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1. AVANTOR

1.1. AVANTOR- COMPANYOVERVIEW

YearEstablished 1904

HQLocation Radnor,PAUSA

ManufacturingLocations Phillipsburg,NJUSABethlehem,PAUSA

Sr.ExecutiveTeam JohnTownsendBaker,FounderMichaelStubblefield,CEO

2019Revenues $6,040M

2019Capex $51.6M

#ofEmployees 1,889

Parent N/A

CompanyWebsite: https://www.avantorsciences.com/

LinktoRecentCorporatePresentation:

https://ir.avantorsciences.com/investors/events-and-presentations/event-details/2020/Cowen-and-Companys-40th-Annual-Health-Care-Conference/default.aspx

1.2. BUSINESS SEGMENTS

Segment IndustriesServed

HighPuritysiliconsolutions ELECTRONICSILICONEPRODUCTS:conformalcoatings,encapsulants,adhesivesandgels

Semiconductor Advancedcopperprocesschemicals,Aluminumprocesschemicals,Materialetchingandcleaningchemistries,Photoresiststrippers,Post-etchresidueremovers,Surfacepreparationchemicals

Lab&productionservices,Clinicalservices,Procurement&sourcingservices,Equipmentservices,Commercialkitting&services,Digitalsolutions,Downstreamoptimizationservices,Thejourneyofadrug

Services

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 146

Page 147: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Monoclonalantibodies(mAbs)(newinJune2020),Vaccines,Recombinantproteins,CelltherapiesandGenetherapies(newinJune2020),Single-usesolutions,Smallmoleculeproducts,Academicresearch,Laboratoryresearch,Qualitycontrol,Environmentaltesting,Drugdelivery,Medicalimplants,Generalhealthcare,Beautycare,Diagnostics,Nutraceuticals

Biopharma,healthcare,education

Avantorsellsalargevarietyofchemicals.Theonlyonesoflithographicrelevancearehighpuritysolventsandvarioustypesofstrippers.

1.3. FINANCIAL OVERVIEW

FiscalYearFinancialOverview

CY2019(inmillionsUSD)

CY2018(inmillionsUSD) %ChangeYear-overYear

Revenue $6040.3 $5864.3 3.00%

Operatingincome $551.8 $413.5 33.5%

Capex(PP&E) $51.6 $37.7 36.9%

EBITDA $1031.2 $945.3 9.1%

NetProfit $37.8 -$86.9 -

1.4. NEWS

11/19/20-AvantoropensnewBiorepositoryFacilityinEuropetoSupportGrowingDemandforScientificResearchandClinicalTrialSampleStorage

AvantoropeneditsnewbiorepositoryandsamplearchivingfacilityinEurope.StrategicallylocatedneartheinternationalairportinFrankfurt,Germany,thenewfacilityenablesresearcherstohaveaccesstotheirsamplesforfutureresearchandanalysis,orstudyvalidation,within24hours.

11/4/20-Avantor®AnnouncesSecondaryOfferingof71,339,001SharesofCommonStock

Avantorannouncedthatcertainofitsstockholders(the"SellingStockholders"),includinganaffiliateofNewMountainCapital,LLC,intendtoofferforsaleinanunderwrittensecondaryoffering71,339,001sharesofcommonstockoftheCompanypursuanttoaregistrationstatementfiledbytheCompanywiththeU.S.SecuritiesandExchangeCommission(the"SEC").TheSellingStockholdersexpecttogranttheunderwritersa30-dayoptiontopurchaseuptoanadditional7,133,898sharesofcommonstockonthesametermsandconditions.NosharesarebeingsoldbytheCompany.TheSellingStockholderswillreceivealloftheproceedsfromthisoffering.GoldmanSachs&Co.LLCandJ.P.Morganareservingasthejointbook-runningmanagersandasrepresentativesoftheunderwritersfortheoffering.

ThenextdayAvantorannouncedatthepublicofferingpriceof$25.25pershare.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 147

Page 148: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

10/28/20-Avantor®AnnouncesOfferingofSeniorFirstLienNotes

Avantorannouncedtodaythecommencementofaprivateofferingofeuro-denominatedseniorfirstliennotes(the"Notes")tobeissuedbyitswholly-ownedsubsidiary,AvantorFunding,Inc.(the"Issuer").TheNoteswillbeguaranteedonajointandseveralbasisbyVailHoldcoSubLLC,theIssuer'sdirectparentandadirectwholly-ownedsubsidiaryofAvantor("Holdco"),andbyeachofHoldco'swholly-owneddomesticsubsidiariesthatguaranteesobligationsundertheIssuer'sseniorsecuredcreditfacilities.TheNoteswillbesecuredonafirstprioritybasisbysubstantiallyallofthetangibleandintangibleassetsoftheIssuerandtheguarantorsthatsecureobligationsundertheIssuer'sseniorsecuredcreditfacilities.

1.5. KEY CUSTOMERS (ESPEC IALLY ELECTRONICS /CHIP COMPANIES)

GlobalCustomers

1.6. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS

ManufacturingLocations

Basingstoke,UnitedKingdom Bethlehem,PA,UnitedStates Briare,France Carpinteria,CA,UnitedStates Chester,CT,UnitedStates Devens,MA,UnitedStates Eatontown,NJ,UnitedStates Ecatepec,Mexico Gliwice,Poland Gujarat,India Haryana,India Irving,TX,UnitedStates Leuven,Belgium Lutterworth,UnitedKingdom Morrisville,NC,UnitedStates Mumbia,India Neerabup,Australia Panoli,IndiaParis,KY,UnitedStates Phillipsburg,NJ,UnitedStates Sanborn,NY,UnitedStates Solon,OH,UnitedStates Tilburg,Netherlands

NorthAmericanoperationscGMPmanufacturingResearchandapplicationsWarehouseanddistributionEuropeoperationscGMPmanufacturingResearchandapplicationsWarehouseanddistributionAsia,MiddleEast&AfricaoperationscGMPmanufacturingDiagnosticsolutionsmanufacturingResearchandapplicationsKEYCAPABILITIEScGMPmanufacturing,packaginganddistributionWarehousingManufacturingtechnologiesinclude:distillationandpurification,oxidations,acid-basemulti-stepreactions,crystallization,blendingandmilling,subdivisionandpackaging,contractmanufacturingandcustomizationcGMPmanufacturingandpackaging,engineeringanddistributionofadvancedsiliconesandbiomaterials

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 148

Page 149: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

AccreditationsandStandard Dateofissuance

ISO9001 04/04/2018

ISO13485 04/16/2015

FSSC22000 10/26/2018

AS9100 11/07/2020

1.7. JVS ANDPARTNERSHIPS

Shareholders CapitalContribution Share Date

PrivateInstitutionalinvestors N/D 54.49% N/D

MutualFundHolders N/D 24.49% N/D

IndividualShareholders N/D 0.82% N/D

CompanyName Industry DateofAnnouncement BusinessSegment(offers)

NationalInstituteofBioprocessingResearchandTraining(NIBRT)

Chemical 11/06/2019 in-linedilutionsystems

1.8. SALES REPS ANDDISTR IBUTORS BY REGION

Region Address Fax Phone Email

UnitedStates AvantorOneRadnorCorporateCenterBuildingOne,Suite200100MatsonfordRoadRadnor,PA19087

18552826867

[email protected]

Mexico Fracc.IndustrialEsfuerzoNacionalXalostocEcatepecEstadodeMexicoCP55320Mexico

525557552585

525556990250

N/D

TheNetherlands

NieuweStationsstraat206811KSArnhem,Netherlands

+31(0)268458422

+31(0)26-3527412

N/D

Polska AvantorPerformanceMaterialsPolandSAul.Sowińskiego11,44-101Gliwice,Polska

+483223-92-370

+48322392000

N/D

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 149

Page 150: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

India 17thFloor,BuildingNo.5TowerCDLFCyberCityPhase-IIIGurgaon-122002,Haryana,India

0091-124-4656799

0091-124-4656700

[email protected]

Malaysia AvantorPerformanceMaterialsSdnBhdA-1201-2,12thFloor,WismaAmFIRSTTower1JalanSS7/15,KelanaJaya47301PetalingJaya,Selangor,Malaysia

603-78030405

603-78030378

N/D

Korea 2F(unit201-204),ACEGwanggyoTower,17Daehak4-Ro,Youngtong-Gu,Suwon-Si,Gyeonggi-Do,16226

+82-31-645-7279

+82-31-645-7250

N/D

China Bld.No.1,No.3728JinkeRd,PudongNewDistrictShanghai201203,China

+86(21)58777253

+86(21)58783226

N/D

Taiwan TaiYuenHi-TechIndustrialParkNo.38-1,TaiYuenSt.Chu-BeiCity,HsinChuHsienTaiwanR.O.C.30265

886-3-560-0788

886-3-560-0789

N/D

1.9. TECHCETANALYST ASSESSMENT

Avantorsuccessfullybecameapubliccompanyin2019.Financialstatementsshowthatithadafinancialcrisisin2018.However,ithasgraduallyimprovedsince2019.Thestockpricehasfallensinceearly2020,butmanyinvestorsandanalystsbelievethecompanyhasgreatpotential.GoldmanSachsiscurrentlythecompany'ssecond-largestinvestor.Thecurrentdebtratioisstillrelativelyhigh,andtheinvestmentwillhavecertainrisks.AvantorsuppliessolventsanddevelopersundertheBakerbrandnameforuseinsemiconductormanufacturingandasanalyticalstandardsandotheranalyticalsolvents.Post-EtchResidueRemoval(PERR)chemistryblendsarecategorizedas"Wet&SpecialtyClean"chemistrynotasPhotoresistsandExtensionsandAncillarymaterials.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 150

Page 151: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

2. BASF

2.1. BASF- COMPANYOVERVIEW

YearEstablished 1865

HQLocation Ludwigshafen,Germany

ManufacturingLocations

Geismar,LA,U.S.A.,Freeport,TX,U.S.A.,Shanghai,China,Jiangsu,China,Chongqing,China,Taoyuan,Taiwan,Changhua,Taiwan,Kaohsiung,TaiwanKoga-shi,Ibaraki,Japan,Yokohama,Kanagawa,JapanIchihara,Chiba,Japan,Ludwisgshafen,Germany(Largest)LoyangCrescent,Singapore,Ansan,Gyeonggi-do,KoreaYesan-gun,Chungcheongnam-do,Korea

Sr.ExecutiveTeam MartinBrudermüller,ChairmanoftheBoardofExecutiveDirectorsandChiefTechnologyOfficer(CTO),BASFSEHans-UlrichEngel,ViceChairmanoftheBoardofExecutiveDirectorsofBASFSEandChiefFinancialOfficerSaoriDubourg,MemberoftheBoardofExecutiveDirectors,BASFSEMichaelHeinz,MemberoftheBoardofExecutiveDirectors,BASFSEMarkusKamieth,MemberoftheBoardofExecutiveDirectors,BASFSEWayneT.Smith,MemberoftheBoardofExecutiveDirectors,BASFSE

2019Revenues 59,316MEUR/69266.20M(USD)

2019Capex 3,842MEUR/3910.79M(USD)

#ofEmployees 122404

Parent N/A

CompanyWebsite: basf.com

LinktoRecentCorporatePresentation:

https://www.basf.com/global/documents/en/investor-relations/calendar-and-publications/presentations/2020/BASF_Speech_Analyst_Conference_Call_FY-2019.pdf

2.2. BUSINESS SEGMENTS

Typeofproducts description

Chemicals Gastreatment,APIs&Rawmaterials,Bulkchemicals,

ElectronicMaterials cleaning,etchingandPhotolithography;CMP;WetDeposition;

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 151

Page 152: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

chemicalsandgasesmainlyappliedfortheproductionofintegratedcircuits,flatpaneldisplays,lithiumionbatteries(LIB),lightemittingdiodes(LED),fiberoptics,andothers;

LithoMaterialProducts:FOTOPUR®ChemicalsforPhotolithography:

RinseSolutionstoremoveresidues FOTOPUR®CSeriesforBEOL&FEOL

Postetch/postash/postimplantresidueremovers

FOTOPUR®RSeries

Photoresist/polyimidedeveloper FOTOPUR®DSeriesforBumpingand3DTSVapplication

Photoresist/polyimidestripper FOTOPUR®SSeries

WetChemicalProducts:

CUPUR®E Excellentinterconnectelectroplatingadditivesandelectrolyteforallexistingtechnologynodesabove55nm.

CUPUR®α advancedinterconnectelectroplatingadditivesandelectrolyteforemergingandfuturetechnologynodesfrom45,32,to22nmaswellas4X,3X,and2X.

CUPUR®TTSV(ThroughSiliconVia)

gapfillingsolutionsforbothviafirstandvialast.

CUPUR®UHighspeed CuelectroplatingadditivesandelectrolytechemicalstoformCuPillarbumpforadvancedTSVpackagingprocess.

CUPUR®CCoWP processchemicalsforCuinterconnectcappinglayersfor32nmandbeyond.

CUPUR®NNiMoP platingandcleaningchemicalsforfarback-endoflineCucappinglayersofhighendurancechips

MetalChemicalProducts:Wetetchingchemicalsforbumpingand3DTSVapplications

SELECTIPUR®M-Seriesformetalmaterialetch

AlEtchSeries,CuEtchSeries,NiEtchSerie,TiEtchSeries,MoEtchSeries,CrEtchSeries,AgEtchSeries

SELECTIPUR®S-Seriesforetchingofmetalmultilayerstacks

Ti/TiNEtchSeries,Cu/NiEtchSeries,Cu/NiVEtchSeriesCu/MoEtchSeries,Mo/Al/MoEtchSeries

SpecialtyCleaningProducts:

SELECTIPUR®BasicWetChemicalsSoldforbumpingand3DTSVapplication.

H2O2,IPA,NH4OH,H2SO4,HCI,HNO3,CH3COOH*,andHF.(*OnlyavailableinAsiaPacific)

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 152

Page 153: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Developers

TMDSeries-TMAHbase,DEV-100Series-K2CO3base

DEV-200Series-Na2CO3base,KD-50-PIDeveloper,DEV-300Series-KOHbase

Thinners

PMPSeries-PMPbase,EBR-01-NBAbase

EBR-02-Toluenebase,EBR-03-Cyclohexanonebase

EBR-10-PGMEAbase,EBR-20-ELbase,EBR-05-KOHbase

2.3. F INANCIAL OVERVIEW

(2020datacomingoutonFab26th)

MostRecentCY2019-euromillion

InUSDmillion(1.12)

CY-2018

InUSD-2018-1.18

%ChangeYear-overYear(Euro)

InUSD

Revenue €59316 $66434 €60220 $71060 -1.5% -6.5%

Operatingincome €8421 $9432 €4707 $5554 78.9% 69.8%

Capex(PP&E) €3349 $3751 €3498 $4128 -4.3% -9.1%

EBITDA €8036 $9000 €8970 $10585 -10.4% -15.0%

NetProfit €8421 $9432 €4707 $5554 78.9% 69.8%

DebttoAssetratio Reportforprioryear:

Noneedtoconvertcurrency

Reportforcurrentyear

2.4. NEWS

02/09/2021-BASFlaunchesOPPANOLCinNorthAmerica,anew,easiertoprocessformofpolyisobutene

BASF,theleadingmanufacturerofpolyisobutene(PIB),hasintroducedOPPANOL®CintheNorthAmericanmarket.TheuniquePIBproductofferingisproducedasone-inchchipsand,enabledbyitssize,canreduceproductdevelopmenttimeandminimizemanufacturingstepsforcustomersbyfacilitatingsimplerandfasterprocessing.Thisnewformofnonhazardous,highpurityPIBalsooffersconvenienthandlingsizeforpreciseformulationtailoring.

12/17/2020-BASFreachesagreementtosellsiteinKankakee,IllinoistoOneRockCapitalPartners

BASFhasreachedanagreementtosellitsmanufacturingsiteinKankakee,Illinoisandtheassociatedbusinessesofvegetable-oil-basedrawmaterialsterolsandnaturalvitaminE,anionicsurfactantsandestersproducedtheretoanaffiliateofOneRockCapitalPartners,LLC,aU.S.-basedprivateequityfirm.Thebusinesseshavearound160employeesincludingbusinessmanagementandcommercialpersonnel

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 153

Page 154: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

acrosstheU.S.Thetransactionisexpectedtocloseinthefirsthalfof2021,subjecttotheapprovaloftherelevantauthorities.Termsofthetransactionwerenotdisclosed.

09/14/2020-BASFacquiresGlu-LtechnologyfromAgriMetis

BASFAgriculturalSolutionsacquiredtheproprietaryGlu-L™technologyforL-glufosinateammoniumfromAgriMetis™,anindustryleaderinbiotechnologicalinnovationsforcropprotection.Withthistechnology,BASFwillprovidefarmerswithamoreefficientsolutionandimprovedformulationtoprotectagainsttroublesomeweeds.

2.5. KEY CUSTOMERS (ESPEC IALLY ELECTRONICS /CHIP COMPANIES)

HyundaiMotorCompany–Quality5-StarAward(2015)

3M–SupplieroftheYearAwardforTechnologyandInnovation(2018)

GeneralMotors–SupplieroftheYear(2002–2018)

Airbus–BestPerformerGoldAward(2018)

Axalta–SupplieroftheYearAward(BASFColors&Effects)(2019)

2.6. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS

ManufacturingLocations

Geismar,LA,U.S.A.,Freeport,TX,U.S.A.

Shanghai,China,Jiangsu,China,Chongqing,China

Taoyuan,Taiwan,Changhua,Taiwan,Kaohsiung,Taiwan

Koga-shi,Ibaraki,Japan,Yokohama,Kanagawa,Japan

Ichihara,Chiba,Japan,Ludwisgshafen,Germany

LoyangCrescent,Singapore,Ansan,Gyeonggi-do,Korea,

Yesan-gun,Chungcheongnam-do,Korea

o TheGeismarSiteisthelargestmanufacturingsiteofthecompanyinNorthAmericasince1958.Ithas1591employeesand25plants.

o BASF’smainWetChemicalpurificationandpackagingfacilityisinTaiwan,withtwoothermanufacturingsitesinShanghai,China,andLudwigshafen,Germany.

Whatisfor Dateofcertificate

ISO9001 2015

ISO14001 2017

OHSAS18001 2017

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 154

Page 155: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

2.7. JVS ANDPARTNERSHIPS

WintershallDEA–JVwithLetterOnemergingtheiroilandgasbusinessesBASFYPCCompanyLimited(BYC)–50-50JVwithSinopecattheVerbundsiteinNanjing,China.TheaimistofurtherstrengthenthejointproductionofchemicalproductsinChina.BASFIdemitsuCo.,Ltd.–JVwithIdemitsuPetrochemicalCo.,Ltd.KolonPlastics–50:50JVwithKolonPlastics;GimcheonSiteinSouthKoreathatproducesPOMengineeringplasticsBASFTOTALPetrochemicalsLLC–60-40JVbetweenBASFCorporationandTotalPetrochemicalsUSA

2020-RedAvenueRedMaterialsgroup BASFlicensesRedAvenueNewMaterialsGrouptoproduceandsellPBATaccordingtohighBASFqualitystandards2020-Bosch RedAvenueNewMaterialsGrouptobuildanewplantinShanghaiwithanannualcapacityof60,000metrictonsofPBAT2019-AdaniGroup BASFtopartnerwithAdanitoevaluateinvestmentinacrylicsvaluechaininMundraIndi 2018-Solenis BASFandSolenistojoinforcesbycombiningpaperandwaterchemicalsbusinesses2017-LetterOne BASFandLetterOneplantomergetheiroilandgassubsidiariesWintershallandDEA Stahlgroup BASFleatherchemicalsbusinesstobecomepartofStahlGroupandBASFwillreceivea16%minoritystakeintheStahlGroup2016-Avantium Synvina:JointventureofBASFandAvantiumestablished KolonPlastics KolonPlasticsandBASFtosetupjointventurefortheproductionofpolyoxymethylene(POM)inKorea2014-JointVentureCathodeActiveMaterials BASFandTODAKOGYOCORP.agreetoformajointventureforcathodeactivematerialsinJapan.2011-MiningSolutionsVenture BASFstartsaminingsolutionsventurewithachinesepartner PURSystemssite BASFopensapolyurethanesystemssiteinPetersburg(Russia)2010-JointVenture“Styrolution” BASFandINEOSintendtocombinetheirglobalstyrenicsbusinessinthejointventure“Styrolution"AcademicResearchAlliances:CaliforniaResearchAlliance(CARA):UCDavis,UCBerkeley,StanfordUniversity,UCSantaBarbara,Caltech,UCRiverside,UCSanDiegoNortheastResearchAlliance(NORA):HarvardUniversity,MIT,UniversityofMassachusettsJointResearchNetworkonAdvancedMaterialsandSystems(JONAS):ISIS–UniversityofStrasbourg,UniversityofFreiburg,ETHZurichNetworkforAsianOpenResearch(NAO):ChangChunInstituteofAppliedChemistry,TsinghuaUniversity,BeijingInstituteofTechnology,DalianInstituteofChemicalPhysics,FudanUniversity,

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 155

Page 156: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

ZhejiangUniversity,SichuanUniversity,TokyoInstituteofTechnology,KyotoUniversity,SeoulNationalUniversityCatalysisResearchLaboratory(CaRLa):HeidelbergUniversityBatteryandElectrochemistryLaboratory(BELLA):KarlsruheInstituteofTechnologyUniCatBASFJointLab(BasCat):TechnicalUniversityofBerlinInnovationLab(iL):KarlsruheInstituteofTechnology,HeidelbergUniversity

2.8. SALES REPS ANDDISTR IBUTORS BY REGION

fulllist:1.Scott Suddreth(SeedAdvisor) 919-208-7820 919-301-8746 [email protected] Yost(BusinessSalesRepresentative) [email protected]

2.9. TECHCETANALYST ASSESSMENT

Thecompanyhasbusinessindifferentfieldsincludingdispersions&pigments,carechemicals,nutrition&health,andperformancechemicals.It’ssemiconductorlithographyrelatedproductsaresolventsanddevelopers.BASFalsoengineersavarietyofphotoinitiatorsfordifferentapplicationsasshowninthetablebelowforthecompany's"Irgacure"line.Thesematerialsareusedintheformulationsofsomeproductsthataretypicallyusedbyelectronicpackagingproducers.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 156

Page 157: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

3. BREWER SC IENCE

3.1. BREWERSC IENCE - COMPANYOVERVIEW

YearEstablished 1981

HQLocation Rolla,Missouri,USA

ManufacturingLocations Rolla,Missouri,USAVichy,Missouri,USA

Sr.ExecutiveTeam Dr.TerryBrewer,President/CEODr.TonyD.Flaim,CTODanBrewer,ExecutiveDirector,IntangibleAssetsBarbaraPicarelli,ExecutiveDirector,FinancialOfficerRickMiller,ExecutiveDirector,GlobalSalesKimArnold,ExecutiveDirector,AdvancedPackagingBUDr.DanSullivan,ExecutiveDirector,R&DSemiconductorTechTomBrown,ExecutiveDirector,Corp.Productivity&SustainabilityAlanGerson,ChiefLegalOfficerDr.Srikanth(Sri)Kommu,ExecutiveDirector,SemiconductorBURamaPuligadda,ExecutiveDirector,AdvancedTechnologiesR&D

20120Revenues 98.4MUSD

#ofEmployees 400

Parent N/A

CompanyWebsite: https://www.brewerscience.com/

Linktoproductlist https://www.brewerscience.com/product-categories/lithography/

3.2. BUSINESS SEGMENTS

Segment

ProtectiveCoatings AlkalineProtectiveCoatings,PhotosensitiveProtectiveCoatings

Lithography Anti-ReflectiveCoatings::193-nmmaterialsfornegativetonedevelop(NTD)andpositivetonedevelop(PTD)processes,DUVmaterials,i-linematerials,DirectedSelf-Assembly,,ExtremeUltraviolet(EUV),

MultilayerSystems:Siliconpolymerhardmasksfornegativetonedevelop(NTD)andpositivetonedevelop(PTD)processes,Spin-on-carbons(SOCs),High-temperature-stableSOCsforpost-lithographydepositionprocesses,Siliconpolymergap-fillingmaterials

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 157

Page 158: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

PrintedElectronics Technologies,ResistiveTemperatureDetector,FlexSensorArray,MoistureSensors,PrintedInterconnectCables

Wafer-LevelPackaging BondingMaterials,Build-upMaterials,DebondingTechnologies

Electronicmaterialsoffered:- ARC®anti-reflectivecoatings- E2Stack®EUVmaterial- OptiStack®multilayerlithographysystems

BrewerScienceclaimstohavebeenworkingonEUVlithographystackoptimizationforover10years,includingworkonSOCBARClayersandonvariousorganicandin-organicSOH.AftersomeyearsofmaterialsR&D,in2010thecompanyreleasedanEUVSpin-OnHardmask(SOH)“AssistLayer”(AL)forEUVlithographyR&D:E2Stack®AL412material.InthatyearthecompanyalsobeganworkoninorganicSOH.SincethenthecompanyhasexpandeditsportfolioofEUVSOHtoworkwithInpriaMCR.BrewerSciencehaslongworkedonDirectSelf-Assembly(DSA)materialstobeabletoaccomplish“pitch-splittingwithchemistry.”ThecompanyhashadaJVwithArkemaonmaterialsR&Dforsomeyearsnowandisworkingwithnewer“high-chi”chemistriescapableofself-segregatinginto9-12nmhalf-pitchfeatures.

3.3. F INANCIAL OVERVIEW

Nopubliclyavailabledata

3.4. NEWS

January2020–BrewerScienceintroduceditsfirstmaterialforpermanentbondingfromtheEuropean3D&SystemsSummit.ThisnewmaterialisincludedwithintheBrewerScienceproductfamilyofPermaSOLmaterialsdesignedtoaddressdevice-andwafer-levelpackagingrequirements.

3.5. KEY CUSTOMERS (ESPEC IALLY ELECTRONICS/CHIP COMPANIES)

Intel–2018PreferredQualitySupplierAwardONSemiconductor–2017FrontEnd(FE)PerfectQualityPlatinumAward

3.6. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS

ManufacturingLocations description Capacity

BREWERSCIENCE,INC.13300Hwy28EastVichy,Missouri65580

produceadvancedmaterialsneededformanufacturingnext-generationsmartphones,tabletcomputers,andothermicroelectronicdevices

25,000squarefoot

JORDANVALLEYINNOVATIONCENTER524NorthBoonvilleAve.Springfield,MO65804-1004

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 158

Page 159: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Whatisfor Dateofcertificate

GreenCircleCertificationforZeroWastetoLandfill 2017

ISO9001 N/D

ISO14001 N/D

OHSAS19001 N/D

3.7. JVS ANDPARTNERSHIPS

NissanChemical–R&D,distribution,applicationssupportofglobalcustomersArkemaCorporation–partnershiptoproducehigh-qualitydirectedself-assembly(DSA)materialsforuseinsemiconductormanufacturingIndustrialTechnologyResearchInstitute(ITRI)–partnershiptoadvancethetechnicaldevelopmentandcommercializationofBrewerScience’sultrahigh-speedprintedsensorsIMEC–developmentofadvancedsemiconductorpatterningaswellasmaterialsenablingwafer-levelpackagingprocessesUniversityofChicago–collaborationwithDr.JuandePablotobetterunderstandthephysicalandchemicalcharacteristicsofdirectedself-assembly(DSA)ofnovelblockcopolymersNIST–next-generationlithographymaterialsandmeasuringofproperties,specificallywithregardstooutgassing,opticalproperties,andabsorptionversuswavelengthJordanValleyInnovationCenter(JVIC)–partneredwithastate-of-the-artfacilityaffiliatedwithMissouriStateUniversitytodevelopnewtechnologicalproducts,includingsensortechnology

3.8. SALES REPS ANDDISTR IBUTORS BY REGION

BrewerScience,Limited(England)NorthMill,2ndFloorDarleyAbbeyMillsDerby,EnglandDE221DZFax:+441332.545878BrewerScience,Limited(France)PhysicalAddress:17RuedelaFrise38000Grenoble,FranceMailingAddress:1RueFavart75002Paris,FranceBrewerScienceJapanG.K.(Japan)618MGMeguroEkimaeBldg.2-15-19,KamiOsaki,Shinagawa-ku,Tokyo,Japan141-0021Fax:+81(0)345401000BrewerScienceAsiaLtd.KoreaRepresentativeOffice(Korea)#1711,PoscoTowerYeoksam,Teheran-ro134,Gangnam-gu,

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 159

Page 160: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Seoul06235,RepublicofKoreaFax:+82-2-2015-7900Mobile:+82-10-9105-6353BrewerScienceShanghai(China)Rm.2608,No.1701BeijingXiRoadJinganZhonghuaMansion200040,Shanghai,People'sRepublicofChinaFax:+86(21)6288-0881BrewerScienceTaiwan(Taiwan)3F-2,142,Chung-HsiaoE.Rd.,Section4,Taipei,TaiwanFax:+886(02)27771541BrewerScienceAsiaLimited(HongKong)Room2002,20/F,WilsonHouse,19-27WyndhamStreet,Central,HongKongFax:+85225014311BrewerSciencecustomersinJapanandAsiaarealsoservedbypartnercompanyNissanChemical.

3.9. TECHCET ANALYST ASSESSMENT

BrewerScienceisawell-reputedsupplierofphotoresistrelatedmaterialsfortechandsemiconductorcompanies,focusedonbringingtogetheroptics,mechanics,andchemistry.Theyhavealong-standingcollaborationwithNissanChemicalthatisworldwide.Itisaprivatelyheldcompany.Theirstrongestsemiconductorproductsaretheirbottomantireflectivecoatingsandspinonetchmasks,suchasspinoncarbon.TheyhavenewmaterialstheyaremarketingforuseundercoatingsofEUVresistandsubstantialstrengthinDSAmaterials.Theyarenotaproducerofsemiconductorphotoresists.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 160

Page 161: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4. CHANGCHUN PETROCHEM ICAL

4.1. COMPANYOVERVIEW

YearEstablished 1964

HQLocation Taipei

ManufacturingLocations threefactoriesinTaiwan

Sr.ExecutiveTeam ChangChunGroup:Founders:LiaoMing-Kun,TsengShin-Yi,LinShu-HongChairman:LiaoLong-Xing;President:LinShu-Hong;VicePresident:TsengShin-Yi;PresidentofChangChunPetrochemical:ChenChou-Sin

2019OperatingincomeUSDBillions

3.47BUSD

totalcapitalUSDmillions 25.6MUSD

Parent ChangChunGroup

CompanyWebsite: https://www.ccp.com.tw/ccpweb.nsf/HomepageZH?OpenAgent

4.2. BUSINESS SEGMENTS

applications products

ElectronicMaterials(fulllist)

polybutyleneterephthalateresins,epoxyresins,propyleneglycolmonomethylacetate,insulationpaper,phosphorusflame

retardants,phenolicmoldingcompounds,n-butylacetate,α-CYANOACRYLATEADHESIVE,ELECTRONICGRADESTRIPPER

Semiconductor(fulllist)

moldcleaner,waferdicing/cleaningsolution,electronicgraden-butylacetate,stripper,HYDROGENPEROXIDE,stripper,TMAH,etc

Automotive(fulllist)

PVA,1,4-Butanediol(BDO),Vinylacetate-ethylenecopolymeremulsion(VAEEmulsion),PolybutyleneTerephthalate(PBT),etc..

Coatings&Adhesives(fulllist)

n-PROPYLACETATE,acrylamideaqueoussolutions,epoxyresins,PGMEA,Ethylene-vinylchloride,etc…

Chemicals&Syntheticresins(fulllist)

BisphenolA(BPA),furanno-bakeresins,Tetrahydrofuran(THF)

Others paper,homeappliance,medical,etc..

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 161

Page 162: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.3. FINANCIAL OVERVIEW

CY2019(InBillionNTD)

InUSDBillions(0.0324)

CY2018(InNTD)

InUSDMillions(0.0332)

%Change

Year-overYear(InNTD)

InUSD

Operatingincome NT$107.3 $3.5 NT$127.0 $4.2 -15.5% -17.6%

NetProfit NT$10.5 $0.3 NT$21.5 $0.7 -51.0% -52.2%

4.4. NEWS12/10/2020-ChangChunPetrochemicalReceives2020SupplierExcellencePerformanceAwardfromTSMC4.5. KEY CUSTOMERS (ESPEC IALLY ELECTRONICS /CHIP COMPANIES) AxaltaSupplieroftheYearaward(2018)–ChangChunPlastics

TSMC,Tesla

4.6. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS

MiaoliFactory-80Hectare(10000m2)Products:UselocalgastoproduceMethanol(1964),H2O2(1978)Address:No.246,FuxingFuanVillage36053,MiaoliCity,TaiwanMailiaoFactory-Procucts:PVA,ETHYLENEVINYLALCOHOLCOPOLYMER,METHYLACETATE,GLACIALACETICACIDAddress:No.27TaisuIndustrialPark,MailiaoTownship63801,Yunlin,TaiwanDafaFactory-Products:PVA,H2O2,TMAHAddress:No.11JianyeRd.,DafaIndustrialPark,DaliaoDistrict83164,Kaohsiung,Taiwan

AccreditationsandStandard Plants

ISO9000 DafaPlant,MailiaoPlant,MiaoliPlant

ISO14000 DafaPlant,MailiaoPlant,MiaoliPlant

ISO45001 DafaPlant,MailiaoPlant,MiaoliPlant

IATF-16949 MailiaoPlant,MiaoliPlant

ISO27001 ChangChunPetroChemical

ISO50000 DafaPlant,MailiaoPlant,MiaoliPlant

CNS-15506 DafaPlant

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 162

Page 163: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

4.7. JVS ANDPARTNERSHIPS

BelowisfromChuangChunGroup*1978 DuPont’stechnologieswereintroducedtoproducehydrogenperoxideatMiaoliFactory.1979- DairenChemicalCorporationwasfoundedtoproducevinylacetatemonomer(VAM)viathetechnologieslicensedfromBayerAG.1986- ThetechnologyofSumitomoBakeliteCo.,Ltd.ofJapanwasintroducedtoproducecoppercladlaminatesatChangChunPlasticsCo.,Ltd.’sHsinchuFactory.1988- TaiwanEngineeringPlasticsCo.,Ltd.,ajointventureamongChangChunGroup,HoechstAGofGermany,HoechstCelaneseCorp.ofUSA,andPolyplasticsCo.,Ltd.ofJapan,wasestablishedtoproducePolyoxymethylene(POM)engineeringplastic.1989- ChangChiangChemicalCo.,Ltd.wasestablishedjointlybyChangChunPlasticsCo.,Ltd.andAdekaCorporation(formerlyAsahiDenkaCo.,Ltd.).Adeka’stechnologieswereintroducedtoourKaohsiungFactorytomanufacturenon-toxicPVCstabilizers.Startingin1994,MiaoliFactoryalsobegantoproduceantioxidants,whichweresoldviaChangChiangChemicalCo.,Ltd.1990- TriplexChemicalCorporationwasestablishedjointlybyChangChunPetrochemicalCo.,Ltd.andYeeFongChemical&IndustrialCo.,Ltd.TriplexmainlyproducesepichlorohydrinwithtechnicalsupportfromShowaDenkoK.K.ofJapan.1998- SumitomoBakelite(Taiwan)Co.,Ltd.,ajointventurebetweenChangChunPlasticsCo.,Ltd.andSumitomoBakeliteCo.,Ltd.ofJapan,wasestablishedtoproduceepoxymoldingcompoundsforencapsulationofintegratedcircuits(IC).1999- TOKTaiwanCo.,Ltd.wasjointlyestablishedbyChangChunPetrochemicalCo.,Ltd.andTokyoOhkaKogyoCo.,Ltd.(TOK).Itproducesmainlyelectronicgradethinnersandstrippersforthesemiconductorindustry.Electronic-gradedevelopersforsemiconductorindustrywerealsoproducedatMiaoliFactoryutilizingTOKtechnologies.2000- RCCTTechnologyCo.,Ltd.wasjointlyestablishedbyChangChunPlasticsCo.,Ltd.andRogersCorporationtoproduceandsellflexiblecoppercladlaminates.2006- U-PicaResin(Changshu)Co.,Ltd.wasjointlyestablishedbyJapanU-PicaCompanyLtd.andChangChunGrouptoproducesaturatedpolyesterresinandunsaturatedpolyesterresininChina.

JVwithTokyoOhkaKogyo(TOK):TOKTaiwanCo.,Ltd.(photoresist)

4.8. SALES REPS ANDDISTR IBUTORS BY REGIONHeadquarter:Tel+886-2-2500-1800Fax+886-2-2501-8317America:+1-724-719-6257Address:SuiteG103,10475PerryHwy,Wexford,PA15090,USA

4.9. TECHCETANALYST ASSESSMENT

ChangChunisapartnerwithTOK.Theymakeavarietyofhighpuritysolventsforsemiconductoruse.

ParticularsolventstheymakearePGME,PGMEA,GBLandnBA.Theirotherproductsarenotrelated

tosemiconductormaterials

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 163

Page 164: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

5. DONGJ IN CHEMICAL

5.1. DONGJ IN SEMICHEMCO. , LTD. - COMPANYOVERVIEW

YearEstablished 1967

HQLocation Mapo-gu,Seoul,Korea

ManufacturingLocations Siheung,Gyeonggido,Korea(Shiwhaplant)Hwaseong,Gyeonggido,Korea(Balanplant)Eumseong-gun,Chungcheongbuk-do,Korea(Eumseongplant)Seogu,Incheon,Korea(Incheonplant)Beijing,China;Qidong,ChinaChengdu,China;Hefei,ChinaXi'an,China;Ordos,ChinaChongqing,China;Huizhou,ChinaFujian,China;Sichuan,ChinaNingxia,China;Wuhan,ChinaJakarta,IndonesiaChanghua,Taiwan

Sr.ExecutiveTeam BooSupLee,Chairman&CEOJoonHyukLee,ViceChairman-JunGyuLee,Co-President-DirectorHyun-MinYoon,DirectorYounghoJung,,Materialsforsemiconductor:DeputyGeneralManagerDongHoLee,LCDMaterials:AssistantManagerHyunCheolAn,OLEDMaterials:DomesticManagerEunsukKim,OverseasAssistantManagerKyungRockByun,PasteforTSPOFF-SETAg/ScreenAg/PhotoAg-DeputyGeneralManagerSungHoonLee,BM,OC,WBM-ManagerMyongHoLee,TouchModule-DeputyGeneralManager

2018Revenues 744MUSD

2018Capex N/D

#ofEmployees 1,329 (Asof12/31/2015)

Parent DongjinSemichemCo.,Ltd.(005290)

CompanyWebsite: https://www.dongjin.com/en/index.php

LinktoRecentCorporatePresentation:

https://www.dongjin.com/en/promotion/video_com.php

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 164

Page 165: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

5.2. BUSINESS SEGMENTS

SemiconductorPhotoresist Bottomanti-reflectivecoating(BARC)TCM(TopCoatingMaterial)Abrasive(CMPslurry)ThinnerStripperEtchantSiliconematerialsforLED(sealantmaterialforLED)

FPDs(FlatPanelDisplay) LCDrelatedmaterialsOLEDrelatedmaterialsPDPrelatedmaterials

TouchScreen developer,coloredresist,organicinsulator,andcolumnspacermaterialsforalternativeenergysources(solarcell,fuelcell)PVCFoamingagents

OtherElectronicproductsofferedinclude:PhotoresistCVDPrecursorsCMPSlurryPrecursordeliverysystemsMelaminemoldcleaner

5.3. F INANCIAL OVERVIEW

CY2018inMKRW

CY2018inMUSD(1111KRW/USD)

CY2017inMKRW

CY2017inMUSD(1067KRW/USD)

%change(KRW)

%change(USD)

NetRevenue ₩827231 $744 ₩785155 $736 5.4% 1.1%

OperatingProfit ₩71188 $64 ₩71541 $67 -0.5% -4.5%

CapitalExpenditure

-₩51232 -$46 -₩37672 -$35 36.0% 30.5%

EBITDA ₩106588 $96 ₩112803 $106 -5.5% -9.3%

NetProfit(aftertax)

₩47704 $43 ₩44803 $42 6.5% 2.25%

5.4. NEWS

5.5. KEY CUSTOMERS (ESPEC IALLY ELECTRONICS/CHIP COMPANIES)

HynixSemiconductorInc.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 165

Page 166: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

TaiwanSemiconductorManufacturingCo.Ltd.UnitedMicroelectronicsCorp.SamsungElectronicsLGDisplayBOETechnologyGroup(Jingdongfang)

5.6. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS

ManufacturingLocations Capacity

Siheung,Gyeonggido,Korea(Shiwhaplant) N/D

Hwaseong,Gyeonggido,Korea(Balanplant) Photoresist,CoatingforSemiconductor,PhotoresistforLCD&PDP

Eumseong-gun,Chungcheongbuk-do,Korea(Eumseongplant) N/D

Seogu,Incheon,Korea(Incheonplant) N/D

Beijing,China Stripper,Etchant,Thinner

Qidong,China Stripper,Etchant.,Photoresist,Thinner,Developeretc.

Chengdu,China Stripper,Etchant,Thinner,Developer

Hefei,China Stripper,Etchant

Xi'an,China Thinner

Ordos,China Stripper,Etchant,Developer

Chongqing,China Stripper,Etchant,Thinner

Huizhou,China Stripper,Etchant,Thinner

Fujian,China Stripper,Etchant,Thinner

Sichuan,China N/D

Ningxia,China N/D

Wuhan,China N/D

Jakarta,Indonesia N/D

Changhua,Taiwan PR,Stripper,Thinner

Plants MainProducts ContactInfo

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 166

Page 167: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Balanplant Semiconductormaterials:Photoresist,BARC,SOC,Thinner,CMPSlurry, Displaymaterials:OrganicInsulatingLayerMaterial,Photoresist,Stripper,ColorResist,BackElectrodeMaterials

TEL:+82-31-353-6340FAX:+82-31-353-6459

IncheonPlant Etchants(Al,ITO,Cr,Mo,Cu&Others), Lithium-ionbatteryslurry, MEA(MembraneElectrodeAssembly)

TEL:+82-32-578-5091FAX:+82-32-578-7226

SihuaPlant Foamingagents, Touchsensorandmodules, Hardplasticmobileback/frontcovers

TEL:+82-31-319-0011FAX:+82-31-319-0017

EumsungPlant Semiconductorchipthinners

TEL:+82-43-880-1868FAX:+82-43-883-1992

Whatisfor Dateofcertificate

WinspatentlitigationforCMPslurryfortungstenagainstCABOTCo.,USA

2017

SocialContributionEvaluationConferenceforSmallandMediumEnterprisesinKoreain2015-certifiedasacompanyforoutstandingsocialcontribution

2015

ISO9001 N/D

ISO14001 N/D

OHSAS18001 N/D

QC080000 N/D

5.7. JVS ANDPARTNERSHIPS

Affiliates:ShinamOilCo.,Ltd.-Yesan-gun,Chungcheongnam-do:produceselectronicmaterial-gradeorganicsolventofhighdegreeofpurityonthebasisofwasteorganicsolventpurificationfacilitiesandpurificationknow-how

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 167

Page 168: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Beijing,Hefei,Ordos,Chongqingplant:materialssub-contractoraimedatChinesecompaniesincludingtheBOETechnologyGroupwhichisspecializedindisplaytechnology,theseplantssupplyelectricmaterialsforLCD.(STRIPPER,ETCHANT,THINNER)Xi'anplant:sub-contractorofSamsungSemiconductorthatproducesandsuppliesfinechemicalmaterialsessentialfortheproductionofsemiconductors.(THINNER)

5.8. SALES REPS ANDDISTR IBUTORS BY REGION

N/D

5.9. TECHCETANALYST ASSESSMENT

DongjinisamassivecompanywithmanylocationsinEastAsia.ItseemsthattheyaremostproudoftheirhistoryasafoamingagentpioneerinKorea,buttheirsemiconductorproductioninChinaispotent,aswell.

DongjinbeganphotoresistproductionforsemiconductorHVMin1990,KrFCARmaterialproductionstartedin2000,andby2012ArFCAR.Since2009untilendof2018,theyhavereportedreceiptofawardsconsistently.Dongjinisprobablyoneoftheleading(ifnottheleading)SouthKoreancompaniesinthesemiconductormarket.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 168

Page 169: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

6. DUPONT

6.1. DUPONT-COMPANYOVERVIEW

YearEstablished 1897

HQLocation Wilmington,DE

ManufacturingLocations Australia,Austria,UnitedStates,Belgium,Brazil,Canada,ChinaColumbia,CzechRepublic,Egypt,Ethiopia,India,Indonesia,JapanKenya,Mexico,Philippines,SingaporeSouthAfrica,Taiwan,ThailandUnitedkingdom,Venezuela

Sr.ExecutiveTeam EdwardBreen,ExecutiveChairmanandChiefExecutiveOfficer;LoriKoch,ChiefFinancialOfficer;ErikHoover,SeniorVicePresidentandGeneralCounsel;RoseLee,President,SafetyandConstruction;RandyStone,President,TransportationandIndustrial,etc..

2019Revenues 21512MUSD

2019Capex 344MUSD

#ofEmployees 98000

CompanyWebsite: DuPont:https://www.dupont.comDOW:https://www.dow.com/en-us/market/mkt-electronics.html

6.2. BUSINESS SEGMENTS

Segment IndustriesServed

Electronics&Imaging circuitboard,industrial,display,anddigitalandflexographicprintingindustries.

Transportation&Industrial high-performanceengineeringpolymers,resins,adhesives,partsandshapes,siliconesandlubricantsenablematerialsystemssolutions

Nutrition&Biosciences advancehealthyandsustainableproductsforthefood,beverage,dietarysupplementandpharmaceuticalindustries;pioneeringbiotechnology

Safety&Construction high-performancefibersandfoams,aramidpapers,non-wovenstructures,waterpurificationtechnologies,andprotectivegarments

semiconductorindustry CMP,lithographymaterialsandservices,EKCspecializedremoversandcleanchemistries,DualDamascenecopper,SemiconductorPackagingMaterials,SemiconductorAssemblyMaterials,SemiconductorSiliconeMaterials,CompoundSemiconductor

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 169

Page 170: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

SemiconductorMaterials

CMPProducts:DowElectronicMaterialsprovidesmaterialsforplanarizingandpolishingsemiconductors,siliconwafers,andstoragemedia,including:HardandsoftpadsUniqueslurriesLithographyProductsandMaterials:193nmimmersionlithographymaterials,ArFPhotoresists,BARCs,Underlayers,Topcoats,Widerangeofphotoresists,EUV,ArF,KrF,i-Line,g-LineAnti-reflectants,ArF&KrFDevelopersandancillariesSpin-OnDielectrics:usedwidelyasinter-leveldielectricsinmultilevelmetalICdesignCVDPrecursors:silicon-basedCVDprecursorsfortheglobalsemiconductormanufacturingindustry.Compoundsemiconductor:100-and150-mmdiameterSiCsubstratesandepitaxyservicesDryFilmPhotoresistsWLPsolutionsforthreedimensionalandthroughsiliconvias(3D/TSV),bonding,fanout,bumping,pillarsandredistributiondielectricsaretestedandprovenforareaarraypackagerequirements,whetherstencilprinted,plated,pillaredorC4applied.

EKCPhotoresistResidueRemoversPhotoresistRemoversPost-CleanTreatmentsPost-CMPCleanersPost-EtchResidueRemoversRemoversforLEDFabricationWLPPhotoresistRemovers&TSVCleanersSemiconductorPackagingMaterialsBumpPlatingPhotoresistsCopperPillarPlatingCopperRedistributionLayerPackagingDielectricsSolderBumpPlatingThroughSiliconviaCopperSemiconductorAssemblyMaterialsDieAttachAdhesivesDieEncapsulantsSealAdhesivesPermanentBondingDielectricsThermalInterfaceMaterialsSemiconductorSiliconeMaterialsSiPrecursorsSpin-ondielectrics

6.3. FINANCIAL OVERVIEW

CY2019USDMillions

CY2018USDMillions

%ChangeYear-overYear

Revenue $21512 $22594 -4.8%

Operatingincome(Incomefromcontinuingoperationsbeforeincometaxes)

-$474 $600 -179.0%

Capex(PP&E) $344 $426 -19.2%

EBITDA $5640 $5905 -4.5%

NetProfit $600 $4000 -85.0%

SegmentSale $3554 $3635 -2.2%

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 170

Page 171: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

SemiconductorProducts

DebttoAssetratio 40.11%(2019) 48.95%(2018)

revenue2019 revenue2018 %change

Electronics&Imaging $3554 $3635 -2.23%

6.4. NEWS

02/02/2021-DuPontAnnouncesPreliminaryResultsofExchangeOfferinConnectionwithIFFTransactionDuPontannouncedtodaythepreliminaryresultsofitsexchangeofferinconnectionwiththepreviouslyannouncedseparationofitsnutritionandbiosciencesbusiness(the"N&BBusiness")andmergerofNutrition&Biosciences,Inc.("N&B"),aDuPontsubsidiaryformedtoholdtheN&BBusiness,withasubsidiaryofInternationalFlavors&FragrancesInc.("IFF")(NYSE:IFF).DuPontintendstoaccept,subjecttoproration,sharesofDuPontcommonstockvalidlytenderedintheexchangeoffer.09/20/2020-DuPontDivestsTrichlorosilaneBusinessanditsStakeinHemlockSemiconductorJointVentureDuPonttodayannouncedithasdivesteditstrichlorosilane(TCS)businessanditsequityinterestintheHemlockSemiconductorjointventuretoHemlockfor$725million.Thedealhasreceivedregulatoryapprovalandwasclosedatsigning.DuPontreceivedpre-taxcashproceedsof$550millionatclosingandexpectstoreceiveadditionalpre-taxcashconsiderationof$175millionoverthenext36-monthsassociatedwiththesettlementofanexistingsupplyagreementdisputewithHemlock.6.5. KEY CUSTOMERS

N/D

6.6. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDACCREDITAT IONS

fulllist:UnitedStates:93Taiwan:1Australia:3Thailand:3Austria:2UnitedKingdom:3Mexico:7China:9

Whatisfor

ISO9001 Berazategui,Chiba,Circleville,CooperRiver,Copley

ISO14001 DuBay,Global

ISO17025 January18,2018

ISO17025 Mechelen

ISOIEC17025 Pencader

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 171

Page 172: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

6.7. JVS ANDPARTNERSHIPS

EQUATETKOCMapTaPhutSadaraTheKuwaitStyreneCompanyTheSCG-DOWGroupHSCGroup

6.8. SALES REPS ANDDISTR IBUTORS BY REGION

NorthAmerica:+18003872122(TollFree)

AsiaPacific:Tel:4006612629(TollFree)

fulllist:UnitedStates:ContactCenter:ProductInformation+18333DUPONT(833-338-7668)(TollFree)+18665832583(TollFree)+13029968439(Toll)Torrance,California3868WCarsonStTorrance,CA90503

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 172

Page 173: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

(310)540-1100DelawareWilmington-ChestnutRunDelaware974CentreRdWilmington,DE19805Newark-Pencader,Delaware350BellevueRdNewark,DE19714Newark-Stine-HaskellLab,Delaware1090ElktonRdNewark,DE19711Wilmington-ExperimentalStation,Delaware200PowderMillRdWilmington,DE19803

6.9. TECHCET ANALYST ASSESSMENT

DuPonthasalargesemiconductormaterialsproductlineandstrengthinR&D.Nowthatthe

DuPont/Dowmergerandsplitisover,theyareanindependentcompanywithasubstantialshareof

theirbusinessfocusedonsemiconductorproducers.Nowitshouldbeeasierforthemtofocusonthis

business.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 173

Page 174: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

7. EASTMAN

YearEstablished 1920

HQLocation CorporateHeadquartersinKingsport,Tennessee

ManufacturingLocations ManufacturingSite1019OsborneStreetCanogaPark,CA91304USAResearch&Development3788FabianWayPaloAlto,CA94303USA

Sr.ExecutiveTeam MarkJ.Costa,ChairmanandChiefExecutiveOfficerLucianBoldea,ExecutiveVicePresidentMarkK.Cox,SeniorVicePresidentStephenG.Crawford,SeniorVicePresidentCurtE.Espeland,ExecutiveVicePresident

2019Revenues 9,273MUSD

2019Capex 425MUSD

#ofEmployees 14,500

Parent N/A

CompanyWebsite: https://www.eastman.com/Pages/Home.aspx

LinktoRecentCorporatePresentation:

N/A

7.1. BUSINESS SEGMENTS

Segment IndustriesServed

Adhesives Amorphouspolyolefins,Functionalizedpolyolefins,Hydrocarbonresins,Hydrogenatedhydrocarbonresins,Hydrogenatedpuremonomerresins,Puremonomerresins,Resindispersions,Rosinresins,Talloilandfattyacidderivatives,Waterdispersiblepolymers

Coatings Solvents,MethyAcetate,etc..

ConsumerElectronics

other

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 174

Page 175: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

7.2. FINANCIAL OVERVIEW

CY2019(millionUSDollar)

CY2018(millionUSDollar)

%ChangeYear-overYear

Revenue $9273 $10151 -8.6%

GrossProfit $2234 $2479 -9.9%

Capex(PP&E) $425 $463 -8.2%

EBITDA $1731 $2156 -19.7%

NetProfit $762 $1084 -29.7%

SegmentSaleSemiconductorProducts

$8404 $9233 -9.0%

DebttoAssetratio prioryear:38.56% - currentyear:37.34%

7.3. NEWS

11/30/2020-EastmantoincreasetertiaryaminescapacityinGhentbyendof2021

Tohelpitscustomersmeettheneedforhandsanitizers,wipes,sprays,liquiddishsoapandotherhouseholdcleaningproducts,Eastmanwillsignificantlyincreaseitscapacitytoproducetertiaryamines(DIMLA)atitsGhent,Belgium,facilitybytheendof2021.

"Astheworld'sleadingproduceroftertiaryamines,wetakeourresponsibilitytoourcustomersseriouslyandwanttosupporttheirgrowthastheymaketheworldacleanerandsaferplace,"saidBobAsh,productdirectorforthecompany'scarechemicalsbusinessgroup."That'swhywe'remakingamajorinvestment,whichwillhelpusbetterservethisimportantmarket."

EastmanhasalreadysignificantlyincreasedcapacityatitsPace,Florida,DIMLAunit,makingitthelargesttertiaryamineunitintheworld.Ashsaidfurtherinvestmentsarebeingconsideredthereaswell.

11/17/2020-GruppoMaip,Eastmanpartneronsustainableautomotivematerials

EastmanandGruppoMaip,aleadinginternationalplasticsformulatorandcompoundproducerbasedinTurin,Italy,haveannouncedapartnershiptoformulatenewsustainablepolymersolutionsforautomotiveinteriorapplications.ItwillenableanexclusiveportfolioofformulationsbasedonEastman’sAdvancedCircularRecyclingtechnology,offeringarangeofbothbiobasedandmolecular-recycledcontentsolutionswithEastmanTritan™RenewcopolyesterandTrēva™Renewengineeringbioplastic.

10/29/2020-EastmansignsstrategicpartnershipwithSEENAG

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 175

Page 176: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Toaddresstheglobalchallengeofbirdscollidingwithglassinbuildings,Eastman,manufacturerofSaflex®PVBinterlayersforarchitecturalapplications,ispleasedtoannounceacollaborationwithSEENAG.

"Eastmancontinuallysearchesforleadingentrepreneursintheglassindustry,"saysPriyaKalsi,segmentmarketmanagerwithEastman."WesawagreatopportunitytocollaboratewithRouvenSeidler,founderofSEENAGandtheinventorofthisuniquesolution.Birdsafetyisbecomingincreasinglyimportantthroughouttheworld.Thiscollaborationwillenableglassprofessionalstosupporttheconstructionindustrywithahighlyeffective,aesthetic,bird-friendlyglazingsolution."

10/13/2020-MarchonEyewear,Inc.partnerswithEastmantobethefirsttoproduceandselleyewearmadewithEastman'ssustainableacetate

Today,MarchonEyewear,Inc.,oneoftheworld'slargestmanufacturersanddistributorsofqualityeyewearandsunwear,announcedanindustry-firstpartnershipwithEastman,aglobalspecialtymaterialscompany.MarchonwillbethefirsteyewearcompanytoproduceandsellframesusingEastmanAcetateRenew,afullysustainablematerialthatwillbeusedinMarchon'sproprietaryandlicensedbrandsframes.

"WeareveryproudtoannounceourpartnershipwithEastman,"saidNicolaZotta,PresidentandCEOofMarchonEyewear,Inc."AsthefirsteyewearmanufacturertocollaboratewithEastman,thisrelationshipwillbebeneficialforus,asitwillsignificantlyreducewasteandgreenhousegasemissions,whilemaintainingourcommitmenttosafetyandsustainabilitywithoutcompromisingthequalityofourframes.”

7.4. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDACCREDITAT IONS -EASTMAN

Title Address

EastmanChemicalCompanyformore

200SouthWilcoxDriveKingsportTN37660-5280

7.5. SALES REPS ANDDISTR IBUTORS BY REGION

PartnerName

Contact Email Phone Address

Aero8 RickParnell

[email protected] Tel:(336)776-9152Mobile:(336)408-1743

POBox11508Winston-Salem,NC27116

Aviall BethGalloway

[email protected] Fax905-676-9046TollFree1-800-AVIALL-1

7425TranmereDrive,Unit2Mississauga,OntarioL5S1L4Canada

CustomerService:CorporateHeadquarters1-800-EASTMANSolutiaGeneralinquiries1-800-325-4330

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 176

Page 177: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Media:TracyKilgoreAddington

423-224-0498/[email protected]

Investors:

GregRiddle

212-835-1620/[email protected]

7.6. TECHCETANALYST ASSESSMENT

Eastmanhasmorethan50manufacturingsitesaroundtheglobeandtargetvariousmarketsand

consumers.Itisasignificantsupplierofsolventsusedinsemiconductormaterialsandsolventsused

insemiconductorproduction.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 177

Page 178: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

8. EVERL IGHT CHEMICAL

8.1. EVERL IGHT CHEMICAL - COMPANYOVERVIEW

YearEstablished 1972

HQLocation 5~6F.,No.77,Sec.2,DunHuaS.Rd.,Taipei106,Taiwan

ManufacturingLocations

1stPlant:No.271,ZhongshanN.Rd.,DayuanDist.,TaoyuanCity,Taiwan2ndPlant:No.12,GongyeRd.3,GuanyinDist.,TaoyuanCity,Taiwan3rdPlant:No.937,Sec.2,ChenggongRd.,GuanyinDist.,TaoyuanCity,Taiwan4thPlant:No.399,DatanN.Rd.,GuanyinDist.,TaoyuanCity,TaiwanPharmaceuticalFactory:No.12,GongyeRd.3,GuanyinDist.,TaoyuanCity,TaiwanElectronicChemicalFactory:No.12,GongyeRd.3,GuanyinDist.,TaoyuanCity,Taiwan

Sr.ExecutiveTeam Chien-HsinChen,Chairman,Ding-ChuanChen,DirectorWei-WangChen,Director,Chien-MingChen,DirectorYung-LongLee,Director,Wen-YuenKen,DirectorKuang-FengTsai,Director

2019Revenues 9332MTWD/302MUSD

2019Capex N/D

#ofEmployees 1,947

Parent N/A

CompanyWebsite: https://en.ecic.com/

LinktoRecentCorporatePresentation:

https://ecic.com/wp-content/uploads/2019/12/ecicAR2018EN.pdf

8.2. BUSINESS SEGMENTS

Segment

ColorChemicals Textiledye,Leatherdye,High-puritydyeusedininkjetprinting,High-puritydyeusedindigitaltextileprinting,Inkofdigitaltextileprinting,Anodizedaluminumdye,Paperdye,Functionalchemicalsusedintextile,Solarenergydye

SpecialtyChemicals UV-absorber,Hinderedaminelightstabilizer,Formulatedproduct,FunctionalMasterbatches,Antioxidants,High-molecularpolymerizabledye

Toner Coloredtoner,Blacktoner,Tonerfinishedcartridges,Carrieranddeveloper

ElectronicChemicals UseinIC,LCD,LEDandTPindustry:PositivePhotoresist,BlackMatrixPhotoresist,Developer,Slurry,Wetchemicals,FunctionalinkofthermosettingandUVcuring,Electronicfunctionalchemicals

Pharmaceuticals MaterialmedicineforProstaglandin,Othermaterialmedicines

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 178

Page 179: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

8.3. FINANCIAL OVERVIEW

CY2018inmillionsofTWD

CY2018inmillionsofUSD(30.58TWD/USD)

CY2019inmillionsofTWD

CY2019InmillionsofUSD(1TWD/0.0324USD)

%ChangeYear-overYear(TWD)

%ChangeYear-overYear(USD)

Revenue NT$9621 $315 NT$9332 $302 -3.0% -3.9%

Operatingincome NT$7406 $242 NT$7204 $233 -2.7% -3.6%

NetProfit NT$402 $13 NT$362 $12 -10.0% -10.8%

8.4. NEWSN/D

8.5. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS1976-PurchasedthelandofDayuanIndustryParkinTaoyuanCityandsetupthe1stPlant

1987-PurchasedthelandofGuanyinIndustryParkinTaoyuanCityandsetupthe2ndPlant.

1992-PurchasedthelandofGuanyinIndustryParkinTaoyuanCityandsetupthe3rdPlant

2011-PurchasedthelandofTaoyuanTechnologyParkinTaoyuanCityandsetupthe4thPlant.

ISO9001 1994ISO9002 1993ISO14001 1996OHSAS18001 2001ISO13485 2004BS25999 2012PAS2050 2012ISO/TS14067 2012ISO14604-1 2014ISO22301 2014ISO45001 2019

8.6. JVS ANDPARTNERSHIPS , M&A

1986-PurchasedtheCTCIBuildingonDunhuaS.Rd.inTaipeiCityastheGroup’sheadquarters2008-MergedEverlightHondurasS.A(Honduras)2011-MergedAndaSemiconductorTechnology(Suzhou)Co.,Ltd.2013-MergedDailyCareBioMedicalInc.

8.7. TECHCET ANALYST ASSESSMENT

TheirElectronicChemicalssegmentmanufacturesphotoresists,developersandpolishingmaterials.TheirphotoresistsareGandIlinematerialsfocusedonLCDs,touchpanels,LEDsandICmanufacturing

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 179

Page 180: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

9. FU J I F I LM

9.1. FUJIF ILMCORPORATION- COMPANYOVERVIEW

YearEstablished 1934

HQLocation Akasaka,Minato-ku,Tokyo,Japan

Manufacturing/OfficeLocations

NorthKingstown,RI;Mesa,AZZwijndrecht,Belgium;Bernin,FranceMunchen,Germany;Dresden,GermanyCernuscoSulNaviglio,Italy;Tokyo,JapanShizuoka,Japan;Suzhou,ChinaShanghai,China;Wanchai,HongKongChuncheongnam-do,Korea;Seoul,KoreaSunshinePlaza,Singapore;Hu-KouXiang,HsinchuCounty,Taiwan

Sr.ExecutiveTeam ShigetakaKomori,ChairmanandCEOKenjiSukeno,PresidentandCOO

2019Revenues 21.27BillionUSD

2019Capex 0.56BillionUSD

#ofEmployees 31,844

Parent FujifilmHoldingsCorporation

CompanyWebsite: http://www.fujifilm.com/

LinktoRecentCorporatePresentation:

https://ir.fujifilm.com/en/investors/ir-materials/earnings-presentations/main/018/teaserItems4/0/linkList/0/link/ff_20203q3_001.pdf

9.2. BUSINESS SEGMENTS

ImagingSolutions Imaging:Colorfilmsandothers,electronicimaging,colorpaperandchemicals,photofinishingequipment,FDiservices

OpticalDevices:cameramoduleformobilephones,TVlens/Cinelens

HealthcareandMaterialSolutions

IndustrialProducts:Electronicmaterials,Inksforconsumer-useinkjetprinters,Industrialinkjetprinterheads,etc..

DocumentSolutions Officecopymachines,multifunctiondevices,printers,productionsystemsandservices,paper,consumables,officeservices

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 180

Page 181: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

ElectronicMaterialsoffered:

PatternandEtch:• Photoresists• EdgeBeadRemovers• Developers• EtchantsRemoval:• Cleaners• StrippersCMP:• CopperCMPSlurries• TSVCMPSlurries• BarrierCMPSlurries• OtherCMPSlurriesWetChemicals(seebelow)

DepositLayer:• AdvancedlowK• Gapfillandrecovery• Dielectric• Dielectriccomponents• DeliveryEquipmentModifyElectricalProperties:• Dopants• DeliveryequipmentProtectiveCoatingandRDL:• Photosensitive–aqueousdevelopable• Photosensitive–solventdevelopable• Non-photosensitive

PhotoresistproductsofferedThephotoresistproductlineencompassesawiderangeofapplicationsincludingbroadband,g-line,i-line,248nm,193nm(dryandimmersion),e-beamandEUVtechnology.Theportfolioalsoincludesnegativetonedevelopment(NTD)materialsofCARusingsolventstoaddressnextgenerationneeds,includingdoublepatterning.• ArF(193nm)• KrF(248nm)–PFOSandPFASfree• I-Line,g-LineandBroadband-sub-0.30µmto>1.0µmresolution• Negative(Polyisoprene-based)• E-Beam

UltraPureSolventBlends:o UltraPureSolventBlends -Formulatedchemistries whichexhibit excellentsolvency,with

lowvolatilityandodor.o UltraPure SolventBlendsforPhotoresistRemoval -Formulatedchemistriesforefficient

photoresistremovalwithoutcorrosion.o UltraPurePrimarySolvents -Purificationexpertisetomeetcustomerdemands.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 181

Page 182: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

9.3. FINANCIAL OVERVIEW

CY2019inBillionsofJPY

FY2019MUSD(110.94JPY/USD)

FY2018inBillionsofJPY

FY2018inMUSD(106.28JPY/USD)

%ChangeYear-overYear(JPY)

%ChangeYear-overYear(USD)

Revenue ¥2360.1 $21.3 ¥2423.5 $22.8 -2.6% -6.7%

Operatingincome ¥203.1 $1.8 ¥158.4 $1.5 28.2% 22.8%

Capex(PP&E) ¥61.7 $0.6 ¥67.5 $0.6 -8.6% -12.4%

EBIT ¥224.7 $2.0 ¥177.9 $1.7 26.3% 21.0%

NetProfit ¥176.7 $1.6 ¥120.8 $1.1 46.3% 40.1%

9.4. NEWS

01/14/2021-FujifilmandtheCenterforAdvancedBiologicalInnovationandManufacturingAnnounce76millionUSDinFundingforManufacturingandInnovationCenter

FUJIFILMCorporationandTheMassachusettsCenterforAdvancedBiologicalInnovationandManufacturing(CABIM),todayannouncedthatithassecured76millionUSDinfinancingandsignedaleasefora40,000square-footsiteinWatertown,MassachusettsatTheArsenalontheCharles,ownedandoperatedbyAlexandriaRealEstateEquities,Inc.TheCenterwilladvanceresearchanddevelopmentincellandgenetherapy,geneediting,immunotherapy,andbiotechnology.FUJIFILMDiosynthBiotechnologies,theBioCDMO*1subsidiaryofFUJIFILMCorporation,willprovideGMP*2contractprocessdevelopmentandmanufacturingservicesaspartofitsroleinthenewmanufacturingandinnovationcenter.

01/07/2021-FujifilmtoInvestOver200Billionyen(2BillionUSD)toEstablishNewLarge-ScaleCellCultureManufacturingSiteforBiopharmaceuticalsintheU.S.A.

01/05/2021-Fujifilmtoinvest$40MilliontoEstablishaNewState-of-the-ArtViralVectorandAdvancedTherapyProcessDevelopmentandManufacturingFacility

9.5. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDACCREDITAT IONS

Subsidiaries/Manufacturinglocations:

FUJIFILM(China)InvestmentCo.,Ltd.-Shanghai,China

Business:DigitalCameras,PhotofinishingProducts,Onlineprinting,MedicalSystems,LifeScienceProducts,RecordingMedia,MotionPictureProducts,GraphicSystems,OpticalDevices,Endoscopy

FUJIFILMElectronicMaterials(Suzhou)Co.,Ltd.-Suzhou,China

Business:Photoresistsandothersemiconductormanufacturingprocessmaterials

FUJIFILMElectronicMaterials(HongKong)Co.,Ltd-HongKong,China

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 182

Page 183: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Business:Photoresistsandothersemiconductormanufacturingprocessmaterials

FUJIFILMElectronicMaterialsManufacturingKoreaCo.,Ltd.-l,Korea

Business:Photoresistsandothersemiconductormanufacturingprocessmaterials

FUJIFILMManufacturingU.S.A.,Inc.

Establishedin1988,over500acres,over500associates,over2.5millionsquarefeetofmanufacturingspace,manufactureofpre-sensitizedprintingplates,inkjetandconventionalphotographicpaper,andQuickSnapone-time-usecameras

9.6. SALES REPS ANDDISTR IBUTORS BY REGION

(ManydistributorsaroundtheworldofPhotographicFilmandPaper,MedicalSystemsandEndoscopy,butlittleaboutSemi)

USA/Canada:

Varitronics,LCC

Business:IndustrialProductsTel:763-536-6250

Taiwan:

AIKWANGTECHCO.,LTD.

Business:IndustrialProductsTel:886-7-375-7377

9.7. TECHCET ANALYST ASSESSMENT

Fujifilmlately,ithasbeenfocusedondevelopingitsbioCDMObusinessandimagingsolutionsbusinessmorethanitsotherbusinesssegments.ThecompanysufferedoverthelastfewyearsduetoaccountingscandalsandafailedattempttoacquireXerox,andduringthistimeitseemstohavelostgloballithographymaterialsmarketshare.FujiFilmElectronicMaterialsbusinesshasafulllineofresistsfromcyclizedrubbertoArFresist.Theyareparticularlystronginmaterialsfornegativetonedevelop(NTD)andtheyhaveapatentpositionthatprotectsandhelpstheprofitabilityofdeveloperandrinsesalesforNTD.Thedeveloperandrinsearemostlysimplesolventsandcouldbesubstitutedbyothercompaniesifnotforthepatentprotection.Fujifilmisalsoworkingonnanoimprintlithographyresists.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 183

Page 184: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

10. INPR IA

10.1. INPR IA - COMPANYOVERVIEW

YearEstablished 2007

HQLocation Corvallis,OR,U.S.A.

ManufacturingLocations Corvallis,OR,U.S.A.

Sr.ExecutiveTeam JimLaCasse,Chairman&DirectorAndrewGrenville,Director;CEO,InpriaCorporationGregFleming,Director;InvestmentDirector,ALIADVentureCapitalSungHoonYang,Director;SeniorDirector,SamsungVenturesChrisProgler,Director;CTO,PhotronicsHeejinChung,Observer;SKhynixSeanDoyle,Observer;IntelCapitalMichaelFalcon,Observer;BandgapVenturesMarkSlezak,Observer;ExecutiveVicePresident,JSRMicroTomKingsley,Observer;OregonAngelFundMichaelStewart,Observer;AppliedMaterialsChrisRosenthal,Observer;TOK

2019Revenues 6.5MUSD

2019Capex N/D

#ofEmployees 38

Parent N/A(startupbackedbystrategicinvestors)

CompanyWebsite: https://www.inpria.com/

LinktoRecentCorporatePresentation:

N/D

10.2. BUSINESS SEGMENTS

photoresists,developerandotherancillarymaterials

EUVphotoresists

10.3. F INANCIAL OVERVIEW

Nopubliclyavailabledata

10.4. NEWS

10/21/2020-Inpriaseemstohaveexpandedthisproductionfacilitywithfundssecuredbyattractinga

seriesCinvestmentof31milliondollars(about37.4billionwon)inFebruary.SeriesCinvestmentwas

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 184

Page 185: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

ledbyJapaneseJSR,anexistinginvestor,andSKHynixandTSMCPartnershavejoinedasnew

investors.

10.5. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS

ManufacturingLocations Capacity

Corvallis,OR,U.S.A. N/D

10.6. JVS ANDPARTNERSHIPS

InvestorsinInpriaincludeSamsung,Intel,AirLiquideVentureCapitalALIAD,AppliedMaterials,TOK,

JSRMicro,BandgapVentures,OregonAngelFund,SKHynix,TSMC

10.7. SALES REPS ANDDISTR IBUTORS BY REGION

N/D

10.8. TECHCETANALYST ASSESSMENT

InpriawasfoundedbasedontechnologydevelopedattheUniversityofOregonthatmakesspinon

metalresistsbastedonmetaloxidessuchasHafniumandtinoxides.Thehighmetalcontentsinthese

resistsenablesusefullyhighlevelsofEUVlightabsorptionandcanfunctionasanexcellentetchmask.

However,substantiallydifferentprocessflowsinsideasemiconductorfabareneededtousethese

materials.Inpriahasdonewelldevelopingthesematerials.Theyhavedemonstratedexcellent

resolutionandarebeingevaluatedatmanyplaces.

Theyproduced1000gallonsofresistin2019,andexpandedmanufacturingcapacityto4000gallonsa

year.Thisvolumemeanstheircustomerareusingtheseresistsinpilotmanufacturingand/orvolume

manufacturing.Theyalsoreceived$31innewfundingfromaconsortiumthatincludesallthemajor

EUVusingsemiconductorproducersalongwithJSRandotherinvestors.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 185

Page 186: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

11. I RRES I ST IB LE MATER IA LS

11.1. IRRES IST IBLE MATERIALS - COMPANYOVERVIEW

YearEstablished 2010

HQLocation Birmingham,England

ManufacturingLocations Birmingham,England

Sr.ExecutiveTeam StuartMcIntosh,ChairmanMarkShepherd,CEOAlexRobinson,CTOWarrenMontgomery,VicePresidentofCommercializationandStrategy

2019Revenues 4MUSD

2019Capex N/D

#ofEmployees 23

CompanyWebsite: https://irresistiblematerials.com/

LinktoRecentCorporatePresentation:

N/D

11.2. BUSINESS SEGMENTS

Segment

ExtremeUltravioletLithography(EUV)resist

IM’spatentedsmallmoleculeis~10Xsmallerthanpolymersusedinexistingstate-of-the-artresists,enablingsmallerfeaturessizesandimprovingResolution(R)

IM’spatentedMulti-Triggerchemistrycreatesahighchemicalgradientattheboundariesofpatterns,reducingblurringeffectsandimprovingLine-EdgeRoughness(L)

The‘Multi-Trigger’processamplifieschemicalreactionsintheresist,increasingSensitivity(S);and

ThehighabsorbencyofIM’spatentedsmallmoleculeandPAGfurtherincreaseresistSensitivity.

ElectronBeamResist

SpinonCarbon

11.3. FINANCIAL OVERVIEW

Nopubliclyavailabledata

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 186

Page 187: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

11.4. NEWS

IrresistibleMaterialsLtdisselectedbytheEETimesasoneofthetop100siliconstart-upstowatchin

2020.watch:

11.5. KEY CUSTOMERS (ESPEC IALLY ELECTRONICS /CHIP COMPANIES)

11.6. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS

ManufacturingLocations Capacity

Birmingham,England N/D

11.7. JVS ANDPARTNERSHIPS

UniversityofBirmingham(UK)–IrresistibleMaterialsisaspin-outcompanyoftheuniversity.

Nano-CInc.–Nano-Cisaleadingdeveloperofnanostructuredcarbonforuseinenergyand

electronicsapplicationswhichincludefullerenes,carbonnanotubesandtheirchemicalderivatives.

11.8. SALES REPS ANDDISTR IBUTORS BY REGION

[email protected]

• IrresistibleMaterialsLtd,BirminghamResearchPark,VincentDrive,Birmingham.B152SQ.

11.9. TECHCET ANALYST ASSESSMENT

IrresistibleMaterialsisastartupinEnglandwithpersonnelfromtheUniversityofBirmingham.They

aredevelopedEUVresistsandspinoncarbonmaterials.Theyhaveaspecialadditivetechnologyfor

theirresistthattheyclaimimprovesitsperformance.Thisadditiveisusedfornegativetoneresist

technology.Theyhavespinoncarbontechnologythatusesfullerenematerialsforimproved

performance.Theirmaterialsseemcompetitiveinperformance,particularlythespinoncarbon

material.However,theydonothavemanufacturingfacilities.Theirstrategyistodevelopthe

technologyandthenlicenseitorsellthecompanytoanestablishedmaterialsproducer.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 187

Page 188: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

12. J IANGSU HANTOPPHOTO-MATER IA LS

12.1. COMPANYOVERVIEW- J IANGSUHANTOPPHOTOMATERIALS CO. , LTD. -

YearEstablished 2014

HQLocation Xuzhou,Jiangsu,China

ManufacturingLocations Xuzhou,Jiangsu,China

Sr.ExecutiveTeam ZhiweiFu,Chairman

2020Revenues N/D

2020Capex N/D

#ofEmployees N/D

Parent XuzhouB&CChemicalCo.,Ltd.

CompanyWebsite: https://www.htphotrom.com/

LinktoRecentCorporatePresentation:

N/D

12.2. BUSINESS SEGMENTS

Products

Encapsulationphotoresist detailsElectronbeamphotoresist detailsI-Line,Arf,Krfphotoresist details

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 188

Page 189: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

13. J IANGSU NATA OPTO -E LECTRONIC MATER IA L

13.1. COMPANYOVERVIEW-J IANGSUNATA OPTO-ELECTRONIC MATERIAL

YearEstablished 2000

HQLocation No.67,PingshengRoad,Shengpu,SuzhouIndustrialPark

ManufacturingLocations SuzhouIndustrialParkAnhuiQuanjiaomanufacturingbaseSuzhouMetal-organicsourcemanufacturingbase

Sr.ExecutiveTeam Fengjiansong,Chairman/PresentZhangXingguo,Vice-president;PanLiping,Vice-presidentXuCongying,Director;JosephReiser,Director

2019operatingincome RMB234.5M/33.94MUSD

#ofEmployees 269

CompanyWebsite: http://www.natachem.com/

LinktoRecentCorporatePresentation:

http://www.natachem.com/download/076399f4b40452aa22e57bb39088bf9c.pdf

13.2. BUSINESS SEGMENTS-J IANGSUNATA OPTO-ELECTRONIC MATERIAL

Segment IndustriesServed

Metal-organicsource Trimethylgallium,Magnesocene,Diethylzinc,di-t-butyltellurium

ALD/CVDprecursor Hexachlorodisilane,Tetraethylorthosilicate,Octamethyl,Trimethylborate,Tetramethylsilane,etc..

ElectronicSpecialGases Phosphine,Arsine,Phosphine(AGS),Arsine(AGS),Borontrifluoride(AGS),B2H6MIXTUREGAS,SiH4MIXTUREGAS,etc…

13.3. FINANCIAL OVERVIEW

FiscalYearFinancialOverview(cumulative3quarters)

Y20193Q(tenthousandYuan)

InUSD(1yuanto0.14USDollar)

FY20183Q(tenthousandYuan)

InUSD(1yuanto0.14USDollar)

%ChangeYear-overYear(Yuan)

InUSD

Revenue CN¥21890 $3065 CN¥18240 $2554 20.0% 20.0%

Operatingincome CN¥5246 $734 CN¥6275 $879 -16.4% -16.4%

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 189

Page 190: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Capex(PP&E) CN¥18230 $2552 CN¥2812 $394 548.3% 548.3%

EBITDA CN¥5656 $792 CN¥6479 $907 -12.7% -12.7%

NetProfit CN¥5047 $707 CN¥5364 $751 -5.9% -5.9%

SegmentSaleSemiconductorProducts

N/D N/D N/D N/D N/D N/D

DebttoAssetratio

prioryear:8.38%

currentyear:32.28%

13.4. NEWS

07/16/2020-Ningbonandaphotoelectricreceivedasubsidyof15.84447millionyuan

JiangsuNataOpto-ElectronicMaterialCo.,Ltd(hereinafterreferredtoasthe"company")isawholly

ownedsubsidiaryofningbontuphotoelectricMaterialCo.,Ltd.(hereinafterreferredtoas"ningbontu

photoelectric")recentlyreceivedbeilunningboeconomicandtechnologicaldevelopmentzone

managementcommitteeallocated1584.47tenthousandyuan,thelocalfinanceforimplementation

oftheMinistryofScienceandTechnology"special"02"ArFphotoresistproductdevelopmentand

industrialization".

13.5. KEY CUSTOMERS (ESPEC IALLY ELECTRONICS /CHIP COMPANIES)

San’anOptoelectronics

HCSemiTek

CanyangOptoelectronics

ChallentechInternationalCorporation

HighChem(Shanghai)InternationalTrading

ChangzhouHengweiJinghuaEquipment

HanGuang

13.6. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDACCREDITAT IONS

Region products Capacity

Suzhou,China MOSourceSeriesProducts 23069m²

Quanjiao,China SpecialtyGases 88948m²

Suzhou,China SuzhouMetal-organicsourcemanufacturingbase N/D

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 190

Page 191: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

AccreditationsandStandard Dateofissuance

ISO9000 11/01/2018

ISO14000 05/11/2017

ISO9001 N/D

OHSAS18001 N/D

13.7. SALES REPS ANDDISTR IBUTORS BY REGION

Region Address Name Fax Phone Email

China No.67,PingshengRoad,Shengpu,SuzhouIndustrialPark

N/D 86-512-62527116

86-512-62520998

[email protected]@natachem.com

Taiwan No.21TaiheRoad,ZhubeiCity,HsinchuCounty30267

JinZhenren

886-3-5536515 886-3-5536525

[email protected]

Japan 8F,3rdSakurabashiBuilding,4-7-1Hatchobori,Chuo-ku,Tokyo104-0032,Japan

HanWanling

81-3-5542-0575 81-3-5542-0580

[email protected]

USA POBox1099,25FrancisJ.ClarkeCircle,Bethel,Connecticut06801

LeonChang

N/D 86-13801998337

[email protected]

13.8. TECHCETANALYST ASSESSMENT

JiangsuNataisanenterprisespecializinginR&D,productionandsalesofhigh-purityelectronic

materials.ThecompanywaslistedontheShenzhenStockExchangeonAugust7,2012.Thecompany

hasagoodrelationshipwithNanjingUniversity.Thefounder,ProfessorXiangxiangSun,onceworked

atNanjingUniversity.Thecompanyproducesmetallicgaseslikearsineforuseinthesemiconductor

industry.Atpresent,thecompany'sresearchprojecttodevelopArFresistisincludedinoneofthe

nationalkeyprojects.Financialstatementsshowthatthecompany'stotalrevenueisrelativelyhealthy

andisexpanding.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 191

Page 192: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

14. J SR

14.1. JSR CORPORATION- COMPANYOVERVIEW

YearEstablished 1957

HQLocation 1-9-2,Higashi-Shimbashi,Minato-ku,Tokyo105-8640,Japan

ManufacturingLocations Yokkaichi-shi,Mie,Japan

Ichihara-shi,Chiba,Japan

Kamisu-shi,Ibaraki,Japan

Kuboizumi-cho,Saga,Japan

Tsuchiura,Ibaraki,Japan

Leuven,Belgium

Sunnyvale,CA,U.S.A.

Durham,NC,U.S.A.

Rayong,Thai

Budapest,Hungary

Sr.ExecutiveTeam EricJohnson,RepresentativeDirector,CEO

NobuoKawahashi,RepresentativeDirector,President,COO,andCTO

MitsunobuKoshiba,RepresentativeDirector,ChairmanoftheBoard

KoichiKawasaki,Director,ExecutiveManagingDirector

HayatoHirano,ManagingOfficer;KatsuyaInoue,ManagingOfficer

HidekiMiyazaki,Director,ManagingOfficer

TadahiroSuhara,ManagingOfficer

2019Revenues 496,746MJPY/4478MUSD

2019Capex 183,457MJPY/1654MUSD

#ofEmployees 8,748

Parent BridgestoneCorporation(9.9%)

CompanyWebsite: https://www.jsr.co.jp/jsr_e/

LinktoRecentCorporatePresentation:

https://www.jsr.co.jp/jsr_e/company/assets/pdf/brochure.pdf

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 192

Page 193: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

14.2. BUSINESS SEGMENTS

Segment

Elastomers Syntheticrubbers,thermoplasticelastomers,emulsions,performancechemicals

Plastics ABS,AES,AS,andASAResinsusedforautomobileparts,householdappliancesandbuildingmaterials

DigitalSolutions Semiconductormaterials,displaymaterials,edgecomputingrelateditems

LifeSciences Diagnostic/ResearchReagentMaterials,BioprocessMaterials,BioprocessDevelopment,ContractManufacturingofBiopharmaceuticals,ServicestoSupportDrugDevelopmentinPre-ClinicalPhases

OtherBusinesses LithiumIonCapacitors

Electronicmaterialsproductsoffered:Photoresists,TopCoatMaterialsforImmersionLithography,MultilayerHardmaskMaterials,NextGenerationLithographyMaterials,CMPSlurry,PostCMPCleaningSolution,Lift-offProcessingPhotoresistsLUMILON®LPSeries,ThickLayerPhotoresistsELPAC™THBSeries,InsulatingMaterialsELPAC™WPRSeries,CustomizedCMPpads

14.3. F INANCIAL OVERVIEW

CY2019(MJPY)

CY2019inMUSD(110.94JPY/USD)

CY2018(MJPY)

CY2018inMUSD(106.25JPY/USD)

%ChangeYear-overYear(JPY)

%ChangeYear-overYear(USD)

Revenue ¥496746 $4478 ¥421930 $3971 17.7% 12.8%

Operatingincome ¥43030 $388 ¥43569 $410 -1.2% -5.4%

Capex(PP&E) ¥183457 $1654 ¥159834 $1504 14.8% 9.9%

EBITDA ¥64872 $585 ¥60542 $570 7.1% 2.6%

NetProfit ¥33586 $302 ¥34979 $329 -4.0% -8.0%

SegmentSaleSemiconductorProducts

¥142216 $1282 ¥140394 $1321 1.3% -3.0%

DebttoAssetratio

0.3631 0.3644

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 193

Page 194: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

14.4. NEWS

12/24/2020-AdministrativeGuidanceReceivedafterIncidentatYokkaichiPlant

JSRCorporationexperiencedaregrettableincidentatourYokkaichiPlantonMay14,2020whenan

employeeofasubcontractingcompanysufferedsignificantinjurieswhileopeningastoragetankfor

legalinspection.Ourthoughtsandprayershavebeenwiththefamilyofthevictim.

Followingthistragicincident,JSRCorporationreceivedadministrativeguidancefromMiePrefecture

onAugust6toensurethoroughcompliancewithsafetystandardsforworkersinaccordancewiththe

High-PressureGasSafetyAct.Wehaveputtogetheralistofpreventivemeasurestobetaken,and

distributedtheinformationwithinthecompany,toensurethatallworkerscomplywiththesafety

standards.Also,werequestedthatourpartnercompaniesensurethatallworkerscomplywiththe

standards.

14.5. KEY CUSTOMERS

Intel–PreferredQualitySupplier(2017,2018)

GLOBALFOUNDRIES–ExcellenceAwardforCollaborationandSustainedSupport(2014)

TaiwanSemiconductorManufacturingCompany(TSMC)–ExcellentPerformanceAward(2013)

14.6. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS

ManufacturingLocations(Digitalsolutions)

Products Address

YokkaichiPlant&ResearchLaboratories

Semiconductormaterials,platpaneldisplaymaterials,coatingmaterials

100Kawajiricho,Yokkaichi-shi,Mie510-8552Japan

ChibaPlant Butadiene,Polybutadienerubber,Polybutadieneresin,norborneneresin

5Chigusakaigan,Ichihara-shi,Chiba299-0108Japan

KashimaPlant SYNTHET1CRUBBERANDMATER1ALMONOMERS,HERMOPLASTICELASTOMERS,MATER1ALMONOMER,ALOGENATEDBUTYLRUBBER

34-1Towada,Kamisu-shi,Ibaraki314-0102Japan

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 194

Page 195: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Whatisfor Dateofcertificate

ISO9001 2015

ISO14001 2015

OHSAS18001 2007

14.7. JVS ANDPARTNERSHIPS

OxfordPerformanceMaterials,Inc.(2018)–collaborationinfieldsofmedicalanddentalapplications

IMEC–JSRco-investedwithIMECinaResistManufacturing&QualificationCenter(RMQC)inLeuven,

BelgiumdedicatedtoEUVphotoresistsandextensionsR&D

JSR-KeioUniversityMedicalandChemicalInnovationCenter

Inpria–JSRhelpedfinanceEUVphotoresistscompanyaspartofSeriesCfunding

14.8. SALES REPS ANDDISTR IBUTORS BY REGION

JSRMicroKyushuCo.,Ltd.–MichinoriNishikawa1580-1Kamiizumi,Kuboizumi-cho,Saga849-0902,JapanTEL:+81-952-98-3001FAX:+81-952-98-3855JAPANFINECOATINGSCo.,Ltd.–HideyukiSajimoto57-1Sawabe,Tsuchiura,Ibaraki,300-4104,JapanTEL:+81-29-862-5784FAX:+81-29-862-5771D-MECLTD.–HideakiKumazawa1-9-2Higashi-Shimbashi,Minato-ku,Tokyo105-0021,JapanTEL:+81-3-6218-3582FAX:+81-3-6218-3690JSRMicroN.V.–BartDenturckTechnologielaan8,B-3001,Leuven,BelgiumTEL:+32-16-832-832FAX:+32-16-832-839JSRMicro,Inc.–MarkSlezak1280NorthMathildaAve.,Sunnyvale,CA94089,U.S.A.TEL:+1-408-543-8800FAX:+1-408-543-8999JSRTradingCo.,Ltd.–ShinjiSakamoto1-9-2Higashi-Shimbashi,Minato-ku,Tokyo105-0021,JapanTEL:+81-3-6218-3802FAX:+81-3-6218-3815Micro(Changshu)Co.,Ltd.–KatsuyaInoueNo.101,ChangchunRd.,RiversideIndustrialPark,Changshu,EconomicDevelopmentZone,JiangsuProvince,ChinaTEL:+86-512-5264-8000

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 195

Page 196: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

JSR(Shanghai)Co.,Ltd.–TsuyoshiWatanabeRm606SMEGPLAZA1386HongqiaoRoad,Shanghai200051,ChinaTEL:+86-21-6278-7600FAX:+86-21-6278-7604JSRMicroTaiwanCo.,Ltd.–HiroakiNemotoNo.11,Kehu1stRd.,HuweiTown,YunlinCounty632,CentralTaiwanScienceParkHuweiPark,Taiwan,R.O.C.TEL:+886-5-632-3000FAX:+886-5-632-3275JSRElectronicMaterialsCo.,Ltd.–DavidSangminParkandJunichiKawahashiSamwhanHIPEXA-610,240,Pangyoyeok-ro,Bundanggu,Seongnam-si,Gyeonggi-do13493,KoreaTEL:+82-31-698-4420FAX:+82-031-698-4421JSRMicroKoreaCo.,Ltd.–DavidSangminParkandYoshikazuYamaguchi97,Gwahaksaneop4-ro,Oksan-myeon,Heungdeok-gu,Cheongju-si,Chungcheongbuk-do,28122KoreaTEL:+82-43-219-3333FAX:+82-43-219-3396

14.9. TECHCET ANALYST ASSESSMENT

JSRCorporationstartedoffasasyntheticrubberandplasticsproducer.However,itsbusinessinthe

semiconductorindustry,thoughmorerecent,constitutesasubstantialfractionofthecompany’s

profitsandseemstobegrowing.

TheRMQCgivesJSRanadvantageoverothercompaniestryingtodevelopEUVlithographymaterials.

NoothermaterialsupplierhassuchaccesstoanEUVstepper.

JSR’ssalesofelectronicmaterialsincreasedby5%lastyear,despiteoverallfabwaferstartsdeclining.

Theirproductlineiswellpositionedforgrowthinthecurrentmarket

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 196

Page 197: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

15. KEMPUR MICROELECTRON ICS

15.1. KEMPUR- COMPANYOVERVIEW

YearEstablished 2004

HQLocation 4,ZhuyuanStreet,TianzhuExportProcessingZoneShunyiDistrict,Beijing101312,China

ManufacturingLocations Beijing,China(FengtaiDistrict,ShunyiDistrict)

Sr.ExecutiveTeam Yu“Cindy”Chen–CEO/Chairman

RogerSinta–PhotoresistTechnologist

LouLeone–PhotoresistTechnologist(Designer)

LiuShilong–DeputyGeneralManagerofProduction

LiBingwei,DeputyGeneralManagerofOperations

2019JulyDealamount(LaterStageVC)

24.7MUSD

2019Capex N/D

#ofEmployees N/D

CompanyWebsite: http://www.kempur.com/en/index.aspx

15.2. BUSINESS SEGMENTS

Segment

IC Photoresist:KrF,I-lineandG-line

DiscreteDevice Photoresist:I-lineandG-line

LED Photoresist:I-lineandG-linePTandNT

Packaging&MEMSG-line EpoxyresinnegativephotoresistdesignedforotherMicroelectronicapplicationssuchasMEMS,microfluidicchips,andmicromachining

SupportingReagentsandAncillaries

Developers,EBRs,Stripper

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 197

Page 198: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Electronicmaterialsproducts:

KMPDK1080 DUVresistusedinimplantlayer

KMPC6111 l-linepositivephotoresistwithfilmthickness~5um.ForPadandImplant

KMPC7500 HighresolutionphotoresistlineIphotoresist;0.75umfilmthicknesscanberealizedundertheresolutionof0.35um

KMPC7300 HighresolutionI-linephotoresistwitharesolutionof0.5umatafilmthicknessof1.0um.Thephotoresistshavedifferentviscositiesandthefilmthicknessrangesfrom0.75to2.5um.

C8300KMP I-linephotoresistwithhighheatresistanceandhighetchresistance;filmthicknessfor1.0to4.0umandresolutionof0.6um

KMPC5300 G/Ilineuniversalpositivephotoresist,whichcanachieve0.6umresolutionat1.2umfilmthickness.Thisseriesofphotoresistshasfastphotospeed(Empupto65mJat1.25um;thefilmthicknessrangeis0.75to2.5um

DiscreteDevices

KMPBP212 Positivephotoresistforabroadspectrumofdiscretecomponents,appliedtoabroadspectrum,GlineandIlineexposure,aphenolicresin/diazonaphthalenequinonesystemofthisserieshavedifferentviscosities,Itcancoverfilmthicknessfrom0.75umto2.5um

KMPBP218 Usedfordiscretedeviceswithpositivephotoresist.Itissuitableforwidespectrum,GlineandIlineexposure.Itisaphenolicresin/diazoniumsystem.Thisseriesofproductshasdifferentviscosityandcanbefilm.Thickfrom1.0umto2.0um

BN301series NegativephotoresistwithbroadspectrumUVexposure.\heviscosityofthisproductcanbeadjustedwithintherangeof20to60mPa.s,coveringthethicknessrangeofphotoresistfilm2.0to3.0um

BN303series NegativephotoresistwithbroadspectrumUVexposure.Itismainlyusedintheproductionofsmallandmediumscaleintegratedcircuits,discretedevicesandothermicrodevices.Theviscosityofthisproductcanbeadjustedwithintherangeof29to100mPa.s,coveringthethicknessrangeofphotoresistfilm0.85to2.1um.Thepracticalresolutioncanreach5μm

BN308series NegativephotoresistwithbroadspectrumUVexposure.Theviscosityofthisproductcanbeadjustedwithintherangeof140to500mPa.s,coveringthethicknessrangeofphotoresistfilm2.2to6um.Thepracticalresolutionisupto8μm

BN310seriesUVnegativephotoresist

NegativephotoresistwithbroadspectrumUVexposure.Theviscosityofthisproductcanbeadjustedwithintherangeof27to39mPa.s,coveringthethicknessrangeofphotoresistfilm0.85to1um.Thepracticalresolutionisupto2μm

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 198

Page 199: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Ancillaries

KMPSTseriesresiststrippers

KMPPDseriesdeveloperssolution

KMPEBRphotoresist

HMDS(tackifier)

Negativegeldeveloper

Negativerubbercleaner

Negativegluestripping

Negativegluetoremove

15.3. FINANCIAL OVERVIEW

overview(membershipneeded)

15.4. NEWS

AsofApril21,2020,NATAElectronicshassoldit’sstakeinKempurtoanumberofdifferentprivateinvestors.

15.5. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS

ManufacturingLocations Capacity

Beijing,China(FengtaiDistrict,ShunyiDistrict) N/D

certifications

ISO9001ISO14001ISO45001IECQQC080000

15.6. MACHINES&TECHNOLOGIES

TwoMiniFabs,ASMLPAS5500/850scanexposuremachinewithresolutionof0.11um,Nikonstep

exposuremachine,TelAct8gluedevelopmentmachineandHitachiS9220CDSEM

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 199

Page 200: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

15.7. JVS, SHAREHOLDERS ANDPARTNERSHIPS

Partnerships:

SMIC,ShanghaiHualiMicroElectronics,ChangjiangStorage,ChinaResourcesShanghai,

HangzhouShilan,JilinHuaMicroelectronics,San'anOptoelectronics,HuacanOptoelectronics

shareholders:

MengTech(US):33.81%

ShanghaiRadAvenue:35.54%(old)

BeijingIndustrialDevelopingInvestmentManagementCo.,Ltd.:17.33%

JiangsuNata:31.39%

15.8. SALES REPS ANDDISTR IBUTORS BY REGION

KemLabInc.–NorthAmerica

15.9. TECHCETANALYST ASSESSMENT

KempurhasbeensuccessfulwithG-Line/I-Linenovolac-basedlithographymaterials,lift-offresistsand

KrFresist.TheirmajormarketsareChineseICfabs,LEDproducersanddiscretedevicemanufacturers.

Theyalsosupplycyclizedrubberresistinvolumeandsupplysmallvolumesofvariousancillary

materials.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 200

Page 201: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

16. MERCK KGAA / EMD / AZ

16.1. MERCK COMPANYOVERVIEW

YearEstablished 1891

HQLocation MerckKGaA,Darmstadt,Germany

ManufacturingLocations China,Korea,Taiwan,US,Germany,Canada

Sr.ExecutiveTeam(Merck)

StefanOschmann,ChairmanandCEOUditBatra,CEOLifeScienceKaiBeckmann,CEOPerformanceChemicalsBelenGarijo,CEOHealthcare;MarcusKuhnert,CFO

2019Revenues(Merck) 18.1BUSD

2019Capex(Merck) 1.2BUSD

#ofEmployees 57,071

Parent MerckKGaA

CompanyWebsite: MerckKGaA:https://www.emdgroup.com/enVersumMaterials:https://www.versummaterials.com/

LinktoRecentCorporatePresentation: https://www.emdgroup.com/investors/reports-and-financials/earnings-materials/2019-q4/us/2019-Q4-Media-Presentation-NA.pdf

16.2. BUSINESS SEGMENTS

Business Products Description

Semiconductor

ElectronicMaterials photoresiststripper,etchresidueremovers,solvent-basedblend,post-etchresidueremover,aluminumoxideremover

CMP BarrierCMPSlurries,CopperCMPSlurries,STICMPSlurries

high-KDielectrics Titaniumprecursors,GermaninumAntimonyTelluriumPrecursors,Strontiumprecursors,etc..

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 201

Page 202: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Business Products Description

low-kDielectrics,post-CMPcleans,chemicaldelivery,cleaningequipment,etc…

Architecture,Cosmetics,Displays,EffectPigments,LEDs,etc..

Healthcare,LifeScience

Segment(Versum)

IndustriesServed(Versum)

SemiconductorMaterials Specialtychemicalsandgases

SemiconductorSolutions:WaferFabrication

Semiconductor

PolymerRemovalChemistries ConductiveAdhesives,3DPrinting/Stereolithography,Electronic,Industrial,SprayFoamInsulation

16.3. FINANCIAL OVERVIEW

(Merck) CY2019inMEUR

CY2019inMUSD(0.8918EUR/USD)

CY2018inMEUR

CY2018inMUSD(0.8709EUR/USD)

%ChangeYoYEUR

%ChangeYoY(USD)

Revenue €16152 $18112 €14836 $17035 8.9% 6.3%

Operatingincome €2120 $2377 €1727 $1983 22.8% 19.9%

Capex(PP&E) €1026 $1150 €932 $1070 10.1% 7.5%

EBITDA €4066 $4559 €3528 $4051 15.3% 12.6%

NetProfit €1320 $1480 €3374 $3874 -60.9% -61.8%

SegmentSalePerformanceMaterials

€2574 $2886 €2406 $2763 7.0% 4.5%

DebttoAssetratio

0.2822 0.1817

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 202

Page 203: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

16.4. NEWS

01/30/2021-MerckquitsdevelopmentofnewCOVID-19vaccine

02/09/2021-MerckKGaA,Darmstadt,Germanytodayannouncedaninvestmentof$22millionatits

siteinTempe,Arizona

MerckKGaA,Darmstadt,Germany,aleadingscienceandtechnologycompany,todayannouncedan

investmentof$22millionatitssiteinTempe,Arizona.Thecompanypreviouslyleasedthe95,000

square-footfacilityfromLexingtonTempeL.P.

ThisinvestmentgivesMerckKGaA,Darmstadt,Germanytheflexibilitytoinvestinitsproduction

facilitiesandstrengthenitsR&Dcapabilitiesinitsglobalnetworkofhigh-techlocations.

02/05/21-MerckKGaA,Darmstadt,Germany,inclosecollaborationwithBioNTech,willsignificantly

acceleratethesupplyofurgentlyneededlipidsandincreasetheamountoflipiddeliverytowardsthe

endof2021.

LipidsarecriticaltothedrugdeliverysystemofmRNAtherapiestothebodyforavaccinetobe

effective.Onlyveryfewcompaniesintheworldarecurrentlyabletoproducecustomlipidsin

significantquantitiesandaccordingtothehighestqualityrequirementsneededforvaccineproduction.

16.5. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDACCREDITAT IONS

REGION MANUFACTURINGLOCATIONSUSA EMDPerformanceMaterials

AnaffiliateofMerckKGaA,Darmstadt,Germany1200IntrepidAve.Philadelphia,PennsylvaniaPA19112,UnitedStatesofAmerica

PerformanceMaterialsIntermolecular,Inc.AnaffiliateofMerckKGaA,Darmstadt,Germany3011NorthFirstSt.SanJose,CaliforniaCA95134,UnitedStatesofAmerica

LifeScienceMilliporeSigma290ConcordRoad, Billerica,Massachusetts01821,UnitedStatesofAmerica

CANADA HealthcareEMDInc.AnaffiliateofMerckKGaA,Darmstadt,Germany2695NorthSheridanWaySuite200MississaugaONL5K2N6,Canada

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 203

Page 204: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Advancedmaterialresearchcenter-K-ATeCfacility,Pyeongtaek,KoreaBusiness:providesupportforresearchanddevelopmentofchemicalmechanicalpolishing(CMP)slurryandpost-CMPcleaningusedbysemiconductor-makingclients;3,240m²Health&VaccinemanufacturinglocationsAcquisitions:FloDesignSonics(LifeScience)-2019Intermolecular(PerformanceMaterials)-2019-$62MVersumMaterials(PerformanceMaterials)-2019-$5.8B

Certification

CertificationType Certifiedby Dateofcertificate

VersumMaterialsisincompliancewiththeIATFIndustryrequirementsasasub-suppliertotheautomotiveindustry.Currently,theydonothaveplanstohaveourplantscertifiedtotheAutomotiveStandardbutallourplantsarepreparedtosuccessfullybeauditedtothestandard.

ManagementSystemCertificate(ISO14001:2015)

DNVGL–BusinessAssurance

January8,2019

SupplierAward ImperialLogistics September7,2018

PerformanceAward TWSMC April122017

16.6. SALES REPS ANDDISTR IBUTORS BY REGION

NorthAmerica:VersumMaterialsUS,LLC8555SouthRiverParkway,Tempe,AZ85284Domestic:+1-800-837-2724Export:+1-800-837-2724Asia:VersumMaterials(Shanghai)Co.,Ltd.No.238FenjuRoad,PlotNo.GSM15-2,200131Shanghai,ChinaVersumMaterialsTaiwanCo,Ltd.3F.-3,No.83,Sec.2,Gongdao5thRd.EastDistrict,HsinchuCity30070TaiwanR.O.C.VersumMaterialsSingaporePte.Ltd2InternationalBusinessParkTheStrategy#03-24,Singapore609930VersumMaterialsKoreaPangyoSiliconParkB5F,Pangyo-ro255Beon-Gil35,Bundang-Gu,Seongnam-Si,Gyeonggi-do,13486,KoreaMalaysia:+60-1800-818-215Singapore:+65-800-852-5005Taiwan:+886-0800-666-242#2Korea:+82-08-090-81052Japan:+81-120-994-290China:+86-4006011327#2Europe:VersumMaterialsNetherlandsB.V.Leidseveer2-10,3511SB,Utrecht,TheNetherlandsUnitedKingdom:+44(0)2037884329

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 204

Page 205: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Germany:+49(0)695880780250Italy:+390299953874France:+33(0)157327316Netherlands:+31(0)707709150Israel:+972(0)37630006

16.7. TECHCET ANALYST ASSESSMENT

MerckcompleteditsacquisitionofVersumonOctober7,2019.Merckoutbidrivalacquirer,Entegris,

forVersum.OnanannualbasisVersumwilladdover1billionUS$toMerckRevenue.TheMerck

acquisitionofVersumisacomplementaryone,providingMerckwithmoreproductstosellintothe

semiconductormarket.TheEntegrismergerwouldhavecreatedseveralproductconflictsinsub-

atmosphericproductsandprecursors.Merck’slithographicproductsincludesresistsandancillary

products.TheyhaveanestablishedDSAprogram

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 205

Page 206: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

17. MOSES LAKE INDUSTR IES

17.1. MOSES LAKE INDUSTRIES - COMPANYOVERVIEW

YearEstablished MLI:1984TAMA:1949

HQLocation MosesLake,WA,U.S.A.

ManufacturingLocations MosesLake,WADalian,ChinaXian,ChinaTamaChemicalsFamilyofCompanies:KawasakiCity,Kanagawa,JapanTaipei,Taiwan,R.O.C.TuasViewIndustrialPark,Singapore

Sr.ExecutiveTeam ToshitsuraCho,ExecutiveDirector,President,TamaChemicalsCo.,Ltd.HiroyukiEra,President,MosesLakeIndustries

2020Revenues 80.42MUSD

2020Capex N/D

#ofEmployees 363

Parent TamaChemicalsCo.,Ltd.

CompanyWebsite: http://www.mlindustries.com/

LinktoRecentCorporatePresentation:

N/D

17.2. BUSINESS SEGMENTS

Segment

TMAH/TMAHBasedDevelopers

TMAHAqueousSolution–forcleaningandetching

CopperPlatingChemistry Copperplatingelectrolytesandadditives:Damascene,ChipSubstratePlating(CSP),ThroughSiliconVia(TSV),WaferLevelPackaging(WLP)

CustomBulkChemicalBlending Blendingandlaboratoryanalysisservicesforcustomchemicalproducts;Customchemistriesarequalifiedtomeetorexceedcustomerspecificationusingthemostadvancedlaboratoryanalytics.

AnalyticalReagents Fortraceelementalanalysis;providehighestlevelofpurity

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 206

Page 207: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Electronicmaterialsoffered:MLIalsosellshighpuritychemicalsandhasaTamapure-AA-100linethathasaguaranteedmetallicimpuritylevelof<100pptandaTamapure-AA-10linethathasaguaranteedmetallicimpuritylevelof<10ppt.Theseproductlinescompriseinorganicmaterialsthatarenotusedforlithographicmaterials.TheirmainlithographicmaterialisusedforPositiveToneDeveloper.MLImanufacturesTetramethylammoniumHydroxideintheirUSandChinafacilitieswithapatentedchlorine-freemanufacturingmethod.MLIisabletoguaranteemetallicimpuritylevelsaslowas<1000ppt.TMAHisavailableatconcentrationsofchoice(inwater)upto25%,andwithorwithoutsurfactants.Unitpackagingis55galdrum,1000LIBCtoteor1galbottle.ItsellsunderthenameTamapure-AA-TMAH.

17.3. F INANCIAL OVERVIEW

NoPubliclyavailabledata

17.4. NEWS

N/D

17.5. KEY CUSTOMERS (ESPEC IALLY ELECTRONICS /CHIP COMPANIES)

Intel-SupplierContinuousQualityImprovementAward(2006–2009,2012–2014),PreferredQualitySupplierAward(2005,2010)Samsung-SupplierContinuousQualityAward(2013)Siltronic-SupplierAwardforBestPerformanceinSupplierRating(2007)SonyTSMC-Quality&InnovationTechnologyAward(2011,2012)Toshiba

17.6. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS

ManufacturingLocations contact

MOSESLAKEINDUSTRIESCORPORATEHEADQUARTERSANDPRODUCTIONFACILITY

MosesLakeIndustries,Inc.8248RandolphRoadNEMosesLake,Washington98837USAPh:+1-509-762-5336Fax:+1-509-762-5981

MOSESLAKEDALIANCHEMICALINDUSTRIESPRODUCTIONFACILITY

#42IndustrialZoneDalianDDAAreaChina,116600

MOSESLAKEXI'ANCHEMICALINDUSTRIESPRODUCTIONFACILITY

ZongSiRoad1055ComprehensiveFreeTradeZoneHi-TechBondedZone,Xian,China

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 207

Page 208: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Whatisfor Dateofcertificate

ISO9001 April6,2018

ISO14001 June13,2018

ISO45001 June,17,2018

17.7. JVS ANDPARTNERSHIPS

PrietoBatteries(2017)

UniversityofPortland(2010)

17.8. SALES REPS ANDDISTR IBUTORS BY REGION

MOSESLAKEINDUSTRIESCORPORATEHEADQUARTERS

MosesLakeIndustries,Inc.

8248RandolphRoadNE

MosesLake,Washington98837USA

Phone:+1-509-762-5336

Fax:+1-509-762-5981

17.9. TECHCET ANALYST ASSESSMENT

MosesLakeisasignificantsellerofpositivetonedeveloper.Theysellbothtofabsandtomaterials

suppliers.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 208

Page 209: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

18. N ISSANCHEMICAL

18.1. N ISSANCHEMICAL CORPORATION- COMPANYOVERVIEW

YearEstablished 1887

HQLocation 5-1,Nihonbashi2-Chome,Chuo-ku,Tokyo103-6119,Japan

ManufacturingLocations Sodegaura,Chiba,Japan

Ichihara,Chiba,Japan

Kamisato-machi,Saitama,Japan

Fuchu-machi,Toyama,Japan

Minato-ku,Nagoya,Japan

Sanyo-Onoda,Yamaguchi,Japan

Pasadena,Texas,USA

Houston,Texas,USA

KaohsiungCity,Taiwan

Shanghai,China

Suzhou,Jiangsu,China

Gyeonggi-do,Korea

Sr.ExecutiveTeam KojiroKinoshita,RepresentativeDirector,President&CEO

JunichiMiyazaki,Director,SeniorExecutiveVicePresident

HiroyoshiFukuro,Director,SeniorExecutiveVicePresident

KatsuakiMiyaji,Director,ManagingExecutiveOfficer

TakashiHonda,Director,ManagingExecutiveOfficer

HitoshiSuzuki,Director,ManagingExecutiveOfficer

2020Revenues 207023MJPY/1939MUSD

2020Capex N/A

#ofEmployees 2583

Parent N/A

CompanyWebsite: https://www.nissanchem.co.jp/eng/

LinktoRecentCorporatePresentation:

https://www.nissanchem.co.jp/news_release/news/n2020_01_31.pdf

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 209

Page 210: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

18.2. BUSINESS SEGMENTS

Segment

Chemicals Basicchemicals:melamine,sulfuricacid,nitricacid,ammonia,high-puritychemicals(forsemiconductor);finechemicals:environmentalchemicalproducts,sealant,sterilizer/disinfectant

PerformanceMaterials Displaymaterials;semiconductorextensionmaterials;inorganicmaterials

AgriculturalChemicals Herbicides,insecticides,fungicides(foragricultureandforgolfcourses);veterinarypharmaceuticals

Pharmaceuticals In-housedrugdiscovery,customchemicalsforpharmaceuticalingredients

Electronicmaterialsoffered:HighPurityChemicals-Agentsusedforsemiconductors/LEDrequireextremelyhighpurity.NissanChemicalprovidessulfuricacid,nitricacidandammoniatothisindustry,receivinghighacclaimintheprocess.ARC®coatingisbottomanti-reflectivecoatingdevelopedforsemiconductorlithography.Itsolvesvariousproblemswithexposurebycoatingunderphotoresistproducts.NissanChemicalprovidesawiderangeofproductscorrespondingwithawiderangeoflinewidthsfromi-linetothemostadvancedArF,andcontributetotechnologicalinnovationinsemiconductordevices.(*ARC®isregisteredtrademarkofBrewerScience,Inc.)OptiStack®isamulti-layersystemofproductsthatcombinessimulationsoftwarewithpatterningmaterialstoprovideanintegratedlithographysolution.(*OptiStack®isregisteredtrademarkofBrewerScience,Inc.)

18.3. F INANCIAL OVERVIEW

CY2020(inMJPY)

CY2020inMUSD(106.76JPY/USD)

CY2019inMJPY

CY2019inMUSD(109.01JPY/USD)

%ChangeYear-overYear(inJPY)

%ChangeYear-overYear(inUSD)

Revenue ¥207023 $1939 ¥201066 $1844 3.0% 5.1%

Operatingincome ¥40768 $382 ¥34792 $319 17.2% 19.6%

NetProfit ¥31591 $296 ¥28142 $258 12.3% 14.6%

SegmentSale(Chemical) ¥32844 $308 ¥34445 $316 -4.6% -2.6%

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 210

Page 211: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

18.4. NEWS

01/27/2021-WithdrawalofcomplaintregardingEldecalcitolpatentbyChugaiPharmaceuticalCo.,Ltd.NissanChemicalCo.,Ltd.wasfiledapatentinfringementlawsuitandprovisionaldispositionwiththeTokyoDistrictCourtonNovember27,2020byChugaiPharmaceuticalCo.,Ltd.regardingproductionandsalesofthegenericactivepharmaceuticalingredientforthetreatmentofosteoporosis(activevitaminD3preparation)Edirol®capsulesat0.5μgand0.75μg(genericname:Eldecalcitol).Recently,theTokyoDistrictCourtinformedusthatChugaiPharmaceuticalCo.,Ltd.submittedthewrittenwithdrawalofthislawsuitandthewrittenwithdrawalofpetitionforanorderofprovisionaldispositiononJanuary15.

18.5. KEY CUSTOMERS

N/D

18.6. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS

ManufacturingLocations Address

SodegauraPlant 11-1,Kitasode,Sodegaura,Chiba299-0266Tel:0438-63-2341

SodegauraPlantGoiWorks 12-17,Goiminamikaigan,Ichihara,Chiba290-0045Tel:0436-22-2110

SaitamaPlant 235-1,AzaNishidai,OazaJimbohara-machi,Kamisato-machi,Kodama-gun,Saitama369-0305Tel:0495-34-2810

ToyamaPlant 635Sasakura,Fuchu-machi,Toyama939-2792Tel:076-433-9602

NagoyaPlant 7,Tsukiji-cho,Minato-ku,Nagoya455-0045Tel:052-661-1676

OnodaPlant 6903-1,OazaOnoda,Sanyo-Onoda,Yamaguchi756-0093Tel:0836-83-2800

Whatisfor Dateofcertificate

NSF/ANSI-60 N/D

ISO14001 2017

ISO9001 2015

Progress2030 2018

Vista2021 2018

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 211

Page 212: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

18.7. JVS ANDPARTNERSHIPS

BrewerScience(from1997through2028)–distributionofBrewerScienceproductssuch

asARC®coatinginAsia.

SilecsInc.(2006)–distributionofSilecsproductsinJapan.

KovioInc.(2010)–collaborationanddevelopmentpartnershiptoscaleKovio’ssiliconinkto

commercialvolumes,andtointroduceandcommercializesuchinksindisplayapplications.

KyushuUniversity–fordevelopmentofnewproductsandbusinesses

Shionogi&Co.,Ltd.(2016)-strategicresearchcollaborationfornovelantifungaldrugcandidates

StoreDot(2019)-developmentandlicencingagreementofStoreDot'sorganicMolecuLED™

technology

NissanBharatRasayanPrivateLtd(2020)–JVwithBharatRasayan,amanufactureroftechnicalgrade

pesticides,intermediatesandbulkformulationsinIndia

18.8. SALES REPS ANDDISTR IBUTORS BY REGION

HeadOffice5-1,Nihonbashi2-Chome,Chuo-ku,Tokyo103-6119,JapanTel:+81-3-4463-8111SapporoSalesOfficeMaruitoSapporoBuilding1-1,Kita-Nijyo-Nishi,Chuo-ku,Sapporo060-0002Tel:011-251-0261SendaiSalesOfficeGreenwoodSendaiIchibanchoBuilding2-7-12,Ichibancho,Aoba-ku,Sendai980-0811Tel:022-266-4311NagoyaSalesOfficeNagoyaKSBuilding3-1-18,Taiko,Nakamura-ku,Nagoya453-0801Tel:052-452-8623OsakaSalesOfficeKintetsuDojimaBuilding18F,2-2-2Dojima,Kita-ku,Osaka530-0003Tel:06-6346-7200HiroshimaOfficeDai-ichiUenoyaBuilding8F,8-8Kamihatchobori,Naka-ku,Hiroshima730-0012FukuokaSalesOfficeJPRHakataBuilding1-4-4HakataEkimae,Hakata-ku,Fukuoka812-0011Tel:092-432-3421

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 212

Page 213: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

NissanChemicalTaiwanCo.,Ltd.5F.,No.67,Luke2ndRd.,LuzhuDist.,KaohsiungCity821,Taiwan(R.O.C.)Tel:886-7-695-5252NCKCo.,Ltd.127,Chupalsandan-ro,Paengseong-eup,Pyeongtaek-si,Gyeonggi-do,17998,KOREATel:82-31-691-7044

18.9. TECHCETANALYST ASSESSMENT

NissanChemicalCorporationisalong-establishedcompanythathaspartneredwithBrewerScience

forover20yearstosellthelatter’ssemiconductorrelatedchemicalsoverseas.Inthesemiconductor

materialsarea,itisstronginbottomantireflectivecoatingsandspinonetchmaskstackmaterials.

TheyareintroducingspinonunderlayersforusewithEUVresist.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 213

Page 214: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

19. PHICHEM

19.1. PH ICHEM- COMPANYOVERVIEW

YearEstablished 2002

HQLocation 2999PanyuRoad,BaoshanDistrict,Shanghai

ManufacturingLocations 2999PanyuRoad,BaoshanDistrict,Shanghai

Sr.ExecutiveTeam ZhangJinshan,Chairman/PresidentSuBin,Vicechairman,director,generalmanagerSongShuguo,Director

20193QRevenues(tenthousandUSD)

15,568

20193QCapex(tenthousandUSD)

3,012

#ofEmployees 1508

Parent N/A

CompanyWebsite: https://www.phichem.com

LinktoRecentCorporatePresentation:

http://web.phichem.com.cn/Private/Files/20191128/6371054766953733101198125.PDF

19.2. BUSINESS SEGMENTS

Segment Products

syntheticorganicmaterials

Display&touchpanelmaterials OLEDMaterial,Crystalmaterials,Photoresists(BlackNegativePhotoresist,positivePhotoresist)

electronicmaterials solder-paste,conformalcoatings,liquidphotoresists,photoresistdeveloping,strippingandcleaningsolutions,andetching&platingresistinks

UVCurablematerials Fibercoatings,releaseliners

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 214

Page 215: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

19.3. FINANCIAL OVERVIEW

FiscalYearFinancialOverview(cumulative3quarters)

CY2019RMBM

InUSDM(1Yuanto0.14USD)

CY2018RMBM

InUSDM(1Yuanto0.14USD)

%ChangeYear-overYear(Yuan)

InUSD

Operatingincome CN¥1510 $211 CN¥1450 $203 4.1% 4.1%

TotalProfit CN¥303 $42 CN¥329 $46 -7.9% -7.9%

NetProfit CN¥255 $36 CN¥284 $40 -10.2% -10.2%

DebttoAssetratio prioryear:32.92%

currentyear:36.8%

19.4. NEWS

01/22/2021-TheIndustrialTechnologyInnovationConferencewasheldinthemorning,and

PHICHEMwasapprovedasthenationalenterprisetechnologycenterin2020

19.5. KEY CUSTOMERS

JiangsuHengtongGroupTongdingInterconnectionFujikuraLtdJCETGroup

19.6. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDACCREDITAT IONS

Region descriptions

Shanghai,China R&Dcenter,whichisequippedwithadvancedfacilitiesformaterialssynthesis,materialsanalysis,applicationsdevelopmentandreliabilitytesting.

Anqing,China PhiChem’smanufacturingbaseislocated500kmwestofShanghaiinthecityofAnqing.TheAnqingfacilitywasbuiltin2009,andhasmanufacturingcapabilityformaterialssynthesisandmaterialsformulationsatvariousscales.

Nanjing,China LiquidcrystalandrelatedproductsaremanufacturedbyNanjing-basedHCCH.Inadditiontoliquidcrystalmaterials,HCCHalsomanufactureintermediatesforthepharmaceuticalandagriculturalindustries.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 215

Page 216: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Huizhou,China thehuboftheelectronicsmanufacturingindustryofChina.ThisfacilitywillallowustobetterservecustomerswhoarelocatedincloseproximitytotheShenzhenarea.

Kunshan,China specializesinmanufacturingandmarketingepoxymoldingcompounds(EMC)forsemiconductorpackagingapplications.

Kanagawa,Japan mainlyfocusedonthedevelopmentandmarketingofphotosensitivechemicalmaterialsforlithographyapplications.

AccreditationsandStandard Dateofissuance

ISO14000 12/03/2019

ISO9000 12/21/2017

IATF16949 05/21/2019

19.7. JVS ANDPARTNERSHIPS

Shareholders shares Share

PhiChemHoldings 173,207,404 33.46%

ShanghaiSemiconductorEquipmentMaterialsIndustryInvestmentManagementCompany

35,846,404 6.92%

19.8. SALES REPS ANDDISTR IBUTORS BY REGION

Region Address Name Fax Contact

China 2999PanjingRoad,Baoshandistrict,Shanghai,China.Zipcode:201908

N/D +86-21-58993079

[email protected]+86-21-50551001

USA 7085LasPositasRd.,SuiteALivermore,CA94551USA

N/D N/D [email protected]+1925-373-3128

India AUMGlobeImpexKumbhaKrishna,S-128,ShantiRoad,ShantinagarBangalore560027,Karnataka,INDIA

Mr.AnupDubey

N/D [email protected]+919880921383

19.9. TECHCETANALYST ASSESSMENT

PhichemisthelargestsupplierofUVcurablematerialstotheopticalfiberandcableindustryinChinaandoneofthetopthreesuppliersforthatindustryintheworld.TheyarestartingtodevelopIlineresistsandotherproducts,suchassemiconductorgradesolventancillariesaimedthesemiconductorfabmarket.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 216

Page 217: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

20. RU IHONG

20.1. SUZHOURUIHONGELECTRONIC CHEMICAL CO. , LTD. - COMPANYOVERVIEW

YearEstablished 1993

HQLocation 501MinFengRoad,WuzhongDistrict,SuzhouCity,China

ManufacturingLocations SuzhouCity,China

Sr.ExecutiveTeam N/D

2019Revenues N/D

2019Capex N/D

#ofEmployees 120

Parent JV:SuzhouElectronicMaterials(Group)Co.,Ltd.(54%),NIPPONZEON(25%),andNipponMarubeni(25%)

CompanyWebsite: http://www.szruihong.com/

LinktoRecentCorporatePresentation:

N/D

20.2. BUSINESS SEGMENTS

Segment Product

highpurityreagents EGME,EIBK,n-BA,IPA,NMPPositive/NegativePhotoresists RZJ-306,RZJ-3200stripperforpositivephotoresists developerforpositivephotoresists

20.3. F INANCIAL OVERVIEW

Nopubliclyavailabledata

20.4. NEWS

N/D

20.5. MANUFACTURINGLOCATIONS, CERT IF ICATES

Suzhou,China:factorybuilding6000m2,cleanroom400m2,with100levelpurificationfillingline.

Totalarea15000m2

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 217

Page 218: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Certificates:

ISO9000

ISO14000

ISO18000

20.6. TECHCETANALYST ASSESSMENT

Reihonghassignificantbusinessincyclizedrubberresist,IlineresistfordiscretedevicesandLEDsand

inancillarymaterialssuchassolvents.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 218

Page 219: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

21. SACHEM

21.1. SACHEM- COMPANYOVERVIEW

YearEstablished 1950

HQLocation SACHEMAmericas:821E.WoodwardSt.,Austin,Texas78704

ManufacturingLocationsTX,USA

Austin

Cleburne

TheNetherlands Zaltbommel

Japan HigashiOsaka

China Wuxi

Sr.ExecutiveTeam Mr.JohnE.MooneyChairman,ChiefExecutiveOfficerandPresidentMichelTuerlings:vicepresidentofSalesBrianMooney:SeniorDirectorofglobalmarketingRosemaryHoffman:ChiefOperatingOfficer

2020Revenues 91.21MUSD

#ofEmployees 200-500

Parent N/A

CompanyWebsite: https://sacheminc.com/

LinktoRecentCorporatePresentation:

https://www.youtube.com/watch?v=hE0IOkFc3PU

21.2. BUSINESS SEGMENTS

SegmentIndustriesServed

Material

ElectronicMaterials

Semiconductor/ICChemicalsComponents(etching,cleaning,stripping&developerapplications)

FPD&LEDManufacturing(flatpaneldisplay(FPD)andlightemittingdiode)

PrintedWiringBoardChemicalComponents

SpecialtyMaterials

Carbohydrateschemicalsmarket

polymerchemicalsmarket

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 219

Page 220: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

electronics,catalysts,personalcare,polymers,pharma,agrochemicals,biotechnologyandenergymaterials

agriculturalchemicalmarket

Pharmaceuticalmarket

AdvancedCeramics&AdvancedCasting

StructuredMaterials StructuredMaterialsMarket.

EnergyMaterialsEnergystoragematerials

Oilfieldindustry(“goldstandard”foroilfieldandfracingapplications)

OTHERCHEMICALSQuarternaryAmmoniumHyfroxides,QuaternaryAmmoniumSalts,GlycidylEthers,Alcohols,MetalAcetylacetonates,Alcohols

SachemisamajormanufacturerofpositivetonedevelopersunderthetrademarkEnvureDV.Thesearetheironlyproductsusedinsemiconductorlithography.

21.3. F INANCIAL OVERVIEW

Nopubliclyavailabledata

21.4. NEWS

11/09/2020AvantorPerformanceMaterialshassignedajointdevelopmentagreementwithglobalchemicalsciencecompanySACHEMtoprovideservicestocustomersinmajormarkets,includingagrochemicals,pharmaceuticals,catalysts,polymers,andstarchreforming,biotechnologyandelectronics,developnewselectiveetchingmaterialsforthesemiconductorindustry.Thetwocompanieswillcollaboratetoproducespecializedsurfacepreparationandthin-filmwaferstackremovalsolutions.

21.5. MANUFACTURINGLOCATIONS, CERT IF ICATES

Region ManufacturingLocations

TX,USASACHEMAmericas:821E.WoodwardSt.,Austin,Texas78704

SACHEMPlant:2311PipelineRd.

TheNetherlands SACHEMEuropeB.V.:VanVoordenpark15,5301KPZaltbommelPOBox52(5300AB),TheNetherlands

Japan 5-6-27Mizuhai,Higashi,Osaka578-0921,Japan

China SACHEMAsiaPlant:WuxiNationalHi-TechIndustrialDevelopmentWuixi,PRC214028,China

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 220

Page 221: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Number AccreditationsandStandard

Dateofissuance/LastRenewalDate

Expirationdate Certificatenumber

1 ISO9001–SACHEMAmericas

10/12/2018 10/12/2021 CERT-08653-2006-AQ-HOU-ANAB

2 ISO9001–SACHEMEurope

03/01/2017 02/29/2020 RQA654271

3 RC14001|ISO14001–SACHEMAmericas

10/12/2018 10/12/2021 188119-2015-AE-USA-ANAB

4 ISO14001–SACHEMEurope

03/01/2017 02/29/2020 RQA653450

5 ISO9001–SACHEMJapan(English&Japanese)

09/01/2018 08/31/2021 JQA-QMA13054

6 ISO9001–SACHEMWuxi(Chinese&English)

10/17/2017 10/21/2020 40479-2008-AQ-RGC-RvA

7 EcovadisAward Jan2020 - -

21.6. JVS ANDPARTNERSHIPS

CompanyName Industry DateofAnnouncement

BusinessSegment(offers)

Nagase&Co.,Ltd.,Osaka,Japan

Chemicals 01/25/2008 TMAHrecycletechnology

ShowaDenkoK.K.(SDK) Chemicals 03/22/2001 TMAHbusiness

AvantorPerformanceMaterials,LLC

lifesciencesandadvancedtechnologyindustries

07/06/2011

11/09/2020

advanced,customizedselectiveetchchemicalsolutionsforthesemiconductormanufacturingindustry

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 221

Page 222: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

21.7. SALES REPRESENTATIVES ANDD ISTR IBUTORS

Region Address Name Fax Phone Email

AUSTIN,TEXASUSA

SACHEMAmericas821E.WoodwardSt.Austin,Texas78704

N/D +1(512)445-5066

+1(512)421-4900

N/D

ZALTBOMMEL,THENETHERLANDS

SACHEMEuropeB.V.VanVoordenpark155301KPZaltbommelPOBox52(5300AB)TheNetherlands

N/D +31(0)418682082

+31(0)418682000

N/D

SHANGHAI,CHINA

SACHEMAsiaOfficeSuite2811,ShanghaiMartTower,2299YanAnRoadWest,Shanghai200336,China

N/D +86-21-5208-0335

+86-21-52-8-0330

N/D

OSAKA,JAPAN

SACHEMJapanGodoKaishaOfficeYodoyabashiFlexTower12F3-3-11KoraibashiChuo-kuOsaka541-0043,Japan

N/D +81-6-6223-0151

+81-6-6223-0171

N/D

SEOUL,KOREA

SACHEMKoreaOfficeBigwayBusinessCenter,Rm1710,17FKeungilTower,Teheran-ro223Gangnam-gu,Seoul06142,Korea

Gangnam-gu

+82-2-527-1678

+82-2-527-1620

N/D

TAIWAN,REPUBLICOFCHINA

SACHEMTaiwanOffice9A-3,No.251,Fushing1stst.,ChubeiCity.HsinchuCounty302Taiwan.R.O.C.

Ming-Hsiung,Chiu

N/D +886-978-755-977

[email protected]

MUMBAI,INDIA

SACHEMSpecialtyandFineChemicalsIndiaPrivateLimited4,ChandanNiwas(Old),M.V.Road,Off.AndheriKurlaRoad,Opp.VishalHall,AndheriEast,Mumbai–400069,Maharashtra,India

N/D N/D +91-9702073987

[email protected]

21.8. TECHCETANALYST ASSESSMENT

SACHEMisaworld-leaderinsemiconductorlithographyancillarymaterials,withstrongpositionin

aqueous-developers.Sincethesematerialsareusedinlargevolumesandcanbeexpensivetoship

overseas,SAMCHEMhasestablishedmanufacturinglocationsinglobalregionswithdemandfor

electronicmaterials.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 222

Page 223: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

22. SH IN- ETSU

22.1. SH IN-ETSU CHEMICAL CO. , LTD. - COMPANYOVERVIEW

YearEstablished 1926

HQLocation Chiyoda-ku,Tokyo,Japan

ManufacturingLocations Niigata,Japan

Fukui,Japan

Fukushima,Japan

Gunma,Japan

Ibaraki,Japan

Vancouver,WA,U.S.A.

Livingston,UK

SelangorDarulEhsan,Malaysia

Seoul,Korea

Jiangsu,China

Hsinchu,Taiwan

DouliuCity,Taiwan

Sr.ExecutiveTeam YasuhikoSaitoh,President, ChihiroKanagawa,Chairman

FumioAkiya,VicePresident

ToshinobuIshihara,SeniorManagingDirector

SusumuUeno,SeniorManagingDirector

MasahikoTodoroki,SeniorManagingDirector

ToshiyaAkimoto,ManagingDirector

FumioArai,ManagingDirector, YukihiroMatsui,ManagingDirector

MasakiMiyajima,ManagingDirector,KenjiIkegami,ManagingDirector

2020Revenues 13675MUSD

2020Capex 1.6 M USD

#ofEmployees 21,735(consolidated)

Parent Shin-EtsuGroup

CompanyWebsite: https://www.shinetsu.co.jp/

LinktoRecentCorporatePresentation:

https://player.vimeo.com/video/344223893

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 223

Page 224: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

22.2. BUSINESS SEGMENTS

PVC/Chlor-AlkaliBusiness Polyvinylchloride(PVC),Causticsoda,methanol,chloromethane

SiliconesBusiness Silicones

Specialtychemicalsbusiness Cellulosederivatives,siliconemetal,polyvinylalcohol,syntheticpheromones

SemiconductorSiliconBusiness

SemiconductorSilicon

ElectronicsandFunctionalMaterialsBusiness

Rareearthmagnets,semiconductorencapsulatingmaterials,coatingmaterialsforLEDs,photoresists,photomaskblanks,syntheticquartzproducts,oxidesinglecrystals,rareearths,liquidfluoroelastomers,pellicles

Processing,TradingandSpecializedServicesBusiness

Processedplastics,exportofplantequipmentandtechnologylicensing,internationaltrading,engineering,informationprocessing

22.3. FINANCIAL OVERVIEW

CY2019inMJPY

CY2019inMUSD(108.65)

CY2020inMJPY

CY2020inMUSD(106.76)

%Change(JPY)

%Change(USD)

Revenue ¥1561205 $14369 ¥1459921 $13675 -6.5% -4.8%

Operatingincome ¥397818 $3661 ¥372327 $3488 -6.4% -4.7%

Capex(PP&E) ¥256 $2.36 ¥170 $1.59 -33.6% -32.6%

NetProfit ¥313834 $2888 ¥517736 $4850 65.0% 67.9%

SegmentSale

SemiconductorSiliconBusiness

¥236231 $2174 ¥372931 $3493 57.9% 60.7%

ElectronicsandFunctionalMaterialsBusiness

¥221313 $2037 ¥227311 $2129 2.7% 4.5%

Specialtychemicals ¥116241 $1070 ¥109896 $1029 -5.5% -3.8%

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 224

Page 225: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

22.4. NEWS

01/27/2021-ShintechAnnouncesCapitalInvestmentof$1.25BilliontoFurtherBolsterIntegratedPVCBusinessInJuly2018,ShintechbrokegroundinagreenfieldsiteinPlaquemine,Louisianaforanewintegratedplant,whichisscheduledtobecompletedinthemiddleofthisyear.Thisconstructionisthefoundationofthenewcapitalproject.Theproductioncapacitywillbeincreasedby580,000tonsofVCMperyear,380,000tonsofPVCand390,000tonsofcausticsodaperyear.Shintech’sproductioncapacitywillreach3.62milliontonsofPVCperyear,2.95milliontonsofVCMand1.95milliontonsofcausticsodaperyearatthetimeofcompletion.Theamountoftheinvestmentisexpectedtobe$1.25billion.Thecompletionoftheconstructionisslatedbytheendof2023.Shintechwillstartuptheaddition,assessingthesupplyanddemandofPVCandcausticsodaworldwide.01/21/2021-Shin-EtsuChemicaldevelopssiliconethermalinterfacematerialsforapplicationsinelectricvehiclesandhybridelectricvehicles.12/01/2020-Shin-EtsuChemicalwillmass-produceitsSLKSeriesofLowDielectricConstantThermosettingResinsfor5GproductsShin-EtsuChemicalCo.,Ltd.(HeadOffice:Tokyo;President:YasuhikoSaitoh),hasdecidedtomakeaninvestmentforthemassproductionofitsnewproduct,theSLKSeriesofLowDielectricConstantThermosettingResins,whichistargetedatmeetingthewidespreadandfull-scalegrowthindemandresultingfromtheimplementationofthenext-generation5Gtelecommunicationsstandards.Theamountofthecapitalinvestmentisexpectedtobeabout¥3billion.10/15/2020-Shin-EtsuChemicalrespondstothegrowingdemandandadvancesinthesemiconductorphotoresistsmarket…Takingintoconsiderationboththeaspectsoftechnologyandsupply,Shin-Etsuwillmoveforwardinexpandingitscapabilitytotimelyrespondtotherequestsofitscustomers.Wearegoingtocarryoutproductioncapacityincreasesforthispurposeatoursubsidiarycompany,Shin-EtsuElectronicsMaterialsTaiwanCo.,Ltd.(locatedinDouliuCity,YunlinCounty,Taiwan)andtheNaoetsuPlantofShin-EtsuChemicalCo.,Ltd.,(locatedinJoetsuCityinNiigataPrefecture,Japan).Shin-EtsuElectronicsMaterials(Taiwan)hasalreadycompleteditsfirst-phaseconstructioninthesummerofthesummerof2019,andtheyarealreadygoingaheadwiththeconstructionofanewplantbuilding…

22.5. SALES REPS ANDDISTR IBUTORS BY REGION

AlanDeShano RegionalManager 330-606-1899 Fluorosilicones,HCR,[email protected]

An-LiKuo RegionalManager 914-523-6140 Cosmetics [email protected]

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 225

Page 226: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

AlanDeShano RegionalManager 330-606-1899 Fluorosilicones,HCR,[email protected]

AnchorLinMarketDevelopmentEngineer

330-730-6322 LIMS [email protected]

BillSeverns RegionalManager 330-606-73878 Silanes bseverns@shinetsu

silicones.com

ChadKobylanski RegionalManager 330-606-1430Adhesives,AutomotiveRTV's,Gels,PottingCompounds

[email protected]

ElizabethRoetger RegionalManager 804-895-5134 SilaneBusiness [email protected]

EricBishop NorthAmericaMarketingManager330-697-2610

Adhesives,AutomotiveRTV's,CommodityFluids,Fluids,Fluorosilicones,Gels,HCR,LIMS,PottingCompounds,Silanes

[email protected]

GarrettParker InsideSalesRepresentative

330-630-9860ext.219

Elastomers,Fluorosilicones,HCR,LIMS

[email protected]

GeoffThyrumBusinessDevelopmentManager

717-419-8900 ThermalInterfaceMaterials

[email protected]

GinnyPowell RegionalManager 330-606-7482 Cosmetics [email protected]

JeffSchlegel RegionalManager 330-414-6284 RTV's [email protected]

JimAgee RegionalManager 423-782-8444 Fluorosilicones,HCR,[email protected]

JohnHeitler VicePresidentofSales 330-606-0380

Adhesives,AutomotiveRTV's,CommodityFluids,Cosmetics,Gels,PottingCompounds,Silanes

[email protected]

MikeGerlock RegionalManager 330-607-9986 Cosmetics [email protected]

MikeWood RegionalManager 330-607-4248 CommodityFluids [email protected]

PaulAlexander NationalBusinessManager 330-606-7485

Adhesives,AutomotiveRTV's,Gels,PottingCompounds

[email protected]

RachelToth InsideSalesRepresentative

330-630-9860ext.227

Adhesives,AutomotiveRTV's,ThermalInterface

[email protected]

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 226

Page 227: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

AlanDeShano RegionalManager 330-606-1899 Fluorosilicones,HCR,[email protected]

Materials,Gels,PottingCompounds

SherryAnderson RegionalManager 330-697-2510 Fluorosilicones,HCR,[email protected]

SteveCraig NationalBusinessManager 330-606-7483 Fluorosilicones,HCR,LIMS

[email protected]

YukoBadlani RegionalSalesManager 330-606-7486

Specialties,SiliconeFluidsandSilanesBusiness

[email protected]

22.6. MANUFACTRINGLOCATIONSPlant product location

Naoetsuplant Cellulosederivatives,Silicones,Syntheticquartz,Syntheticpheromones,Chloromethanes,Causticsoda,Photoresists,etc.

28-1,Nishifukushima,Kubiki-ku,Joetsu-shi,Niigata942-8601,Japan

Takefuplant Rareearths,Rare-earthmagnets,Silicones,Semiconductorsilicon(Shih-EtsuHandotaiCo.,Ltd.)

1-5,Kitago2-chome,Echizen-shi,Fukui915-8515,Japan

GunmaComplexIsobeplant

Silicones,Epoxy-moldingcompounds,Oxidesinglecrystals,PBN,Semiconductorsilicon(Shin-EtsuHandotaiCo.,Ltd.),etc.

13-1,Isobe2-chome,Annaka-shi,Gunma379-0195,Japan

GunmaComplexmatsuidaplant

Silicones,Syntheticquartz 1-10,Hitomi,Matsuida-machi,Annaka-shi,Gunma379-0224,Japan

Kashimaplant PVC,Syntheticquartzproducts 1,Towada,Kamisu-shi,Ibaraki314-0102,Japan

22.7. TECHCETANALYST ASSESSMENT Shin-EtsuChemicalhaslongservedthesemiconductormanufacturingindustrywithmanylithography

products.Theyarestronginresistandalsoinsiliconcontainingproducts.Oneofthelargestchemical

companiesinJapan,ithasgrowncapacityandmarket-shareoverthepastseveralyearsinareas

outsideoflithography:industrialPVC,industrialandspecialtysilicones,andsiliconwaferproduction

(Shin-EtsuHandotai).

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 227

Page 228: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

23. SUM ITOMO

23.1. SUMITOMOCHEMICAL - COMPANYOVERVIEW

YearEstablished 1913

HQLocation Chuo-ku,Tokyo,JapanChuo-ku,Osaka,Japan(SumitomoChemicalhas2HeadOffices)

ManufacturingLocations Ehime,Japan,Chiba,Japan, Osaka,JapanOita,Japan, Okayama,Japan, Gifu,JapanAomori,Japan, Ibaraki,Japan, Tokyo,JapanChangzhou,China, Chengdu,China,Chongqing,ChinaHefei,China, Shanghai,China, Shenzhen,ChinaWuxi,China,Xi’an,China,Beijing,ChinaTainan,Taiwan, Phoenix,AZ,U.S.A.,SouthKorea, Vietnam

Sr.ExecutiveTeam MasakazuTokura,ChairmanoftheBoardKeiichiIwata,PresidentRayNishimoto,ExecutiveVicePresidentHiroshiUeda,ExecutiveVicePresidentNoriakiTakeshita,SeniorManagingExecutiveOfficerHiroshiNiinuma,SeniorManagingExecutiveOfficerTakeshiShigemori,SeniorManagingExecutiveOfficerMasakiMatsui,ManagingExecutiveOfficerKingoAkahori,ManagingExecutiveOfficerMarcVermeire,ManagingExecutiveOfficerKazuyukiNuki,ManagingExecutiveOfficerKeiichiSakata,ManagingExecutiveOfficerMotoyukiSakai,ManagingExecutiveOfficerYoshiakiOda,ManagingExecutiveOfficerNobuakiMito,ManagingExecutiveOfficerSojiSakamoto,ManagingExecutiveOfficerYoshihiroMiyoshi,ManagingExecutiveOfficer

2019Revenues 2,330BJPY

2019Capex N/D

#ofEmployees 6,096(non-consolidated)32,542(consolidated)

Parent N/A

CompanyWebsite: https://www.sumitomo-chem.co.jp/english/

LinktoRecentCorporatePresentation:

https://www.sumitomo-chem.co.jp/english/ir/event/files/docs/191203e.pdf

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 228

Page 229: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

23.2. BUSINESS SEGMENTS

N/D

23.3. F INANCIAL OVERVIEW

FY2019Q1-Q3inMJPY

FY2019Q1-Q3inMUSD(108.65JPY/USD)

FY2018Q1-Q3inMJPY

FY2018Q1-Q3inMUSD(109.66JPY/USD)

%Change(JPY)

%Change(USD)

Revenue ¥1650733 $15193 ¥1714124 $15631 -3.7% -2.8%

Operatingincome ¥127662 $1175 ¥142601 $1300 -10.5% -9.6%

Capex(PP&E) N/D N/D

EBITDA N/D N/D

NetProfit ¥66514 $612 ¥117606 $1072 -43.4% -42.9%

SegmentSaleIT-relatedChemicals ¥304946 $2807 ¥300938 $2744 1.3% 2.3%

DebttoAssetratio N/D N/D

Note:forthe9monthsendedDecember31.

23.4. NEWS

December2019–SumitomoChemicalcompletesconstructionoftwocatalystmanufacturinglinesinitsChibaWorkslocation(Ichihara,Chiba)tomeetthedemandofcompanieslicensingitspolypropylene(PP)andpropyleneoxide(PO)manufacturingtechnologyFebruary2020–SumitomoChemicalandSEKISUICHEMICALformsastrategicalliancethatcombinesSEKISUICHEMICAL’sproductiontechnologyfortransformingwasteintoethanolwithSumitomoChemical’stechnologicalknow-howinmanufacturingpolyolefinMarch2020–SumitomoChemicalandKyotoUniversityagreetostartjointR&Dofsolid-typebatteriesforpracticalimplementation

23.5. TECHCET ANALYST ASSESSMENT

SumitomoChemicalCorporationisawell-establishedandglobalsemiconductorchemicalsupplier.

TheyhaveawidelineoflithograhicmaterialsTheyhavebeenexpandingcapacityforArFimmersion

resistinthepasttwoyearsandexpectsalestogrow.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 229

Page 230: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

24. SUNT I F I C

24.1. SUNTIF IC - COMPANYOVERVIEW

YearEstablished 2010

HQLocation Weifang,Shandong,China

ManufacturingLocations Weifang,Shandong,China

Sr.ExecutiveTeam SamSun,Founder

2019Revenues N/D

2019Capex N/D

#ofEmployees 48

Parent WeifangInnovationInvestmentManagementCo.,Ltd.

CompanyWebsite: http://www.suntific.com.cn/

LinktoRecentCorporatePresentation: N/D

Note:thecompanyisalsoknownasWeifangXingtaikeMicroelectronicsMaterialsCo.,Ltd.

24.2. BUS INESS SEGMENTS

• Specialphotoresist,lift-offphotoresist,flexiblephotoresist,nano-imprintphotoresist,SU-8thickphotoresistandotherhigh-endphotoresistandsupportingreagents

24.3. F INANCIAL OVERVIEW

Nopubliclyavailabledata

24.4. NEWS

N/D

24.5. MANUFACTURING LOCATIONS & CERT IF ICATES

ISO9001ISO14001

24.6. TECHCETANALYST ASSESSMENT

Verynewbuiltcompany;doesnothavemuchinformation

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 230

Page 231: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

25. TOK

25.1. TOKYOOHKAKOGYO(TOK) - COMPANYOVERVIEW

YearEstablished 1940

HQLocation Kawasaki,Kanagawa,Japan

ManufacturingLocations Koza-gun,Kanagawa,Japan(SagamiPlant)

Koza-gun,Kanagawa,Japan(ShonanOperationCenter)

Koriyama-shi,Fukushima,Japan(KoriyamaPlant)

Utsunomiya-shi,Tochigi,Japan(UtsunomiyaPlant)

Kumagaya-shi,Saitama,Japan(KumagayaPlant)

Gotemba-shi,Shizuoka,Japan(GotembaPlant)

Aso-shi,Kumamoto,Japan(AsoPlant)

Hillsboro,Oregon,U.S.A; HsinchuCity,Taiwan

MiaoliCity,Taiwan(MiaoliPlant);MiaoliCity,Taiwan(TongluoPlant)

JiangsuProvince,China, Incheon,Korea

Sr.ExecutiveTeam IkuoAkutsu,RepresentativeDirectorChairman

NoriakiTaneichi,CEO

HarutoshiSato,SeniorExecutiveOfficer,DepartmentManager,ResearchandDevelopment

YoichiShibamura,SeniorExecutiveOfficer,DepartmentManager,AccountingandFinance

YoshioHagiwara,SeniorExecutiveOfficer,DepartmentManager,CorporatePlanning

KunioMizuki,ExecutiveOfficer,DepartmentManager,GeneralAffairs

KoichiIrino,ExecutiveOfficer,ChairmanandPresidentofTOKTAIWANCO.,LTD.

2019Revenues 102,820MJPY(consolidated)

2019Capex 6,698MJPY

#ofEmployees 1,673(consolidated)

Parent N/A

CompanyWebsite: https://www.tok.co.jp/

LinktoRecentCorporatePresentation:

https://www.tok.co.jp/eng/company/movie.html

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 231

Page 232: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

25.2. BUSINESS SEGMENTS

PhotolithographyTechnology

interlayerinsulatingfilm,materialsforcovercoat,spinondiffusionsource,materialsfordirectedselfassembly,developingsolution,strippingsolution,thinner

SemiconductorManufacturingField

Rubbertypenegativephotoresist,photoresistfori-line,g-line,photoresistforArF,ArFImmersion,KrF,EUV,electronbeamphotoresist

SemiconductorPackagingandMEMSManufacturingField

PhotoresistsforCu,NI,Sn-Ag,PdElectrolyticPlatingofAuBumps,CuPillars,Microbumps,Anti-corrosivephotoresiststrippingsolutions,PhotoresistsforRDLPlating,etc..

3D-PackagingField Adhesivematerials,Thinnerstoremoveadhesivematerials

PanelManufacturingField

vTFRseries(High-sensitivitypositivetypeforTFT),TFR-DIseries(High-sensitivityi-linepositivetypeforTFT),CFPRBKseries(BlackresistforcolorfilterBMforming),PMERP7100series(High-sensitivitypositivetypeforSTN),etc…

Electronicmaterialsoffered:Photoresists:• RubbertypeNegativephotoresist(OMRseries)• Photoresistforg-line(OFPRseries,TSMRseries)• Photoresistfori-line(TSCRseries,THMR-iPseries,TDMR-ARseries,TSMR-iNseries)• PhotoresistforKrF(TDUR-Pseries,TDUR-Nseries,TGMR-DPseries)• PhotoresistforArF(TARF-Pseries)• PhotoresistforArFImmersion(TARF-PIseries)• PhotoresistforEUV• Electronbeamphotoresist(OEBRseries)

Photolithographyrelatedchemicals:• Interlayerinsulatingfilm(OCDseries)• Spin-ondiffusionsource(PBFseries)• Materialsforshrinkprocess(ASFseries)• Materialsforcovercoat(OFRseries)• Materialsforself-directedassembly• Othermaterials(TPF–high-purityaqueousresinsolution)• Developingsolution,strippingsolution,thinner

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 232

Page 233: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

25.3. F INANCIAL OVERVIEW

CY2019inMJPY

CY2019inMUSD(109.01JPY/USD)

CY2020inMJPY

CY2020inMUSD(106.76JPY/USD)

%ChangeYear-over-YearinJPY

%ChangeYear-over-YearinUSD

Revenue ¥102820 $943 ¥117585 $1101 14.4% 16.8%

Operatingincome ¥9546 $88 ¥15589 $146 63.3% 66.7%

NetProfit ¥5401 $50 ¥9926 $93 83.8% 87.7%

SegmentSalematerialbusiness

¥98986 $908 ¥114773 $1075 15.9% 18.4%

25.4. NEWS

N/D

25.5. KEY CUSTOMERS

Intel–PreferredQualitySupplierAward(2018)

25.6. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS

ManufacturingLocations products

SagamiPlant photoresists,specialtychemicals,andorganicchemicals.

ShonanOperationCenter

etchers,ashers,andcoaters,etc.,aswellasprocessequipmentforliquid-crystaldisplays

KoriyamaPlant high-resolutionphotoresistsforKrFandArFexcimerlasers

UtsunomiyaPlant mass-productionfactoryforalltypesofsemiconductorphotoresists

KumagayaPlant vItwasbuiltlargelytoprovidesupportandback-uptotheSagamiOperationCenter,andisusedchieflyfortheproductionofCRT-relatedchemicals;Here,too,alltypesofhigh-puritychemicals,bothorganicandinorganicchemicals,aremanufactured.

GotembaPlant ultra-advancedsemiconductorphotoresists,coatingfluidsforcoatingformation(OCDs)andalltypesofphotoresists.

AsoPlant liquidcrystaldisplaysphotoresists

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 233

Page 234: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Whatisfor Dateofcertificate

ISO26000 2010

ISO9001 2017

White500 2018

25.7. JVS ANDPARTNERSHIPS; WORLDWIDE SUBS ID IAR IES

ChangChunTOK(Changshu)Co.,Ltd.–JVwithChangChunPetroChemicalCo.,Ltd.forproductionofsolventsusedinthesemiconductorandliquidcrystaldisplay(LCD)devices,materialsbusinesses.PartneredwithPixelligentTechnologies,anadvancedmaterialsmanufacturerinBaltimore,MD

CompanyName BusinessDetails Established

TOKYOOHKAKOGYO

AMERICA,INC.

Manufactureandsalesofphotoresistsandhigh-puritychemicalagentsusedmainlyinphotolithographyprocessesinthefieldofsemiconductors

April,1989

TOKTAIWANCO.,LTD.

Manufactureandsalesofhigh-puritychemicalagentsusedmainlyinphotolithographyprocessesinthefieldsofsemiconductors,flatpaneldisplaysandpackagemodules,andsalesequipmentusedinthefiel

January,1998

CHANGCHUNTOK

(CHANGSHU)CO.,LTD.

Manufactureandsalesofhigh-puritychemicalagentsusedmainlyinphotolithographyprocessesinthefieldsofsemiconductorsandflatpaneldisplays

October,2004

TOKYOOHKAKOGYOEUROPE

B.V.

Salesofphotoresistsandhigh-puritychemicalagentsusedmainlyinphotolithographyprocessesinthefieldofsemiconductors,andprintingmaterials

December,2005

TOKADVANCEDMATERIALSCO.,

LTD.

Developments,Manufactures,andsalesofphotoresistsforsemiconductorsandphotoresist-relatedhigh-puritychemicals

August,2012

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 234

Page 235: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

25.8. SALES REPS ANDDISTR IBUTORS BY REGION

ElgaRonal(previouslyLearonalInc.)–distributorinUnitedStatesandCanadaTokyoOhkaKogyoAmerica,Inc.(U.S.A.)CorporateSalesOffice:190TopazStreet,Milpitas,California95035,U.S.A.TEL.+1-408-956-9901FAX.+1-408-956-9995TOKTaiwanCo.,Ltd.(Taiwan)4F.,No.95,BeidaRd.,EastDist.,HsinchuCity30044,TAIWANTEL.+886-3-5345953FAX.+886-3-5350178ChangChunTOK(Changshu)Co.,Ltd.(China)ChangchunRoad,RiversideIndustrialPark,ChangshuEconomicDevelopmentZone,JiangsuProvince215537,CHINATEL.+86-512-5264-8000FAX.+86-512-5264-5729ShanghaiBranchOffice:703B-2,GIFCⅡ.1438HongqiaoRoad.ChangNingDistrict,ShangHai200336PRCTEL.+86-21-6216-2299FAX.+86-21-6215-3721TokyoOhkaKogyoEuropeBVPolarisAvenue11,2132JHHoofddorp,TheNetherlandsTEL.+31-33-4543522TOKAdvancedMaterialsCo.,Ltd.(Korea)45Cheomdan-Daero,60Beon-Gil,Yeonsu-Gu,Incheon,RepublicofKoreaTEL.+82-32-850-2000FAX.+82-32-850-2100SingaporeBranch8ShentonWay,#14-01A,SINGAPORE068811TEL.+65-62261485FAX.+65-62261893ShanghaiRepresentativeOffice703B-1,GIFCⅡ.1438HongqiaoRoad.ChangNingDistrict,ShangHai200336PRCTEL.+86-21-5840-8800FAX.+86-21-5840-8884

25.9. TECHCETANALYST ASSESSMENT

TOKisagrowingcompanyfocusedmainlyonproducingphotoresistsforthesemiconductorsector.ThecompanyhasrecentlymadealargeinvestmentandpartnershipwithAmericancompany,Pixelligentforresearchanddevelopment.TheTOKMediumTermBusinessPlanpublishedin2019callsforinvestmentinTOKTaiwanCo.,Ltd.toincreasecapacityforhigh-puritychemicalsforcutting-edgenodes.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 235

Page 236: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

26. YOUNGCHANGCHEMICAL

26.1. COMPANYOVERVIEW

YearEstablished 2001

HQLocation 174-12,Yuseori-gil,Seonnam-myeon,Seongju-gun,Gyeongsangbuk-do,SouthKorea.

ManufacturingLocations fourfactoriesinGyeongsangbuk-do,SouthKorea

Sr.ExecutiveTeam LeeSungIl,PresidentJinKim,Director,Accountingdepartment

2019Revenues 1MUSD

2019Capex N/A

#ofEmployees 109

Parent N/A

CompanyWebsite: http://www.ycchem.co.kr/en/?c=186

LinktoRecentCorporatePresentation: N/A

26.2. BUSINESS SEGMENTS

Semiconductor wafering

CMP:

CMPslurryforTungstenbuffingstep,CMPslurryforCopperbulkstep,CMPslurryforTungstenBulk&Barriermetalstep,CopperpostCMPcleaner

Spinoncarbonhardmask

rinsingsolution

etchant:

PolysiliconeEtchantforQuartztube,3DNANDWord-linerecesswet-etchsolution,SiGeEtchantforSemiconductor

developer

TARC;BARC

Photoresist:

TSVthickphotoresist,KrFphotoresist,bumpphotoresist,I-linephotoresist

Display vtransparentphotoresist,UVImprintresin,Stripper,LED,LCD

Eco-friendlyEnergy,general

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 236

Page 237: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

26.3. KEY CUSTOMERS

26.4. FINANCIAL OVERVIEW

No publicly available data

26.5. MANUFACTURINGLOCATIONS, CAPABIL IT IES , ANDCERT IF ICAT IONS

ManufacturingLocations products location

HEADOFFICE,1st,2nd,3rdFactory

surfactants 174-12,Yuseori-gil,Seonnam-myeon,Seongju-gun,Gyeongsangbuk-do,SouthKorea.

4thFactory,SEONGJUGENERALINDUSTRIALCOMPLEX

surfactants 49,Seongjusaneopdanji-ro,Seongju-eup,Seongju-gun,Gyeongsangbuk-do,SouthKorea.

AnalysisEquipment:

Scanner,Stepper,Track,Echer,WetStation,ICP-MS,GC-MS,GC-FID,etc…

Whatisfor Dateofcertificate

ISO14001 2005

ISO9001 2005

ISO/TS16949 2010

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 237

Page 238: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

27. ALLRES IS T GESEL LSCHAFT FÜR CHEMISCHE

27.1. COMPANYOVERVIEW

YearEstablished 1992

HQLocation Berlin,Germany

ManufacturingLocations N/A

Sr.ExecutiveTeam ManagingDirector:MatthiasSchirmerFounder:MatthiasandBrigitteSchirmer

2019Revenues 4MUSD(needtobeverified)

2019Capex N/A

#ofEmployees 21(needtobeverified)

Parent N/A

CompanyWebsite: https://www.allresist.com/

LinktoRecentCorporatePresentation: N/A

27.2. BUSINESS SEGMENTS

segment products

e-beamresists

(positiveandnegative)

AR-N7500series,AR-N7520series,AR-N7700series,etc…

Photoresist Imagereversalresist,negative,positive(fulllist)

Productiveresist productivecoatingsseries(fulllist)

Chemicals Adhesionspromoter,developer,thinner,remover,stopper

Bottomresist AR-BR5480,AR-BR5460

27.3. KEY CUSTOMERS

medium-sizedelectroniccircuitsandchipmanufacturersfromvariousmicroelectronicsandmicrosystemstechnologysectors,butalsouniversitiesandresearchinstitutesbelongtoourclientele.

27.4. SALES REPS ANDDISTR IBUTORS BY REGION

Companycontactinfo:AmBiotop1415344Strausberg

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 238

Page 239: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Tel:+493341–35930Fax:+493341–359329E-Mail:info(a)allresist.deDistributors:Semiconductor&CreationofsynchRONization;PicoTechPuipig;Ostec;GermanTech(北京汇德信科技有限公司);NextStep

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 239

Page 240: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

28. ZEON

28.1. COMPANYOVERVIEW

YearEstablished 1950

HQLocation Tokyo,Japan

ManufacturingLocations fourPlantsinJapan,four+plantsinChina,3plantsinUS

Sr.ExecutiveTeam PresidentandCEOKimiakiTANAKADirector&SeniorCorporateOfficerHiroyukiHIRAKAWA: ・ElastomersandChemicalsBusiness

・DivisionManager-RawMaterial

・DivisionManager-Logistics

ToruNISHIJIMA: ・ProductionandEngineeringTechnology

・DivisionManager-ProductionCenter

・GeneralManager-ProductionAdministration

FY2019(2019/4~2020/3)Revenues

321,996MJPY/2954MUSD

2019Capex N/D

#ofEmployees 3462

CompanyWebsite: http://www.zeon.co.jp/index_e.html

28.2. BUSINESS SEGMENTS

Electronics&Telecommunications

Photoresist

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 240

Page 241: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

ElectronBeamResist

GasPrecursorsforDryEtchingandRIE

ZEORORA®forSemiconductors(Memory/LSI)andEtchingsiliconoxidefilm;HighSelectiveandLowDamageSiNEtching;HighSelectiveSiO2andLow-KEtching

CoatingInsulationMaterials

ZEOCOAT™(materialsfordisplay)providessuperiorperformanceinlowwaterabsorption,hightransparency,lowdielectricconstant;ZEOCOAT®ZC100(materialsforsemiconductorpackage)isanalkaline-developablepositive-typephotosensitiveinsulationmaterial.Curingiscapableatlowtemperaturesunder200℃.ZC100canbeappliedinsemiconductorpackageinsulationlayeretc.

Displays Lightguideplatesfordisplays;Opticalfilms;Coatinginsulationmaterials;protectivecoating

MobileDevices Cameralenses,Build-inantennas

28.3. F INANCIAL OVERVIEW

FY2020(April2019~March2020)Revenues

FY2019inMillionsofJPY

FY2019MUSD(110.94JPY/USD)

FY2020inMillionsofJPY

FY2020inMUSD(106.76JPY/USD)

%ChangeYear-overYear(JPY)

%ChangeYear-overYear(USD)

Revenue ¥337499.0 $3042.2 ¥321996.0 $3029.7 4.8% 0.4%

Operatingincome ¥33147.0 $298.8 ¥26104.0 $245.6 27.0% 21.6%

NetProfit ¥18458.0 $166.4 ¥20201.0 $190.1 -8.6% -12.5%

SpecialtyMaterialssegmentrevenue

¥85142.0 $767.5 ¥71749.0 $675.1 18.7% 13.7%

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 241

Page 242: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Elastomersegmentrevenue

¥195931.0 $1766.1 ¥176956.0 $1665.0 10.7% 6.1%

(Note:FY2019-FY2019ninemonths+FY2020ninemonths=CY2019)

28.4. KEY CUSTOMERS

28.5. CERTIF ICATES & MANUFACTURINGLOCATIONS

Plant Product/business ContactInfo

TakaokaPlant Hydrogenatednitrilerubber,electronicmaterials,andmedicalequipment.

TEL: +81-766-21-0252

KawasakiPlant Nitrilerubber,styrene-butadienerubber,acrylrubber,polyblend,high-styrenelatex,acrylonitrilebutadienelatex,acrylatelatex,styrenebutadienelatex

TEL: +81-44-276-3700

TokuyamaPlant Styrene-butadienerubber,polybutadienerubber,highstyrenerubber,nitrilrubber,epichlorohydrinrubber,styrene-butadinelatex,polybutadienelatex,butadiene,polymerizedtoner,andsingle-walledcarbonnanotube.

TEL: +81-834-21-8501

MizushimaPlant Isoprenerubber,thermoplasticelastomerSIS,C5Hydrocarbonresins,cylo-olefinpolymer,RIMmoldproducts,syntheticaromachemical,isoprenemonomer,butadienemonomer

TEL: +81-86-475-0021

ZeonPolymix(Guangzhou)Co.,Ltd.

Manufactureandsaleofrubbercompounds TEL:+86-20-3222-1171FAX:+86-20-3222-1820

TakeharaZeon(Shanghai)Co.,Ltd.

Manufactureandsaleofsiliconrubbercompound

ZeonChemicalsSingaporePte.Ltd.

ManufactureandsaleofS-SBR TEL:+65-6933-4400FAX:+65-6933-4413

ZeonKasei(Changshu)Co.,Ltd.

ManufactureandsaleofPVCpowderslushcompound

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 242

Page 243: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

PlantsintheUS:KentuckyPlant4100BellsLane,Louisville,Kentucky40211,U.S.A.TEL:+1-502-775-7600FAX:+1-502-775-7614MississippiPlant1301WestSeventhStreet,Hattiesburg,Mississippi39401,U.S.A.TEL:+1-601-583-6020FAX:+1-601-583-6032TexasPlant11235ChoateRoad,Pasadena,Texas77507,U.S.A.TEL:+1-281-474-9693FAX:+1-281-474-0966

28.6. MAIN STOCKHOLDERS

MajorStockholders NumberofHoldingShares(thousands)

TheYokohamaRubberCo.,Ltd. 22,682 10.37%

TheMasterTrustBankofJapan,Ltd.(TrustAccount) 13,520 6.18%

CustodyBankofJapan,Ltd.(TrustAccount) 10,467 4.79%

MizuhoBank,Ltd. 9,600 4.39%

AsahiMutualLifeInsuranceCompany 7,679 3.51%

GOLDMANSACHSINTERNATIONAL 6,807 3.11%

AsahiKaseiCorp. 6,438 2.94%

NationalMutualInsuranceFederationofAgriculturalCooperatives

6,055 2.77%

TheNorinchukinBank 4,000 1.83%

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 243

Page 244: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

28.7. SALES REPS ANDDISTR IBUTORS BY REGION

Asia:ZeonTrading(Shanghai)Co.,Ltd.Room1901-2,2GrandGatewayNo.3HongqiaoRoad,XuhuiDistrict,Shanghai,Code:200230,CHINATEL:+86-21-6040-7255FAX:+86-21-6040-7258Sale,exportandimportofsyntheticrubbersandchemicalsandrelatedproductsFounded:March19,2002Capital:USD200,000Percentageowned:100%ZeonMedical(Guangzhou)Inc.Room1706A,GoldlionDigitalNetworkCenter,No.138TiYuDongRoad,TianheDistrict,Guangzhou,Guangdong,510620,ChinaTEL:+86-20-2283-6788FAX:+86-20-2283-6789Business:Sale,exportandimportofmedicaldevicesFounded:June19,2012Capital:USD200,000Percentageowned:100%ZeonKoreaCo.,Ltd.No.403,4Fl.,36,Teheran-ro87-gil,Gangnam-gu,Seoul,06164,Korea(CityAirTower,Samseong-

dong)TEL:+82-2-539-8565FAX:+82-2-538-5190Business:Saleandimportofopticalmaterials,electronicmaterials,syntheticresins,syntheticrubbers.Founded:February1,2011Capital:KRW900,000,000Percentageowned:100%ZeonShinhwa(Zeshin)Inc.No.502CALTB/D(CityAirport)22,Teheran-ro87-gil,Gangnam-gu,Seoul,06164,KoreaTEL:+82-2-761-7030FAX:+82-2-786-7221Business:Saleofelectronicmaterials.Founded:July1,1997Capital:KRW100,000,000Percentageowned:51%ZeonCSCCorporation3F.-2,No.266,Sec.1,Wenhua2ndRd.,LinkouDist.,NewTaipeiCity24448,Taiwan(R.O.C.)TEL:+886-2-2609-2156FAX:+886-2-2600-6413Business:Saleofopticalmaterials.Founded:March26,2001Capital:NTD20,000,000Percentageowned:51%TheAmerica:ZeonSpecialtyMaterialslnc.25 MetroDrive,Suite238,SanJose,California95110,USA

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 244

Page 245: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

TEL:+1-408-641-7889FAX:+1-408-516-9382Business:SaleofspecialtymaterialsZeondoBrasilLtdaRuaArandu,57/cj23,SaoPaulo-SP,Brazil04562-031TEL:+55-11-5501-2120FAX:+55-11-5501-2122Business:SaleofsyntheticrubbersandresinsinSouthAmericaFounded:April1,2005Capital:R$1,000Percentageowned:99%ZeonKaseiMexicoS.A.deC.V.AvenidaSantiagoSur100,LosJassos,SanLuisPotosi,SanLuisPotosi,MEXICO,C.P.78420TEL:+52-1-444-478-5400Business:PVC/PSCcompoundsforpowderslushFounded:July7,2015Capital:US$12,700,000 Percentageowned:100%

※)Percentageowned:GroupTotal>Europe:ZeonEuropeGmbHHansaallee249,40549Dusseldorf,GermanyTEL:+49-211-52670FAX:+49-211-5267160Business:Sale,export,andimportofsyntheticrubbersandresinsFounded:December7,1989Capital:DM5,200,000Percentageowned:100%

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 245

Page 246: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

29. J IANGSU NATA OPTOELECTRONICS

29.1. COMPANYOVERVIEW

YearEstablished 2012

HQLocation Jiangsu,China

ManufacturingLocations TwoplantsinChina,eachas88948m²and23069m²

Sr.ExecutiveTeam President:WangLupingVicePresidents:XuCongying,ChenHuabing,SongXuezhang,SuYouqinTechnicalDirector:YangMin(updatedJanuary2021)

2019Revenue 48.8MUSD

2019Capex 41.6MUSD

#ofEmployees 652

CompanyWebsite: http://www.natachem.com/#2

29.2. BUSINESS SEGMENTS

ALD/CVDPrecursorSeriesProducts Cl6Si2,C8H20O4Si,C4H12Si,C6H15BO3,etc…

≥99.9999999%

MOSourceSeriesProducts Ga(CH3)3,In(CH3)3,Al(CH3)3,Al(C2H5)3,etc…

≥99.9999%

High-purityElectronicSpecialGasSeriesProducts

PH3,AsH3,BF3,Mixturegases ≥99.99%

PhotoresistandSupportingMaterialSeriesProducts

functionalmonomers,functionalresins,photosensitizersandotherphotoresistmaterials

29.3. FINANCIAL OVERVIEW

CY2019MUSD CY2018MUSD %ChangeYear-overYear(JPY)

Revenue 48.8 34.7 40.6%

Operatingincome 6.3 4.1 53.7%

NetProfit 8.4 7.8 7.7%

Capex 41.6 7.2 477.8%

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 246

Page 247: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

29.4. NEWS

12/17/2020-Arfphotoresist,whichhasbeenmonopolizedbyforeigncountries,hasfinallytakenakeysteptowardslocalizationNataOptoelectronicsannouncedthatthecompany'sindependentlydevelopedARFphotoresistproductshavesuccessfullypassedthecustomerusecertification,whichmarksakeybreakthroughinthedevelopmentandindustrializationofARFphotoresistproducts.05/08/2021–Someinvestorsaskedquestionsontheinvestorinteractiveplatform:afterthecompanyobtainedthephotoresistcertificationlastyear,ithasobtainedsomeordersfromSMIC,whynotannouncethem?NandaOptoelectronicsiscurrentlysendingphotoresistproductstoanumberofdownstreamcustomersforverification,andtheverificationisprogressingsmoothly.Novolumeordershavebeensignedatthistime.01/11/2021-JiangsuNataOptoelectronicwillincreasethecapitalonthewholly-ownedsemiconductorsubsidiaryInordertoenhancetheR&Dcapabilityofitswholly-ownedsubsidiaryandtomeetitsdailyproductionandoperationandlong-termcapitalneeds,theCompanyintendstoincreasetheregisteredcapitalofCNY10,000,000toNataSemiconductorwithitsownorself-raisedfunds.AtthesametimeauthorizedthecompanyandNatasemiconductorfunctionaldepartmentstodealwiththespecificfollow-upmattersrelatedtothecapitalincrease.Uponcompletionofthecapitalincrease,theregisteredcapitalofNTUSemiconductorwillincreasefromRMB13,000toRMB23,000,andthecompanywillstillhold100%oftheequityofNTUSemiconductor.

29.5. MANUFACTURINGLOCATIONS, CERT IF ICATES

Region ManufacturingLocations Sizeoftheplant

Quanjiao,China SpecialtyGasesproductionbase 88948m²

Jiangsu,China R&DCenter 24495m²

Suzhou,China MOSourceProductionBase 23069m²

29.6. JVS ANDPARTNERSHIPS

N/D

29.7. SALES REPRESENTATIVES ANDD ISTR IBUTORS

CompanyName Location Contact

ChallentechInternationalCorp. Taiwan Tel.:886-3-5536525Fax:886-3-5536515E-mail:[email protected]

Sonata,LLC USA Tel:86-13801998337Email:[email protected]

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 247

Page 248: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

HighchemTechnologyCompanyLimited

Japan Tel.:03-5542-0566Fax:03-5542-0570

29.8. TECHCETANALYST ASSESSMENT

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 248

Page 249: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

30. SHANGHAI S INYANGSEMICONDUCTOR MATER IA LS CO . , L TD

30.1. COMPANYOVERVIEW

YearEstablished 1999

HQLocation Shanghai

ManufacturingLocations N/D

Sr.ExecutiveTeam Chairman,Director:WangFuxiangGeneralmanager:FangShunongDirectors:FangShunong,ShaoJianmin,WangSu,WangZhenrong,ZhiWenyanIndependentdirectors:QinZhengyu,XuDing,JiangShoulei

2019Revenue 97.4MUSD

2019Capex 6.8MUSD

#ofEmployees 290

CompanyWebsite: http://www.sinyang.com.cn/

Companypresentation: http://www.sinyang.com.cn/intro/4.html

30.2. BUSINESS SEGMENTS

Semiconductor Packaging Chemicalproduct:DeburringsolutionSYDseries,ElectroplatingchemicalsSYTseries;Equipmentproduct:SYM-ACIseriesautomaticchemicalsoakingline,SYM-SSDserieshighpressurewatersprayequipment, SYM-HCPseriesautomaticrackplatingproductionline,SYM-HEPserieshigh-efficiencyenergy-savingautomaticelectroplatingline,SYBseriesdiamonddicingknife

Semiconductor manufacturing and advanced packaging

Chemicalproduct:Waferprocesshigh-puritychemicalsSYSseries;Equipmentproduct:SYM-WBserieswaferwetprocessproductionline

Chemicalmaterialsforelectroniccomponentsofaerospace

SYCseriesofelectrolessnickelplatingchemicals

30.3. FINANCIAL OVERVIEW

CY2019MUSD CY2018MUSD %ChangeYear-overYear(JPY)

Revenue 97.4 85.0 14.6%

Operatingincome 6.1 10.0 -39.0%

NetProfit 32.0 1.0 3100%

Capex 6.8 13.0 47.7%

Financial information in Chinese(Yuan) in 2020 – click here

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 249

Page 250: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

30.4. NEWS

04/23/2021Whenwillthecompany'sphotoresistmanufacturercertificationpass?WhenwilltheASMLXT1900GIlithographymachinearrive?Dearinvestor:Thecompany'sphotoresistproductsarestillinthecustomerverificationstage.TheASML1900lithographymachinepurchasedbyXingweiCompanyhasenteredthesiteofthecompany'stechnicalcenter.04/29/2021Whydidthecoatingbusinessnotgrowlastyear?Withthecontinuousinvestmentoffixedcapital,howdoyouviewthe21-yearreturnonnetassets?DearInvestor:Thecoatingsbusinessinthefirstquarterof2020wasgreatlyaffectedbytheepidemic,anditreboundedrapidlyinthe2-4quarters,buttheoverallsaleswereslightlylowerthanlastyear.Theincreaseinthecompany'sinvestmentinfixedassetsandR&Dprojectswillhaveacertainimpactonthecompany'sperformance.Thecompany'sreturnonnetassetsisaffectedbybothnetprofitandchangesinthesizeofnetassets.Thecompanywillincreasethecompany'soperatingperformancebyexpandingsales,improvingefficiency,andreducingcosts,soastoincreasethecompany'sreturnonnetassets.thanksforyourattention!04/29/2021Thecompany'sphotoresistprojecthasformulatedaproductroadmap.Canyoutellmemoreaboutit?Dearinvestor:Hello!The365nmIline,248nmKrF,193nmArFdryandwetphotoresistdevelopedbythecompanycanmeettheneedsofdomestic0.35-0.11micron,90-28nanometerchipprocesstechnologynodes.Thethicknessofthethickfilmphotoresistdevelopedbythecompanyformemorychipproductsrangesfrom3-15microns,whichcanmeettheprocessrequirementsof32-layerto192-layermemorychipproducts.11/03/2020Failuretodisclosethecompany'smajoreventsinatimelymannerandfailtoperformotherdutiesinaccordancewiththelawOnJune13,2017,yourcompanydirectlydisclosedtheAnnouncementonSupplementaryConfirmationofSomeRoutineRelatedTransactionsin2016,statingthatthecompanyandrelatedpartyEpsonSurfaceEngineeringTechnology(Zhenjiang)Co.,Ltd.hadatotalof807.20relatedtransactionsin2016.Tenthousandyuan,theabove-mentionedrelatedpartytransactionsfailedtoperformthereviewproceduresandinformationdisclosureobligationsinatimelymanner.

30.5. MANUFACTURINGLOCATIONS, CERT IF ICATES

N/D

30.6. JVS ANDPARTNERSHIPS , SHAREHOLDERS

Shareholder %

SINYANGINDUSTRIES&TRADINGPTELTD Actualcontroller 19.16%

ShanghaiXinhuiAssetManagementCo.,Ltd. EquityPledge 12.05%

ShanghaiXinkeInvestmentCo.,Ltd. EquityPledge 7.84%

WangFuxiang EquityPledge 0.99%

30.7. SALES REPRESENTATIVES ANDD ISTR IBUTORS

ShanghaiXinyangSemiconductorMaterialsCo.,Ltd.

Address:No.3600SixianRoad,SongjiangDistrict,Shanghai

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 250

Page 251: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Postcode:201616

Tel:86-21-57850088

Fax:86-21-57850620Email:[email protected]

Certificates

ISO9001:2008

OHSAS18001:2007

SMICBestSupplier

30.8. TECHCETANALYST ASSESSMENT

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 251

Page 252: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

APPENDIX – PHOTORESIST HISTORICAL TECH TRENDS

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 252

Page 253: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Photoresist though the years

• I-line and g-line , photoresist chemistry for optical lithography is dominated by the Novolak resins and diazoquinone (DNQ) sensitizers.

• The base novolak polymer is a background matrix and the developability is made possible by a change in the DNQ going from insoluble in the developer to soluble post exposure (DNQ acts as a dissolution inhibitor.)

• When moving to 248 nm

• Novolak polymer was too opaque (@248nm) thus the industry moved to Polyhydroxy styrene (PHS) as the base polymer - with a protecting group; a protection and deprotection chemistry.

• Catalyzed by a deprotecting photoacid generator (PAG.)

• Modulating the polymer’s solubility is key in 248nm photoresist (and 193nm resist too.)

• Moving to 193nm photoresist, PHS is too opaque at 193nm which drove the use of aliphatic polymers which also leverage the polymer solubility and a photoacid deprotector.

• Further, Aliphatic polymers etch too fast which necessitated a transition to protected alicyclic polymers in use today as 193nm ‘dry’, immersion photoresist and EUV photoresist.

PHOTORESIST HISTORICAL TECH TRENDS (BY PHOTORESIST TECHNOLOGY)

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 253

Page 254: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

APPENDIX – TONE DEVELOPER BACKGROUND

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 254

Page 255: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

POSITIVE TONE DEVELOPER MATERIALS STATUS & TRENDS

Aqueous developers (PTD) are the most widely used developers.

• The industry prefers positive resist easier to address residues – increase dose/developerconcentration (contacts are easier to keep open avoiding shorts.)

In the 1980s the industry moved to a standard fab developer: tetramethyl ammonium hydroxide(0.263N.)• Now the most widely used positive tone developer is 0.263 N TMAH (an aqueous solution of tetramethyl ammonium

hydroxide).

• There are version that include surfactant and wetting agents but they are less desirable as they limit track flexibility.• One developer for all fab photoresist limits accidents related to using the wrong developer• Surfactant resist can foam up thus bubbling causing bubble defects on the wafer surface. • TMAH is held to tight purity specifications and must be metallic ion free. • TMAH is easily made, and not a high margin material. Profit is made by selling large volumes.

• Potassium Hydroxide (KOH) has been a common developer used for prior generation products like I and g line photoresist.

• Very inexpensive and easily made• Unfortunately, it is by definition metal containing (potassium)• It corrodes metals at a greater level than TMAH

• Sodium Metal silicate was also a frequently used positive photoresist developer because it was less aggressive to metal. • However, as with KOH, sodium was also an undesirable metal.

Potassium Hydroxide (KOH)

Sodium Metal Silicate

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 255

Page 256: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

APPENDIX – EUV TECHNOLOGY

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 256

Page 257: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

EUV CAR AND MOR EUV PHOTORESIST - A QUICK COMPARISON

• This photoresist technology was developed for 248nm and extended to 193nm then EUV by changing the polymer matrix. It still leverages photoacid chemistry.

• Photoacid generators have enable the extension of CAR to 193nm and EUV; because of their absorbance characteristics.

• CAR be imaged in positive tone and negative tone depending on developer selection (aqueous vs organic respectively.)

• The processes in place for CAR platforms are easily transferred from 248nm to EUV; etch processes for example.

• MOR is a new technology found to be applicable to EUV.

• MOR photoresist are based on tin oxide and the metal provides excellent etch resistance in semiconductor plasma environments meant for carbon based photoresist.

• The high tin content enables EUV light to be absorbed much more efficiently thana typical organic photoresist system.

• Chip manufacturers have to set up specialized infrastructure to assure the metallic ions are contained; they could cause device shorts if they migrate.

• The material can be coated quite thin, thus can obtain higher resolution than CAR (lower pattern aspect ratio leads to higher resolution.)

EUV TECHNOLOGY TRENDS - PHOTORESIST

Metal Oxide Photoresist (MOR)

Chemically Amplified Photoresist (CAR)

*More detail regarding the chemistry will be provided on next slides

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 257

Page 258: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

CHALLENGES OF CARS - NOT UNIFORMPHOTOACID AT MOLECULAR LEVEL

EUV TECHNOLOGY TRENDS – CHALLENGE OF NOISE/DEFECTS

143

Random arrangement of molecules PAG,additives, and the polymer) in a unit area Photoresist cell

https://www.euvlitho.com/2016/P1.pdf

• Chemically amplified resists (CARs) are comprised of multiple components

• Polymers

• Photoacid generators (PAGs)

• Base quenchers (sometime)

• The random positioning of components and the polymer structure of photoresist components is believed to cause line edge roughness (LER).

• Anything that departs from the designed feature is considered a defect; LER is a “rough pattern. “

• Each technology generations requires compromise on some key parameter: LER, Exposure dose or resolution (typically you can’t get the desired results for all parameters simultaneously.)

• CARs also suffer from environmental sensitivity (basic pH chemicals in the environment interfere with the chemistry) resulting in pattern instability over time.

• Driven, typically, by a base in the environment neutralizing the acid generated in the chemically amplified photoresist.

(More information on the next page.)

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 258

Page 259: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

EUV TECHNOLOGY TRENDS – 2 TYPES OF EUV PHOTORESISTS: CAR & METAL OXIDE PHOTORESIST

EUV Metal Oxide (MOR) PhotoresistChemically-amplified Photoresist (CAR) typical(KrF, ArF or EUV)

Metal Oxide photoresist (MOR) contain metal that gives then high EUV absorbance allowing the energy of EUV photons to be utilized in the chemistry; e.g. forming a crosslinked matrix upon exposure (negative tone photoresist pattern is obtained.)

Figure : EUV MOR Photoresist Activation Mechanism

193nm terpolymer in the presence of photoacid and heat from a TMAH soluble product; carboxylic acid -typical approach used for 193nm photoresist (also used for EUV).

248nm polymer in the presence of photoacid and heat from a TMAH soluble product; typical approach used for 248nm photoresist.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 259

Page 260: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

APPENDIX – ARCS & BARCS AND TARCSEXPLAINED

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 260

Page 261: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

ANTIREFLECTIVE COATINGS EXPLAINED

Antireflective Coatings serve to mitigate patterning errors caused by reflected light generated by the exposure tooling.

Top ARC is an aqueous product typically used for photoresist types ranging from I-line to 193nm. Top ARCs allow users to use therefractive index and thickness of two films (the photoresist and TARC) to control reflectivity by managing destructive interference.

• Merck is the current market leader for Top ARC.

• At this point, the material is primarily used for prior generational lithography processes.

A photoresist topcoat is now, routinely, used to protect immersion ArF immersion scanner optics from photoresist deposition.

• JSR is the market leader for immersion topcoat.

Substrates are typically highly polished and reflective surfaces (aluminum, silicon, and titanium, for example.) future

• The reflected light can cause processing problems like:– Standing waves– Process window reductions – both exposure latitude (EL) and depth of focus (DOF)– Reflections can lead to critical dimension variability across the wafer (CD) also called CD non- uniformity. – Patterns be exposed in undesired location; notches. – Wafer topography can cause thickness variation that manifest as swing curve efforts

• ** More detail regarding reflections, notching, and other light induced phenomenon to follow on the following slides.

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 261

Page 262: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

ANTI-REFLECTIVE COATINGS

Anti-Reflective Coatings.Light induced critical dimension (CD) variation Swing

Curve: Interference of r1 and r12 caused by slight thickness

variation in the film.

Standing Waves: Interference of i1 andr1 (see

explanations/examples below and to the right)

Surface Adhesion: Organic photoresist ‘likes’ to adhere

other organic surfaces (oil and water don’t mix.)

Reflective surface

i1

The photoresist

r1r12

248nm365nm436nm

Light induced dose changes as a function of thickness at the listed wavelengths

Light induced dimension changes

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 262

Page 263: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

Air surface Air surface

BARCs utilize the refractive index, thickness and absorption of light to control reflectivity. They effectively make the substrate non-reflective.

TARCs use the refractive index and thickness of two films to control reflectivity through destructive interference only. It does not change the reflectivity of the substrate.

TOP AND BOTTOM ANTI-REFLECTIVE COATINGS

https://www.brewerscience.com/products/arc/

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 263

Page 264: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

TARC VS. BARC APPLICATIONS

Photoresist CD variation phenomenon are (Swing curve, reflective notching, and standing waves) reduced with Anti-reflective coatings:

BARC work by: Cancel r1 with interference with r2

TARC work by: Cancel r12 with interference with r22

TARC

i1 r1

Photoresist r2 r12 r22

BARC

Substrate ns

TARC VS. BARC APPLICATIONS

Anti-reflective coatings (ARCs) are a key element in the ‘optics’ of photolithography with all the reflective surface that can impact imaging. Anti-reflective coatings are designed to reduce reflectivity at Photoresist interfaces; providing better line width control (also called critAical dimension or CD.) The reflectivity is reduced either by attenuating light as it passes through the ARC coatings (top ARC) or by matching the index of refraction of the ARC coatings to the Photoresist system at the exposure wavelength employed (really using TARC and or BARC.)

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 264

Page 265: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

BOTTOM ANTI-REFLECTIVE COATING (BARC)

BARC is applied prior to the application of Photoresist, mainly, because BARCs prevent pattern distortion (see below) which is caused by standing waves and reflective notching that results from light scattered or light being reflected from substrates during the photolithography process. BARCS also are found to assist with photoresist adhesion.

BARC selection is dependent on application, e.g. DUV, I-line and 193nm available BARCs must be tuned for various wavelength used.

chip surface topography . http://www.dongjin.com/en/business/semiconductor.php

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 265

Page 266: CRITICAL MATERIALS REPORT™ LITHOGRAPHY MATERIALS

1. (WM) https://www.inpria.com/

2. (WM) https://www.zoominfo.com/c/inpria-corp/358621440

3. (WM) https://www.wsj.com/market-data/quotes/CN/XSHE/300346/company-people

4. (WM) https://www.yicaiglobal.com/news/china-nata-opto-electronic-gains-as-photoresist-product-passes-tests-challenges-import-monopoly

5. (WM) https://www.anandtech.com/show/16042/tsmc-we-have-50-of-all-euv-installations-60-wafer-capacity

6. (WM) https://optics.org/news/12/1/28

7. (WM) https://www.cbo.gov/publication/56965

8. (WM) https://www.imf.org/en/Publications/WEO

9. (WM) Oregon Fires Force 500,000 People to Evacuate; California Fire Becomes State's Deadliest of 2020 | The Weather Channel - Articles from The Weather Channel | weather.com

10.(WM) https://www.logisticsmgmt.com/article/fedex_ups_suspended_service_guarantees_during_covid._so_how_are_they_perform

11.(WM) https://foreignpolicy.com/2021/02/16/semiconductors-us-china-taiwan-technology-innovation-competition/

12. (wm) https://www.cnbc.com/2019/07/23/japan-south-korea-dispute-impact-on-semiconductor-supply-chain-prices.html

13. (wm) https://www.cnbc.com/2021/02/11/how-covid-led-to-a-60-billion-global-chip-shortage-for-automakers.html

14. (wm) Winter weather closes Texas chip plants, worsening shortages (techxplore.com)

REFERENCES

TECHCET-CMR-LITHO-CMCA-051821LS Copyright TECHCET CA, LLC 2021 all rights reserved

TECHCET Clients & CMC Members Confidential www.techcet.com | 266