cost-effective design and manufacturing of ... - pure.tue.nl

153
Cost-effective design and manufacturing of advanced optical interconnects Citation for published version (APA): Li, T. (2019). Cost-effective design and manufacturing of advanced optical interconnects. Technische Universiteit Eindhoven. Document status and date: Published: 31/01/2019 Document Version: Publisher’s PDF, also known as Version of Record (includes final page, issue and volume numbers) Please check the document version of this publication: • A submitted manuscript is the version of the article upon submission and before peer-review. There can be important differences between the submitted version and the official published version of record. People interested in the research are advised to contact the author for the final version of the publication, or visit the DOI to the publisher's website. • The final author version and the galley proof are versions of the publication after peer review. • The final published version features the final layout of the paper including the volume, issue and page numbers. Link to publication General rights Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights. • Users may download and print one copy of any publication from the public portal for the purpose of private study or research. • You may not further distribute the material or use it for any profit-making activity or commercial gain • You may freely distribute the URL identifying the publication in the public portal. If the publication is distributed under the terms of Article 25fa of the Dutch Copyright Act, indicated by the “Taverne” license above, please follow below link for the End User Agreement: www.tue.nl/taverne Take down policy If you believe that this document breaches copyright please contact us at: [email protected] providing details and we will investigate your claim. Download date: 24. Apr. 2022

Upload: others

Post on 24-Apr-2022

3 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Cost-effective design and manufacturing of ... - pure.tue.nl

Cost-effective design and manufacturing of advanced opticalinterconnectsCitation for published version (APA):Li, T. (2019). Cost-effective design and manufacturing of advanced optical interconnects. TechnischeUniversiteit Eindhoven.

Document status and date:Published: 31/01/2019

Document Version:Publisher’s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Please check the document version of this publication:

• A submitted manuscript is the version of the article upon submission and before peer-review. There can beimportant differences between the submitted version and the official published version of record. Peopleinterested in the research are advised to contact the author for the final version of the publication, or visit theDOI to the publisher's website.• The final author version and the galley proof are versions of the publication after peer review.• The final published version features the final layout of the paper including the volume, issue and pagenumbers.Link to publication

General rightsCopyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright ownersand it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights.

• Users may download and print one copy of any publication from the public portal for the purpose of private study or research. • You may not further distribute the material or use it for any profit-making activity or commercial gain • You may freely distribute the URL identifying the publication in the public portal.

If the publication is distributed under the terms of Article 25fa of the Dutch Copyright Act, indicated by the “Taverne” license above, pleasefollow below link for the End User Agreement:www.tue.nl/taverne

Take down policyIf you believe that this document breaches copyright please contact us at:[email protected] details and we will investigate your claim.

Download date: 24. Apr. 2022

Page 2: Cost-effective design and manufacturing of ... - pure.tue.nl

Cost-effective Design and Manufacturing of Advanced Optical Interconnects

PROEFSCHRIFT

ter verkrijging van de graad van doctor aan de Technische Universiteit Eindhoven, op gezag van de rector magnificus prof.dr.ir. F.P.T. Baaijens, voor een commissie aangewezen door het College voor Promoties, in het

openbaar te verdedigen op donderdag 31 januari 2019 om 11:00 uur

door

Teng Li

geboren te Shaanxi, China

Page 3: Cost-effective design and manufacturing of ... - pure.tue.nl

Dit is goedgekeurd door de promotoren en de samenstelling van de promotiecommissie is als volgt: voorzitter: prof.dr.ir. A.B. Smolders 1e promotor: prof.ir. A.M.J. Koonen 2e promotor: dr. O. Raz copromotor: dr. R. Stabile leden: prof.dr. Goran Mashanovich (University of

Southampton) prof.dr. Xin Yin (Universiteit Gent) dr.ir. M.C.van Beurden adviseur: dr.ir. Rutger Smink (TE Connectivity)

Het onderzoek of ontwerp dat in dit proefschrift wordt beschreven is uitgevoerd in overeenstemming met de TU/e Gedragscode Wetenschapsbeoefening.

Page 4: Cost-effective design and manufacturing of ... - pure.tue.nl

This Ph.D. thesis has been approved by a committee with the following members: Voorzitter: prof.dr.ir. A.B. Smolders 1e promotor: prof.ir. A.M.J. Koonen 2e promotor: dr. O. Raz copromotor: dr. R. Stabile leden: prof.dr. Goran Mashanovich (University of

Southampton) prof.dr. Xin Yin (Universiteit Gent) dr.ir. M.C.van Beurden adviseur: dr.ir. Rutger Smink (TE Connectivity) A catalogue record is available from the Eindhoven University of Technology Library. Title: Cost-effective Design and Manufacturing of Advanced Optical Interconnects Author: Teng Li Eindhoven University of Technology, 2019 ISBN: 978-90-386-4695-4 NUR: 959 Keywords: Optical interconnects /Hybrid integrated circuit interconnections/Optical and electronic packaging Copyright © 2019 by Teng Li Cover design: Yisha Ding The work in this thesis was supported by NWO project – an optically connected CMOS Ethernet switch IC (Project No. 13184), and has been carried out in the Electro-Optical Communication (ECO) Group, at the department of Electrical Engineering of TU/e, the Netherlands. All rights reserved. No part of this publication may be reproduced, stored in a retrieval system, or transmitted in any form or by any means without the prior written consent of the author.

Page 5: Cost-effective design and manufacturing of ... - pure.tue.nl
Page 6: Cost-effective design and manufacturing of ... - pure.tue.nl

献给 我的爷爷 Dedicated to my grandfather

Page 7: Cost-effective design and manufacturing of ... - pure.tue.nl
Page 8: Cost-effective design and manufacturing of ... - pure.tue.nl

王充《论衡

感虚篇》

精诚所至,金石为开。”

Page 9: Cost-effective design and manufacturing of ... - pure.tue.nl
Page 10: Cost-effective design and manufacturing of ... - pure.tue.nl

Abstract

ODAY’s required optical interconnect bandwidth is exponentially scaling up to support the growth of traffic in data center networks (DCNs). Hence,

high-speed parallel optical interconnects must become more reliable, meet the increase of bandwidth density and be power-efficient. Also, the costs of optical interconnects need to be cut down to achieve large market penetration.

Given the large market volume of both Vertical Cavity Surface Emitting Lasers (VCSELs) and photodiodes (PDs), most of the efforts to realize high-speed VCSEL and PD based transceiver modules are devoted to the electronic and optical packaging processes to satisfy the requirements such as high bit rate, high bandwidth density, high channel count, low cost, and low power consumption. Multimode (MM) VCSELs at 850 nm are chosen here as low-cost light sources for short reach optical communication in DCN. However, the majority of current commercially available transceivers, such as small form-factor pluggable+ (SFP+), quad small form-factor pluggable+ (QSFP+), and C form-factor pluggable+ (CFP+), often make use of complicated design and fabrication technologies resulting in high cost, complex automation and low yield. For example, cleanroom technology is often used in the interposer fabrication process and wire bonding techniques are used to create the connections from the interposer to the chip or from chip to chip. After that, the interposer is generally soldered or bonded on a printed circuit board (PCB) with an edge connector to get a final pluggable module. In order to simplify the overall packaging approach we investigate in this thesis the concept of direct flip-chip bonding of both electronics and optics on the eventual platform (rigid PCB, flexible printed circuit (FPC) and ceramics). Moreover, as pluggable front panel optical transceivers have been widely used in the DCN, the limited front panel area of the switch box implies that the resulting total bandwidth is limited. Relative to the pluggable electrical connector, an on-board optical module offers smaller footprint and larger bandwidth density.

The FR4 (Flame Retardant 4) based PCB is our first material choice because of its low-cost, multilayer structure and ease of fabrication process. The minimum pitch of current PCB technology is 125 µm, which is insufficient for the direct flip-chip bonding of the electronic and photonic ICs due to their very tightly arranges pads. Broadside coupled electronic differential pairs-based drivers for 850 nm MM transmitters on FR4-based PCB have been designed to match the metal pads layout on the dies. After a straightforward assembly of the electronic and optical dies, we have shown a 850nm MM PCB-based

T

Page 11: Cost-effective design and manufacturing of ... - pure.tue.nl

ii transmitter, which performs error-free at 10 Gbps. Broadside coupled differential pairs do not perform well at higher frequencies because of the unbalanced length due to the presence of vias and pads, which causes unwanted reflections, extra losses and phase shifts. Relative to the rigid PCB, the FPC has shown good performance at frequencies up to and above 40 Gbps and can also provide higher fabrication resolution. Therefore, we built a low-cost 48-channel high-speed 850nm MM FPC based on-board transmitter and receiver modules with coplanar differential pair with 1mm ISI pitch pin grid array (PGA) connector. The packaging approach requires only several flip-chip bonding steps using industry-standard solder reflow and ultrasonic bonding processes. All 96 channels show error-free performance at 10Gbps and offer a state-of-art bandwidth density of 0.483 Gbps/mm2. However, the assembly process for FPC is more difficult, especially for very compact designs due to the non-rigid substrate and Coefficient of Thermal Expansion (CTE) mismatch during the flip-chip bonding process. Ceramics, which now can support the increasingly finer pitch interconnects with photoimageable thick film technology (PITF), is another material we used as the base material. Its strong tensile strength and excellent thermal conductivity are used to overcome CTE mismatch and simplify the assembly process. Based on these properties, a concept design of the 1.572 Tbps bandwidth transceiver board is suggested. This board can be directly attached on the back side of a Xilinx field programmable gate array (FPGA) board, which contains 1 mm pitch ball grid array (BGA) pads, with a special Samtec 28Gbps+ low profile array connector. This design not only supplies the shortest transmission line between FPGA and transceiver module to maintain signal integration and reduce the power consumption but also proves to be the most compact transceiver design concept for both FPGA and application-specific integrated circuit (ASIC), which can provide the largest bandwidth density in the system.

Although 850nm MM VCSEL based optical interconnects have many advantages such as low power dissipation, low-driver current and high-speed modulation properties, it is still facing several challenges. On one hand, the reliability of VCSELs tends to degrade under harsh environmental conditions. On the other hand, MM VCSELs can only be used in short distance communication due to the relatively large fiber propagation loss at 850nm. C-band single-mode (SM) VCSELs-based transmitters will dominate the long distance communication market. To address these two trends we firstly looked at the challenge of reliability of VCSELs by developing a low-cost optical interconnect with redundant VCSELs to overcome possible failure of a single VCSEL. The solution has been demonstrated error-free operation at 10Gbps for both 850nm MM VCSELs with no performance penalty compared to a single device or commercial module. To address the challenge of single mode VCSEL transmission we demonstrated a low-cost SM 1.5 µm VCSEL based transmitter module capable of supporting 100 Gbps (4×25 Gbps) error-free data transmission directly attached to a multicore fiber (MCF) using space

Page 12: Cost-effective design and manufacturing of ... - pure.tue.nl

iii division multiplexing (SDM) technology for long reach optical communication systems. A 1x4 VCSEL array is directly coupled to a 3D glass interposer, which contains 4 waveguides made using an ultrafast laser inscription process and couples into a 4-core fiber which is used to increase the bandwidth density.

In this project, we investigated the trade-offs between several backplanes to realize the low-cost optical transceiver modules with higher bit rate, higher channel number and higher bandwidth density in order to meet the DCN traffic growth. Finally, we made the most compact design concept for both FPGA and ASIC and demonstrated for the first time a SDM C-band SM VCSEL-based transmitter capable of supporting 100 Gbps data transmission directly attached to a MCF.

Page 13: Cost-effective design and manufacturing of ... - pure.tue.nl
Page 14: Cost-effective design and manufacturing of ... - pure.tue.nl

1

Contents

Abstract…………………………………………………………………………..i

Chapter 1 Introduction ......................................................................................... 5

1.1 Internet of Things and Cloud Computing ............................................. 5

1.2 The Growth of Data Traffic .................................................................. 7

1.3 Data Center Network ............................................................................ 8

1.3.1 Optical Communication ................................................................... 10

1.3.2 Electrical Switch .............................................................................. 11

1.3.3 Optical Switch ................................................................................. 11

1.3.4 Hybrid Electrical/Optical Switch ..................................................... 12

1.4 Optical Interconnects .......................................................................... 12

1.4.1 From 100 G to 400 G ....................................................................... 15

1.4.2 Roadmap of Photonics in Data DCs ................................................ 17

1.4.3 Challenges for Integration ............................................................... 19

1.5 Scope of the Dissertation .................................................................... 20

1.5.1 Scientific Contributions ................................................................... 21

1.5.2 Outline of the Dissertation ............................................................... 21

Chapter 2 State-of-the-Art Technologies ........................................................... 23

2.1 Overview of Design Concepts ............................................................ 23

2.1.1 3D Stacking Design ......................................................................... 23

2.1.2 3D Embedding Design ..................................................................... 25

2.1.3 2.5D Integration Design ................................................................... 26

2.2 Interposers/Platforms for Optical Interconnects ................................. 27

2.2.1 Glass Interposer ............................................................................... 27

2.2.2 Silicon Interposer ............................................................................. 28

2.2.3 PCB Platform ................................................................................... 29

2.2.4 FPC Platform ................................................................................... 30

Page 15: Cost-effective design and manufacturing of ... - pure.tue.nl

2 2.2.5 Ceramics Platform ........................................................................... 31

2.3 Assembly Solutions ............................................................................ 32

2.3.1 Wire Bonding ................................................................................... 33

2.3.2 Flip-chip Bonding ............................................................................ 34

2.4 Advanced Optical Coupling Solutions ............................................... 36

2.5 Summary ............................................................................................ 41

Chapter 3 PCB Based Optical Transmitter ........................................................ 42

3.1 High-Speed Signals in Circuits .......................................................... 43

3.2 Broadside Coupled Differential Pair .................................................. 45

3.3 Design Concept .................................................................................. 47

3.4 Differential Pair Design ...................................................................... 47

3.5 3D EM Simulation .............................................................................. 49

3.6 Assembly Processes ........................................................................... 51

3.7 Experimental Setup ............................................................................ 54

3.8 Results ................................................................................................ 55

3.8.1 Wire bonding version ....................................................................... 55

3.8.2 Flip-chip version .............................................................................. 56

3.9 Summary and Discussion ................................................................... 57

3.9.1 Summary .......................................................................................... 57

3.9.2 Discussion ........................................................................................ 58

Chapter 4 Compact FPC Based On-board Optical Transceiver ......................... 59

4.1 Pluggable Optics and On-Board Optics ............................................. 60

4.2 Design Concept .................................................................................. 61

4.3 Simulation of FPCs ............................................................................ 64

4.4 Assembly ............................................................................................ 69

4.5 Experimental Results .......................................................................... 72

4.6 Thermal Simulation ............................................................................ 78

4.7 Conclusion .......................................................................................... 80

Chapter 5 Ceramics-based Optical Engine and Concept Design of Transceiver Board for FPGA ................................................................................................. 82

Page 16: Cost-effective design and manufacturing of ... - pure.tue.nl

3

5.1 Requirements for High-Level Integration .......................................... 83

5.1.1 Fine Line Photoimageable and Multi-Step Thick Film ................... 83

5.2 100 G Ceramics-based Optical Engine ............................................... 83

5.2.1 Design and Fabrication .................................................................... 83

5.2.2 Assembly ......................................................................................... 86

5.2.3 Experimental Results ....................................................................... 87

5.3 Concept Design of Transceiver Board for an FPGA .......................... 91

5.3.1 FPGA Demo Board with Low Profile Array Connector.................. 92

5.3.2 Concept Design of Transceiver Board for an FPGA ....................... 93

5.3.3 Thermal Simulation of Transceiver Board for an FPGA ................. 96

5.4 Summary ............................................................................................ 98

Chapter 6 Advanced Packaging Concepts for Transmitter modules ................. 99

6.1 Enhancing the Reliability of Optical Interconnects with Redundant VCSELs 100

6.1.1 Redundant VCSELs ....................................................................... 100

6.1.2 Experimental Setup ........................................................................ 101

6.1.3 Results ............................................................................................ 102

6.1.4 Suggested Implementation and Potential Benefits ........................ 103

6.2 100 Gbps Multicore VCSEL Based Transmitter Module Platform . 104

6.2.1 Design Concept .............................................................................. 106

6.2.2 FPC Assembly Processes ............................................................... 106

6.2.3 Experimental Setup ........................................................................ 108

6.2.4 Results ............................................................................................ 109

6.2.5 Discussion ...................................................................................... 110

6.3 Summary .......................................................................................... 111

Chapter 7 Summary and Outlooks ................................................................... 113

7.1 Summary .......................................................................................... 113

7.2 Outlook ............................................................................................. 115

References ........................................................................................................ 117

Acronyms ......................................................................................................... 132

Page 17: Cost-effective design and manufacturing of ... - pure.tue.nl

4

List of Publications .......................................................................................... 134

Acknowledgements .......................................................................................... 137

Curriculum Vitae ............................................................................................. 139

Page 18: Cost-effective design and manufacturing of ... - pure.tue.nl

Internet of Things and Cloud Computing 5

1

Introduction

HIS first chapter presents the motivation for the research over the past four years. First, we review the rapid evolution of the DCN and provide

background about how optical interconnects are used in the DCN. To support the rapid exponentially growth of DCN traffic, the required optical interconnect bandwidth is scaling up accordingly. Therefore, the primary purpose of this dissertation is to design and implement cost-effective optical interconnects with more channels, a smaller size, a higher bit rate, greater reliability, and lower power consumption. This chapter is concluded by describing the outline of the dissertation.

1.1 Internet of Things and Cloud Computing

Research into networking has a long history. Since J. C. R. Licklider proposed the global network in the 1960s [1], many studies have been undertaken in the research of this discipline [2, 3]. In the 1980s, Sir Timothy John Berners-Lee invented the World Wide Web to link the world using personal computers [4]. The World Wide Web has had a major impact on our modern society and the Internet has continued to exponentially expand ever since. In the last few years, the evolving network underpinning the Internet has been used not only for conventional desktop computers but also for the Internet of Things (IoT), which is defined as “a world-wide network of interconnected objects uniquely addressable, based on standard communication

T

Page 19: Cost-effective design and manufacturing of ... - pure.tue.nl

6 Internet of Things and Cloud Computing

protocols” [5]. Generally speaking, the IoT is the advanced network for both complex devices such as mobile phones, laptops, and everyday objects such as lights, household appliances, paper, and clothing. Based on the IoT, a large number of objects become interconnected with the aim of making our daily life smarter and/or easier.

Cloud computing is a disruptive technology and is defined by the following: “Cloud computing is a model for enabling ubiquitous, convenient, on-demand network access to a shared pool of configurable computing resources (e.g., networks, servers, storage, applications, and services) that can be rapidly provisioned and released with minimal management effort or service provider interaction” [6]. There are four layers in the architecture of the cloud: hardware/datacenter (DC), infrastructure, platform and application. The hardware layer is always implemented in data centers including physical servers, routers, switches, power, and cooling systems. The main duty of the hardware layer is to manage the physical resources of the cloud. The infrastructure layer is used to partition the physical resources by using virtualization technologies. The platform layer is made up of operating systems and application frameworks. The target of this layer is to decrease the heavy load of deploying applications directly into virtual machine containers. The application layer is the highest level of cloud computing architecture and contains cloud applications such as Google Apps, Facebook and YouTube. Based on this architecture, cloud computing offers three services models: software as a service (SaaS), platform as a service (PaaS), and infrastructure as a service (IaaS) [7]. These three models are attractive to enterprises (large and small) because they efficiently reduce the investment in infrastructure, decrease the operating costs and shift the business risk to the infrastructure provider. In addition, cloud computing has several technical advantages, such as energy efficiency, optimization of resource utilization, elasticity, performance isolation, and flexibility [8].

The IoT and cloud computing are two separate internet trends, but their characteristics are often complementary. Recent attention has focused on integrating the mobility of cloud systems and the diversity of the IoT to create new services. For example, wearable devices can monitor patients’ health parameters and upload the data to a server. As a result, doctors can remotely check the data on the server and accurately provide a diagnosis without seeing the patients face to face. Other examples of service combination are for artificial intelligent agents to communicate with people and fulfill their requests, such as providing a weather forecast or managing a lighting system. For example, the Google Map app can already today obtain global positioning system (GPS) information from users’ smart devices and recommend the best navigation route to save time and energy.

Thus, without the involvement of humans, application scenarios such as industrial automation, precision agriculture, intelligent transportation, smart

Page 20: Cost-effective design and manufacturing of ... - pure.tue.nl

Internet of Things and Cloud Computing 7

home and community safety can be connected and managed by the IoT and cloud computing platforms [9].

However, all the functions related to the IoT and cloud computing cannot independently provide services without data traffic. By 2021, Cisco projects that global mobile data traffic will reach 49 exabytes per month or 587 exabytes annually [10], and the number of connected devices is predicted by Ericsson to reach 22 billion by 2022 [11]. Thus, a dramatic growth in data traffic is expected.

1.2 The Growth of Data Traffic

Figure 1. 1 Global traffic growth and data center traffic by destination in 2021 [12].

Page 21: Cost-effective design and manufacturing of ... - pure.tue.nl

8 The Growth of Data Traffic

As an essential infrastructure, DCs are deployed in the hardware layer of the cloud for exchanging and processing large volumes of data. Based on the forecast of the growth of global DCs and cloud-based Internet protocol (IP) traffic from the Cisco® Cloud Index, the annual global DC IP traffic is expected to rise from 6.8 zettabytes per year in 2016 to 20.6 zettabytes per year in 2021 with a 25% compound annual growth rate, as shown in Figure 1.1. With respect to DC traffic distribution in 2021, 71.5% of IP traffic will remain within the DC, and the traffic between DCs will share 13.6% of the total DC traffic. The remaining 14.9% of the traffic will flow from the DC to the end user through the internet or IP wide area network (WAN). Following such a DC traffic increase, the scale and complexity of DC network will need to expand tremendously in equipment, management and operations and drive the development of large-scale public cloud DCs, which are called hyperscale DCs. A hyperscale DC hosts more than 100,000 severs and requires large bandwidth optical interconnects such as 100 G (4 × 25G non-return-to-zero(NRZ)), 400 G (8 × 25G 4-level pulse amplitude modulation (PAM4) or 8 × 50G NRZ) to support the traffic growth. Based on this forecast, the number of hyperscale DCs may grow beyond 600 worldwide sites and will represent 53% of all installed DCs by the end of 2021 [12]. Cloud service providers such as Microsoft, Google, and Facebook have already introduced hyperscale DCs to satisfy this significant growth of demand for cloud computing [13, 14].

According to this projection, there are pressing requirements for the improvement of the DCN infrastructure.

1.3 Data Center Network

DCs contain servers and switches accompanied by the power and cooling systems [15], and the DCN incorporates the physical connections between these components. This architecture supports parallel communication among the servers and typically consists of either two- or three-level trees of switches and/or routers. The three-layer design has a core layer, aggregation layer and access layer. In the access layer, top-of-the-rack (ToR) switches are used to connect a large number of servers, which are placed in individual racks via electrical or optical links. Principally, the tree level is determined by the host number and a two-tiered design can only support between 5,000 to 8,000 hosts [16].

The conventional three tier tree-based DCN is a multiroot tree architecture, as shown in Figure 1.2. Currently, Clos-based architecture is often employed in a DCN. To handle bottlenecks and upper-layer single-node failures, a special Clos topology called a fat-tree is adopted to interconnect commodity Ethernet switches. Figure 1.3 shows a trinary full bisectional bandwidth fat-tree

Page 22: Cost-effective design and manufacturing of ... - pure.tue.nl

Data Center Network 9

topology. A fat-tree architecture contains k pods and each of them has two layers of k/2 k-port switches: ToR switches and aggregation switches. In each pod, the k-port ToR switches are directly connected to the k/2 severs and the k/2 aggregation switches and the k-port aggregation switches are connected to the k/2 ToR switches and the k/2 core switches. The main advantage of the fat-tree topology is that all switching elements are identical. In addition, because the number of input ports and output ports are the same, an unused input on an ingress switch can always be connected to an unused output on an egress switch. Therefore, this architecture is rearrangeably nonblocking [16].

Figure 1. 2 Conventional tree-like DCN [17].

As cloud services have spread, cloud DCs have become more widely used. When scaling up the DC network interconnectivity, the folded-clos topology suffers from daunting cabling complexity and requires a large number of optical transceivers. Therefore, the networks are migrating from conventional 3-tier to flattened 2-tier topology (leaf-spine), as shown in Figure 1.3. In the leaf-spine architecture, a large number of leaf switches, which contain the servers, are fully connected to the spine switches. Because these leaf switches are no more than one hop away from one another, the latency and the likelihood of bottlenecks can be minimized. This topology can build hyperscale DCs that are larger, more modular and more homogenous. In addition, the topology can support heavier workloads and a higher degree of east-west traffic across the network [18].

Page 23: Cost-effective design and manufacturing of ... - pure.tue.nl

10 Data Center Network

Figure 1. 3 Folded-Clos DCN architecture and leaf-spine DCN [17].

1.3.1 Optical Communication Optical communication is already deployed in DCNs; the transmission

medium in the system is optical fibers. Compared to conventional electrical communication, optical communication offers several advantages.

High Speed: Passive and active copper cables struggle to support data rates beyond 10 Gbps for more than a few meters [19], whereas current optical transceiver product such as Quad Small Form-factor Pluggable 28 (QSFP28) can support 28 Gbps per channel from 200 m to 40 km, and a PAM4 optical transceiver with a capacity of 112 Gbps per channel have been demonstrated [20].

Power Efficiency: The electronic losses in FR4 of copper traces are approximately 0.5 dB/cm at 10 GHz [21], while the propagation losses in a single-mode fiber (SMF) and multimode fiber (MMF) are 0.3 dB/km for a wavelength of 1550nm (SMF), 0.4 dB/km for a wavelength of 1310nm (SMF), and 3.5 dB/km for 850nm (MMF) [22]. As propagation losses allow for large distance transmission other propagation effects including several dispersion mechanisms such as modal dispersion, material dispersion, waveguide dispersion, and nonlinear dispersion eventually limit transmission distance with a high bit rate [23]. Based on the fiber standards, an 850nm short-reach (SR) transmission distance can reach 150 m at speeds up to 10 Gbps with optical multi-mode 4 (OM4) MMF for 40 G (QSFP+) and 100 G (QSFP28) applications. For the long-reach (LR) and extended-reach 100 G applications with SMF, the transmission distance can be 10 km and 40 km, respectively [24].

Small Size & Weight: Relative to glass fibers, conventional copper wires weigh significantly more. In addition, an electrical cable is much thicker than an optical cable. With a fiber’s smaller size, lower weight and no electromagnetic interference (electrical crosstalk), a DC becomes easier to manage and build.

Page 24: Cost-effective design and manufacturing of ... - pure.tue.nl

Data Center Network 11

Cost: The cost of fiber cable was nearly twice that of conventional copper

cable a few years ago. However, the price gap between these two cables has narrowed. The main reason is that fiber communication is cheaper to maintain and is more durable [25] and copper is becoming more expensive. In addition, the extra system requirements related to the use of electrical communication equipment, such as a conditioned uninterruptible power source (UPS) power, data ground, hybrid automatic voltage control (HAVC), and floor space, may cause the cost of electronic solutions to exceed those of fiber based ones [26].

Reliability: Optical communications have lower electromagnetic interference (EMI) and less crosstalk, which leads to more reliable signaling [27].

Security: Because of the lower associated EMI and radiation, optical communications are more challenging to tap and attack by hacking than electrical communications.

1.3.2 Electrical Switch Electrical switches are commonly used in the DCN and can receive packets

from the input port and deliver the packets to the desired output port. A commercial electrical switch module contains an ASIC attached to a heatsink, central processing unit (CPU) and power supply circuits integrated on a PCB. The ASIC features electronic buffers to store the packets. The CPU can be used to decode the packets and determine the address of the output port based on the programmed routing table. Between the electrical switches, optical transceiver modules are deployed to enable communication via optical fiber connections [28]. In December 2017, the electrical switch manufacturer Broadcom announced a 12.8 Tbps multilayer L3 Ethernet switch, Tomahawk 3, which can be configured to provide 32 ports of 400 Gigabit Ethernet (GbE), 64 ports of 200 GbE or 128 ports of 100 GbE. The switch contains 256 dual-mode Serializer/Deserializer (SerDes), each of them supporting 56 G PAM4 and 28 G NRZ over LR optics [29].

1.3.3 Optical Switch To satisfy future bandwidth requirements, optical switching techniques such

as optical circuit switching, optical burst switching and optical packet switching [30] have been proposed as a high data-rate solution in future DC applications. In addition, due to the absence of electro-optical conversion at the switch edges, optical transceiver modules are not required in the all-optical DCN, which reduces the power consumption and cost.

However, these optical switches have several drawbacks that have delayed their penetration in the commercial market. The major challenge is the lack of optical buffers. To overcome this limitation, several methods, such as optical delay lines and electronic buffer hybrids have been reported, but the

Page 25: Cost-effective design and manufacturing of ... - pure.tue.nl

12 Data Center Network

technologies involved significantly increase the system complexity and cause performance degradation [31]. To date, no fast optical switches with a large port count have been commercialized.

1.3.4 Hybrid Electrical/Optical Switch The combination of electrical and optical switching technologies in hybrid

networks has been also proposed for DC and high-performance computing systems [32- 34]. In the Helios [35] architecture, optical circuit switches are used to replace electrical switches at the core of the network. The idea is that the electrical switches provide large connectivity with short reconfiguration time for mouse flows (<15 Mb/s) and optical switches offer the large capacity links for elephant flows. However, it is difficult to scale these networks in terms of devices, power consumption, and cost.

1.4 Optical Interconnects

Due to the significant increase in bandwidth demand, the number and speed of DC connections required is also growing fast. Most of these connections, between switches and routers, are for reasons previously discussed implemented using optical interconnects. These links can be divided into three types: within the DC rack, between the DC racks and in long spans (shown in Figure 1.4). In today’s DCN, 10 Gbps and 25 Gbps Ethernet are used within the DC rack, while between the DC racks, 40 Gbps and 100 Gbps Ethernet are employed. For the long-distance transmission in the data center interconnect (DCI) and WAN, 100 Gbps and 400 Gbps Ethernet is being applied [18].

Page 26: Cost-effective design and manufacturing of ... - pure.tue.nl

Optical Interconnects 13

Figure 1. 4 Optical links within and between DCs [18].

An optical transceiver contains a transmitter and a receiver. The optical transmitter can be a laser that is directly modulated by a driver IC or a laser source with an external optical modulator. The optical receiver consists of a photodiode and a transimpedance amplifier (TIA) circuit.

For the SR transceiver module at 25 Gbps NRZ signaling, an 850 nm VCSEL based optical transmitter is used with optical multi-mode 3 (OM3) or OM4 MMFs. The transmission distance is then limited to less than 100 m.

For longer distance transmission above 100 m at 25 Gbps signaling, two key technologies, namely, parallel single-mode fiber (PSM) and wavelength division multiplexing (WDM), are applied in conjunction with distributed feedback (DFB) lasers. In principle, PSM is similar to the SR technology. SM lasers, such as DFB lasers, are deployed to extend the transmission distance up to 500 m. For WDM, multiplexers and de-multiplexers are applied at both transmitters and receivers. As a result, 4 different wavelengths are coupled into a single fiber. The transmission distance using this WDM method can achieve ranges between 2 km and 40 km at 25 Gbps.

Page 27: Cost-effective design and manufacturing of ... - pure.tue.nl

14 Optical Interconnects As the light source for directly modulated optical interconnects, VCSELs

offer small power dissipation, a low drive current, high-speed modulation, and a high wall-plug efficiency [36], which refers to energy conversion efficiency from electrical power into optical power [37]. The VCSELs are also low-cost due to their high yield and wafer-level testing capability. Thus, VCSEL arrays are used in parallel optical transceiver modules as low-cost light sources. As shown in Figure 1.5, the electrical signal from the switch ASIC can be converted into an optical signal by the optical transmitter (including the bipolar and complementary metal oxide semiconductor (BiCMOS) driver chip and VCSEL array), and the lasers from the VCSEL array can be coupled into optical fibers and then transmitted to the receiver array (including the photodiode array and TIA chip). The photodiode array from the receiver can capture the light from the optical fibers and transfer these signals into the electrical signals. Then, the electrical signals are amplified by the TIA circuits and transported to another switch ASIC.

Figure 1. 5 Schematic of the parallel optical transceiver by using parallel optical

transmitter and receiver units [38].

As mentioned in Section 1.2, most data traffic happens within the DC [12], and the transmission distance is less than 500 meters. As a result, low-cost 850 nm MM VCSEL-based optical interconnects are already dominating SR optical interconnect products and have taken the largest part of the optical transceiver market. For other distances, SMF DFB is the major laser source. Some promising long-wavelength SM VCSEL devices at 1310 nm and 1550 nm have been reported as light sources in optical transmitters and have shown up to 56 Gbps performance [39]. However, due to the complex fabrication technology [40-42] and limited reliability because of the lack of solid supporting data [43], their commercial introduction into the market is still very slow.

Page 28: Cost-effective design and manufacturing of ... - pure.tue.nl

Optical Interconnects 15

1.4.1 From 100 G to 400 G IHS Infonetics has predicted that 100 G Ethernet will make up more than

50% of the DC optical transceiver market in 2019 [44]. There are six 100 G standards for transceiver modules from short to long transmission distances [45], as shown in Figure 1.6 and Table 1.1.

Figure 1. 6 100 Gigabit Ethernet transceiver products [45].

QSFP - Quad Small Form-factor Pluggable; CFP - C form-factor pluggable; CXP - Common Transceiver(X) Pluggable;

Page 29: Cost-effective design and manufacturing of ... - pure.tue.nl

Table 1.1: Six 100 G Technologies [45].

Type Other functions

included Modulation format

Distance Lasers Fiber Connector Line speed (Gbps)

SR4 N/A NRZ 100 m VCSEL 8

parallel MMF

MMF MPO/MTP

25

SR10

CDR with input equalizer, limiting

amplifiers & transmit de-

emphasis

NRZ 150 m VCSEL 20

parallel MMF

MMF MPO/MTP

10

PSM4 N/A NRZ 500 m DFB Laser 8

parallel SMF

SMF MPO/MTP

25

CWDM4 CDR, WDM MUX/DeMUX NRZ 2 km DFB Laser

Duplex SMF

SMF LC 25

LR4

CDR with input equalizer, limiting

amplifiers & transmit de-

emphasis

NRZ 10 km DML Duplex SMF

SMF LC 25

ER4

CDR with input equalizer, limiting

amplifiers & transmit de-

emphasis

NRZ 40 km EML Duplex SMF)

SMF LC 25

LR - long reach; ER - extend reach; CDR - clock data recovery;

DML - directly modulated laser; EML - externally modulated laser; MPO - Multi-fiber Push On;

MTP - Multi-fiber Termination Push-on; LC - Lucent Connector;

Page 30: Cost-effective design and manufacturing of ... - pure.tue.nl

Optical Interconnects 17

Following the 100 G Ethernet, the next generation of Ethernet will be 400 G. The IEEE 802.3 400 Gbps Ethernet Study Group defined the 400 G Ethernet double density standard in 2014. In October 2017, the quad small form-factor pluggable double density (QSFP-DD) standard was announced to accelerate 400 G Ethernet, and this standard showed that QSFP-DD offers excellent backward compatibility and provides flexibility in meeting the requirements of the market [46].

1.4.2 Roadmap of Photonics in Data DCs As the bandwidth of transceiver modules rapidly increases, future optical

implementations can be foreseen. The roadmap for photonics in DCs is shown in Figure 1.7.

Option 1:

The most common implementations are pluggable transceiver modules in today’s DCs. This type of transceiver module is attached to the board edge using a pluggable interface. Due to the edge connector, this retimed-module is much more amenable to replacement upon failure.

Figure 1. 7 Roadmap of Photonics in DCs [47].

Page 31: Cost-effective design and manufacturing of ... - pure.tue.nl

18 Optical Interconnects

Option 2: On-board (mid-board) optics (OBO) is the foreseen solution for a higher bit

rate and larger density. As shown in Figure 1.7, optical transceivers are moved onto the middle of the PCB and close to the switch IC. As a result, the transmission line is shortened, and the repeater/retimer can be avoided, which reduces the power consumption and improves high-speed signal quality [21]. In addition, OBO can save more space and offer a smaller form factor. As shown in Figure 1.8, a switch with OBO designed by TU/e offers 4 times the bandwidth density of the commercial Broadcom switch in the standard unit of one rack [48]. Due to these excellent properties, companies such as TE Connectivity, Finisar, and Avago have already announced on-board transceiver products [49- 51].

Figure 1. 8 Electrical switch ASIC with pluggable optics and on-board optics [48].

As OBO has a large potential in the market, the Consortium for On-Board Optics (COBO) [54], including several large enterprises, such as Microsoft, CISCO, and Broadcom, is developing the specifications for a board-mounted optical module as of 2015. In April 2018, COBO issued the first industry OBO specification [55]. However, the adoption of OBO for DCs is still slow.

Option 3:

Multichip module (MCM) optics is thought to be the next step for the development of optical interconnects. As illustrated as option 3 in Figure 1.7, the switch ASIC and optical engine, which contains electricals (laser drivers/TIAs) and optics (laser sources and photodiodes), are placed on the same carrier. In this packaging approach, the shortest transmission line between the switch ASIC and optical engine can be achieved using 2.5D

Page 32: Cost-effective design and manufacturing of ... - pure.tue.nl

Optical Interconnects 19

integration. Such configuration can effectively reduce the module size and power consumption due to the absence of the optical engine on the PCB and dramatically increase the bandwidth density. In 2012, Altera announced the world’s first demonstration of the optical FPGA [56] (Figure 1.9 left) with the Avago MicroPODs [57].

Option 4:

The final target for the development of photonics in DCs is through-silicon via (TSV) optics with 3D integration. As depicted as option 4 in Figure 1.7, the transmission can be removed by combining the switch ASIC and silicon photonics device. The high-speed SerDes can directly drive the silicon modulator to further decrease the power consumption, offer the lowest loss and obtain the best signal quality. This 3D integration has the potential to overcome the switch ASIC IO bandwidth bottleneck. In 2013, Compass-EOS developed the world’s first commercial chip-to-chip direct silicon-to-photonics based router (Figure 1.9 right) [58]. However, the applications based on this photonics router are rare due to its special interface.

Figure 1. 9 Left: Altera optical FPGA [59]; Right: Compass-EOS photonics router

[60].

1.4.3 Challenges for Integration Due to the many challenges in adopting optical switches in DCNs, most

current DCNs are based on electronic switches with optical transceiver modules. In the last decade, the bandwidth of ASIC switches rapidly grew from <1 Tbps in 2010 to 12.8 Tbps in 2018 [29]. The development of transceiver modules needs to continuously accommodate the increasing ASIC bandwidth. Several challenges for developing advanced optical interconnects are still waiting for solutions.

High Cost: The cost of the transceiver module includes the component cost and the packaging cost. Due to the high volume requirement, both electrical

Page 33: Cost-effective design and manufacturing of ... - pure.tue.nl

20 Optical Interconnects

and optical chips are low-cost chips. Therefore, most of the cost of the transceiver module is the packaging cost [61]. At present, time-consuming processes such as wire bonding are involved in the packaging approach. Simplified packaging approaches are eagerly sought to reduce the cost for integration.

High Power Consumption: Power consumption relies strongly on the components. Low-power BiCMOS driver and TIA chips are highly desired. In addition, new solutions such as OBO should be applied to shorten the transmission line and remove the additional electrical chips, such as a repeater/retimer, clock and data recovery (CDR) and feed forward equalization (FFE), to reduce the power budget.

Low Bandwidth Density: There are two methods to enhance the bandwidth density: increasing the bit rate and reduce the footprint. Both methods require an accurate design for the interposer. High-speed electrical impedance matching traces should be optimized to realize low propagation loss and reflection. A compact design could also achieve a smaller footprint.

Light-coupling Scheme: In contrast to conventional electronic packaging, light coupling is one special aspect of the opto-electronic packaging. Most products are based on a standard optical interface, in which alignment accuracy between the optical interface and optical components determines the coupling efficiency. The commercial optical interfaces have the benefit of low cost due to their large volume. However, the fixed standard of an optical interface offers a large footprint and limits the development of compact optical accesses, which restricts the total bandwidth density.

Thermal Management: Regarding the device level, on the one hand, high temperature affects the output power of the laser. For example, the output power of an 850 nm MM VCSEL with a 6 mA forward current at the temperature of 27 °C is 2 mW while its output power decreases to 1.5 mW at the temperature of 78 °C. On the other hand, CTE mismatching degrades the packaging reliability [62].Therefore, efficient thermal management must be considered when designing advanced optical interconnects.

1.5 Scope of the Dissertation

The project “An Optically Connected CMOS Ethernet Switch IC” is funded by De Nederlandse Organisatie voor Wetenschappelijk Onderzoek (NWO) and addresses the costly interfacing of the switching engine with high speed optical communication channels. “A more cost-effective solution is to place the optical transceiver at close proximity of the switching fabric.”

The research reported in this dissertation focuses on cost-effective design and manufacturing of advanced optical interconnects, especially VCSEL based

Page 34: Cost-effective design and manufacturing of ... - pure.tue.nl

Scope of the Dissertation 21

optical transmitter and PD based optical receiver modules, which is widely deployed in current DCNs.

1.5.1 Scientific Contributions To realize a low-cost optical transceiver module, the costs of both the base

materials and the fabrication technologies are considered in a device level. In terms of both the bandwidth density and the reliability of the optical transmitter, some methods to improve these two factors are deployed in a system level. Overall, the main contributions of this dissertation include the following:

Device Level: In this dissertation, several cost-effective interposers and platforms, such as PCBs, FPC and ceramics, have been used in the optical transceiver design, and all these materials have been evaluated and compared.

Packaging processes, such as wire bonding, flip-chip bonding, and ultrasonic bonding, have been applied, and the detailed assembly flows have been described. The transmission lines for both digital and analog signals have been designed and simulated. Thermal simulation has been done and heatsink designs are proposed for a compact design.

System Level: Ultracompact on-board transmitter and receiver modules with a capacity of 480 Gbps have been assembled on a FPC interposer. Optical straight lens connectors and mechanical transfer (MT) ferrules are used to fan the light in/out.

A ceramics-based optical engine has been demonstrated to provide a low-cost solution to 100 G applications.

A state-of-the-art terabyte/s level FPGA board has been co-designed together with an industrial partner and fabricated and a concept transceiver board is suggested to directly connect on the back of this FPGA board to improve the bandwidth density, maintain signal quality and reduce power consumption.

A redundant VCSEL system has been demonstrated to enhance the reliability of the optical transmitter module.

A low-cost 100 Gbps SM VCSEL-based transmitter module platform with MCF has been designed and assembled. Because of the SDM technology, this platform was the first demonstration of a four cores fiber based transmitter module to increase its bandwidth density and shows great potential for future transceiver module design.

1.5.2 Outline of the Dissertation The dissertation is structured as follows. In Chapter 2, advanced packaging techniques for optical interconnects are

reviewed firstly. Then the challenges in current transceiver modules are summarized and several state-of-the-art packaging approaches are suggested.

Page 35: Cost-effective design and manufacturing of ... - pure.tue.nl

22 Scope of the Dissertation

Chapter 3 describes a low-cost PCB based optical transmitter module and broadside coupled differential pairs as a solution to overcome the limitation of current PCB manufacture technology and the fully assembled transmitter shows error-free performance at 10 Gbps.

Chapter 4 details work on a low-cost compact FPC based on-board optical transmitter and receiver modules and their assembly processes are fully described. Due to the compact design, it offers a cutting-edge bandwidth density of 0.483 Gbps/mm2. Full electromagnetic analysis of the transmission lines is included as well as thermal simulation results and an option to manage heat dissipation is presented.

In Chapter 5, a ceramics-based 100 G optical engine is demonstrated. Then a concept design of transceiver board is suggested to directly connect on the back of the FPGA with the high-speed low profile array connector from Samtec. This transceiver board provides in total 1.5 Tbps bandwidth.

In Chapter 6, two specific issues relating to optical interconnects are highlighted. Those are the reliability of VCSEL based interconnect modules and the potential of using SDM to further enhance bandwidth density in fiber cables in DCNs. A redundant VCSEL structure is suggested to enhance the reliability of an optical transceiver module and 3D ultrafast laser inscription waveguides inside glass are used to couple the light from VCSELs to a MCF in a 100 Gbps C band transmitter platform, which gives a proof of principle for future high-density SDM based optical interconnect solutions.

Finally, Chapter 7 summarizes the main conclusions of the previous chapters and gives an outlook for future research activities.

Page 36: Cost-effective design and manufacturing of ... - pure.tue.nl

Overview of Design Concepts 23

2

State-of-the-Art Technologies

S data traffic exponentially increases and hyperscale DCs are widely deployed, a need for advanced optical interconnects is emerging. These

advanced interconnects are promising to meet the requirements for future data traffic in DCNs with cost-effective methods. Since packaging and assembly dominate the cost of the module, a large number of techniques and designs have been proposed and researched in this area. In this chapter, we first review three common packaging concepts of the optical interconnect. Then, several different types of interposers/platforms are briefly described, and two principal assembly methods deployed in this dissertation, wire bonding and flip-chip bonding, are discussed. Finally, the solutions for optical access to and from the opto-electronic components are summarized.

2.1 Overview of Design Concepts

To create an advanced packaging design for an optical transceiver module, studies are carried out on the different design concepts. In general, three typical design concepts are used in the optical transceiver module.

2.1.1 3D Stacking Design The first 3D stacking design for an optical transceiver module was reported

in 2012 [63]. As shown in Figure 2.1, this design is based on stacking the

A

Page 37: Cost-effective design and manufacturing of ... - pure.tue.nl

24 Overview of Design Concepts

optical components (VCSEL and photodiode) on the electrical components (laser driver and TIA chips), and bridges are used to supply the electrical connections between the pads on opto-electrical dies and BiCMOS chips. These bridges are made with the thick photoresist thermal reflow process, and the gold traces on them are fabricated using lithography and electrical plating processes. This compact optical transceiver engine achieves 10 Gbps error-free performance per channel and offers 120 Gbps bandwidth in total. A wafer level integration has also been demonstrated to show that this 3D stacking design is a cost-effective, high-bandwidth density and scalable solution for optical transceiver module manufacture.

(a)

(b)

Figure 2. 1(a) 3D stacking schematic design; (b) Trace “bridges” between VCSEL array and VCSEL driver [63].

However, the fabrication processes for this 3D stacking approach are complex and thermal management is the main issues for this 3D stacking approach because both VCSEL and photodiode chips are sensitive to the temperature. A large copper heatsink with dimensions of 30 mm × 20 mm × 2 mm with 8 mm fins was suggested as a solution for heat dissipation in thermal simulations. The results show the temperature on the surface of optical chip is

Page 38: Cost-effective design and manufacturing of ... - pure.tue.nl

Overview of Design Concepts 25

approximately 40 °C. This large heatsink shows that directly attaching the optical dies atop the BiCMOS chip is not a satisfactory solution.

2.1.2 3D Embedding Design

(a)

(b)

Figure 2. 2 (a) 3D embedding schematic design; (b) Silicon interposer for the 3D embedding design [64].

Another 3D embedding design was reported in 2017 [64]. The packaging concept is based on a 3-level silicon interposer using a wet etching process, which is shown in Figure 2.2. A special cavity is designed under the BiCMOS chips and is reserved for the optical components. Both optical and electrical chips are flip-chip bonded on the silicon interposer. Lithography and electroplating processes are used to create the gold traces and make the connections between both optical and electrical chips and from the electrical chip to the contact pads for the radio frequency (RF) probe. Optical through vias are accurately opened on the silicon interposer for optical accesses by the wet etching process. To solve the thermal issues, an air gap between the optical die and BiCMOS chip is designed to provide thermal isolation. In the thermal simulation, two copper heat sinks with dimensions of 6 mm × 4 mm × 0.4 mm are attached to the silicon interposer, and the heat distribution shows that the temperature of the optics is approximately 38 °C. This configuration shows

Page 39: Cost-effective design and manufacturing of ... - pure.tue.nl

26 Overview of Design Concepts

that the air gap is an efficient way to control the temperature and thermal crosstalk in the 3D packages. For high-speed performance, this 3D embedding parallel optical transceiver module can achieve 10 Gbps error-free performance per channel.

The 3D embedding design is an ultracompact packaging concept intended to enhance bandwidth density. However, this design concept is inflexible and highly relies on the pad layout of the chips. Most commercial chips cannot be used in this 3D embedding design due to the multiple bump array below the chip for the solder reflow process. In addition, the interposer fabrication requires additional etching steps for the cavity, and the assembly process is not straightforward because of the different height levels of the interposer. These drawbacks lead to high cost and make commercialization challenging.

2.1.3 2.5D Integration Design 2.5D integration design is the most common packaging concept for both

electrical and opto-electrical modules. In 2015, a 71 Gbps error-free performance was achieved in a VCSEL-based transmitter module using this design [65]. Generally, 2.5D integration involves assembling the components next to each other on the same interposer, and this interposer can be fabricated using standard industrial processes. During the assembly processes, the electrical connections can be achieved by wire bonding or a flip-chip bonding process. Relative to 3D integration design, 2.5D integration design is more compatible with most chips. The cost for 2.5D integration design is much lower in both interposer fabrication and component assembly processes than in 3D integration design

However, the drawback of 2.5D design is the slightly larger footprint contributed by the optical components. Relative to the 235 µm × 2985 µm area for a 12-channel optical die, the electrical interface and optical interface are much larger and critically determine the bandwidth density for the whole transceiver module. Nevertheless, 2.5D integration is the most cost-effective packaging design concept for optical transceiver modules in switches.

Page 40: Cost-effective design and manufacturing of ... - pure.tue.nl

Interposers/Platforms for Optical Interconnects 27

2.2 Interposers/Platforms for Optical Interconnects

Figure 2. 3 Schematic of the optical transceiver module.

As depicted in Figure 2.3, interposers are required to support both electrical and optical components and supply the connections. Then, another carrier is required to hold this interposer and provide the electrical interfaces to the switch mother board. These interposers are typically made of glass or silicon, and the carriers are generally based on PCBs, FPC and ceramics.

2.2.1 Glass Interposer Glass has a wide transmission window, from visible light to telecom

wavelengths. For a typical glass sample such as N-BK7, the internal transmittance is higher than 95% for a 25 mm thick sample between 400 nm and 1600 nm [66]. In general, the thickness of the glass interposer is between 100 and 300 µm, and the propagation loss of the thin film at this wavelength range can be ignored. Therefore, glass is a suitable candidate as interposers for opto-electronic integration. Regarding the electrical properties, a glass interposer has ultrahigh resistivity, which offers a low electrical loss and supports a large bandwidth. Relative to silicon interposers, glass has a large panel size, up to 730 mm × 900 mm. Ultrafine lines and spaces can also be fabricated on the glass interposer with the redistribution layer (RDL) process, including copper seed layer deposition, photolithography, and electrolytic copper pattern plating [67]. As shown in Figure 2.4, glass interposers have been applied in optical transceiver modules.

However, glass has low thermal conductivity, and heat dissipation is the largest challenge. The process complexity of plating through-glass vias (TGV) also limits the integration level of applications. For optical applications, an additional anti-reflection coating is needed to reduce the reflection between the active region of the laser source and the surface of the glass interposer.

Page 41: Cost-effective design and manufacturing of ... - pure.tue.nl

28 Interposers/Platforms for Optical Interconnects

Figure 2. 4 Glass interposer for optical transceiver modules [68].

2.2.2 Silicon Interposer Thanks to the excellent compatibility with the CMOS manufacturing

standards, silicon is proposed as a promising material for interposers. The silicon interposer (Figure 2.5) design can easily be combined with silicon photonics devices, which gives greater potential to realize cutting-edge communication systems. In addition, silicon offers a large thermal conductivity and a small CTE, which is favorable for releasing heat and avoiding CTE mismatching. Furthermore, cleanroom technologies such as lithography and electro-plating can be used to achieve ultrahigh resolution patterns.

The drawbacks of using silicon interposers are also notable. The involved cleanroom technologies are complex, expensive, and time-consuming. In addition, the silicon interposer allows only a single metallization layer since plated TSVs are expensive. In addition, these TSVs result in a high electrical loss [67]. KOH etching is typically used to make the optical window on silicon interposer, which necessitates additional work and costs.

Overall, for both glass and silicon interposers, the main restrictions are that these interposers do not have an electrical connector that can be directly deployed in the switch since an additional platform such as a PCB or FPC is needed to supply the electrical interface to the system.

Page 42: Cost-effective design and manufacturing of ... - pure.tue.nl

Interposers/Platforms for Optical Interconnects 29

Figure 2. 5 Silicon interposer for optical engine [38].

2.2.3 PCB Platform The PCB is a conventional interposer for microelectronics, and several large

companies, such as Rogers and Isola, provide excellent RF base materials. Such materials have stable dielectric constants and small dissipation factors at high frequencies. The industrial standard can supply a structure with multilayer interconnections, and this structure can improve the integration level and provide possibilities and flexibility for future complex communication system designs.

Thanks to the optimized technology, PCB fabrication processes are fully automatic, and reliability tests can also quickly, accurately and automatically be completed with the flying probe test, optical inspection, or microscale sectioning, among other characterization techniques. The fabrication processing time is short (depending on the number of layers) and can be done on very large 48 inch × 36 inch panel with a large yield. For opto-electronic integration, the optical accesses are compatible with the nonplated hole process using laser ablation or a mechanical drill. These factors enable the PCB interposer to serve as a low-cost carrier for opto-electronic packaging. As a result, multiple wire bonded optical modules [69, 70] have been demonstrated with a PCB platform (Figure 2.6).

Page 43: Cost-effective design and manufacturing of ... - pure.tue.nl

30 Interposers/Platforms for Optical Interconnects

Figure 2. 6 PCB platform for optical transmitter.

However, the standard PCB fabrication processes do not support the high-resolution traces and gaps that are created by the lithography and plating processes on silicon/glass in the cleanroom. Consequently, PCBs cannot support the ultrafine pitch flip-chip bonding process for dies with small pads and narrow pitches. The mismatch of CTE between the chips and PCB carriers could decrease the reliability of the joints.

2.2.4 FPC Platform Relative to the rigid PCB, FPC is another standard microelectronic and opto-

electronic packaging platform [71] (Figure 2.7), supporting high-resolution patterning and multilayer interconnections. The finer pitch flip-chip bonding processes are supported on this carrier. In addition, the base material for FPC is a low-loss polyimide, which has satisfactory high-speed performance even up to 40 Gbps [72]. The optical accesses on the FPC are compatible with the nonplated hole process using laser ablation or a mechanical drill. Overall, the fabrication processes of FPC are similar to that of PCB and are compatible with a fully automatic industrial standard with large panel size.

The CTE of FPC is even larger than that of a PCB, and the CTE mismatch between the FPC and components leads to degraded reliability. Assembling components with a mass reflow process on such a nonrigid material becomes a challenge due to the deflection of the material at high temperature. However, this deflection can be suppressed by attaching stiffeners on the back of the FPC or using low-temperature assembly methods, such as ultrasonic bonding.

Page 44: Cost-effective design and manufacturing of ... - pure.tue.nl

Interposers/Platforms for Optical Interconnects 31

Figure 2. 7 FPC interposer for a 100 G optical transceiver [71].

2.2.5 Ceramics Platform Ceramics are also suitable candidates for opto-electronic interposers [73]

(Figure 2.8). First, ceramics have small material loss and offer favorable RF performance. In addition, these materials have excellent thermal conductivity and relatively low CTE values. The cost-effective fabrication is based on PITF technology [74], which supports increasingly finer pitch interconnects and multilayer interconnection designs. Due to the rigid base material, the assembly processes are simplified, requiring only several flip-chip bonding steps. Laser ablation can be used not only for the via connections but also the optical accesses. However, the main limitation of ceramics platforms is the small panel size.

Figure 2. 8 Ceramics based interposer for an optical transceiver [73].

Page 45: Cost-effective design and manufacturing of ... - pure.tue.nl

32 Interposers/Platforms for Optical Interconnects

PCB, FPC and ceramics platforms can be designed with the electrical interface and directly inserted into the switch board. Several properties of the five different interposers/platforms are shown in Table 2.1.

Table 2.1: Comparisons of interposers/platforms.

Line width (Industrial

Standard) [74,75-77 ]

linear CTE (10-6

• K-1) [78-82]

Thermal Conductivity

(W/m•K) [78-82]

Panel/Wafer Size [67, 80 82-84]

Connection to switch

board

Glass(Boro-float)

5 µm 3.25 1.2 28 inch × 35 inch

Additional PCB carrier

required

Silicon 1 µm 2.6 149 18 inch Additional

PCB carrier required

PCB (RO4350B)

75 µm 10-12 0.69 48 inch × 36 inch

Directly connected

FPC 50 µm 20 0.12 24 inch × 36 inch

Directly connected

Ceramics 30 µm

7.2 (Al2O3)

4.6 (AlN)

26 (Al2O3) 150(AlN)

6.5 inch × 6.5 inch

Directly connected

2.3 Assembly Solutions

There are many optical interconnect products on the commercial market and prototypes in the lab. Most of them are assembled by wire bonding and flip-chip bonding processes. In this section, we do not cover all assembly techniques of optical transceiver module packaging but focus only on the two main assembly methods: wire bonding and flip-chip bonding.

Page 46: Cost-effective design and manufacturing of ... - pure.tue.nl

Assembly Solutions 33

2.3.1 Wire Bonding

Figure 2. 9 Advanced stacked-die package with ball bonding [89].

Wire bonding, shown in Figure 2.9, is the most widely used approach to make electrical connections from chip to interposer or between chips. In principle, wire bonding is a point-to-point operation that can provide much more flexibility to the design [85]. The technique is based on metallic inter-diffusion, and the diffusion rate relies on the materials, process temperature, force, ultrasonic power and duration. Due to the maturity of the technology, manufacturing efficiency and yield are both quite high [86]. Thus, wire bonding is a cost-effective interconnect technology.

The high-speed performance of wire bonding is determined by the length, shape and material of the wires. For example, 100 GHz applications have been achieved with properly designed wire-bonded packages [87]. There are two main techniques for wire bonding: wedge bonding and ball bonding. Wedge bonding is more suitable for the fine-pitch applications implemented at approximately 40 µm. However, because the bonding wire feeds through the wedge tool, the first bond and the second bond must be orthogonal in the wedge-bonding process [88]. Relative to wedge bonding, ball bonding is more flexible in terms of bonding directions. Based on these properties, wire bonding is widely used in evaluation designs and commercial optical interconnect products.

To meet the new challenges, capillaries are designed to have smaller tip diameters and shapes to support particular applications. The use of manufacturing components with a finer pitch and higher circuit density is an

Page 47: Cost-effective design and manufacturing of ... - pure.tue.nl

34 Assembly Solutions

anticipated trend in the industry. Wire bonding of these ultrafine-pitch devices is a complex process, and additional process capabilities are required to avoid wire sweep and deflection [68]. Copper wire shows several advantages over gold wire in this context, such as lower electrical resistance, higher thermal conductivity, and higher stiffness [90]. Nevertheless, hardness issues emerge when using copper wire in the wire-bonding process, which leads to lower yield and longer processing time [86]. Reliability analysis is not straightforward because of the multiple factors, such as the materials for pads and wire and the process conditions that affect the quality of the wire-bonding results.

2.3.2 Flip-chip Bonding

Figure 2. 10 Flip-chip bonded optical transmitter on PCB interposer.

Relative to wire bonding, flip-chip bonding is a wafer-scale operation used in industry [85]. There are many types of flip-chip technologies. The joint materials can be for example tin, gold, or copper, and the different assembly processes, such as solder reflow [91], thermal compression bonding [92], ultrasonic bonding [93], thermosonic bonding [94], and conductive epoxy transfer technologies, are chosen based on the joint materials to meet the requirements of applications. In addition, these processes are compatible with a variety of interposer materials such as glass, silicon, PCBs, FPC, or ceramics.

Page 48: Cost-effective design and manufacturing of ... - pure.tue.nl

Assembly Solutions 35

Components with solder bumps are widely used in standard manufacturing which takes advantage of flip-chip bonding. These solder bumps are reflowed above their melting point to form electrical interconnections, as shown in Figure 2.10. For the applications requiring solderless processes or dies without any solder bumps, thermal compression bonding using gold bumps formed by a stud bumping process can be a solution. For applications that cannot accommodate high temperatures, ultrasonic bonding with gold stud bumps can be used. In addition, flip-chip bonding using gold bumps with conductive adhesive is an alternative option.

As the components develop following Moore’s Law, the advanced packaging approach for finer pitch devices is in higher demand. These higher integrated devices always supply a large number of input/output (I/O) pads and request high-density interconnects (HDIs). One of the main purposes is to fan in/out the I/O pads of devices to PCB or other interposer I/O pads. Due to the different design rules, these conversion processes are generally not cheap. Wire bonding is a cost-effective process to realize this conversion, particularly for the limited number of I/O pads, and is suitable for <4-layer low-density interposer packages. Since all the I/O pads of the components are connected on the bottom, the connection footprint for the flip-chip package is much smaller than that for wire bonding, enabling high-density packages. Regarding the cost, there are two additional costs for flip-chip packages: bumping and interposer cost. The advantage is that the bumping process can accommodate entire wafers, dies and interposers. The interposers for flip-chip packages require a higher connection density and finer pitch design rules than the wire-bonded packages. These HDI requirements increase the fabrication complexity and number of layers. Figure 2.11 shows the relationship between the estimated total costs of different packaging methods and the interposer with different I/O number. The packaging and assembly details were varied based on the complexity of the manufacturing. In the estimations, the package size and I/O count combination was based on the maximum I/Os using 1 mm pitch BGA and the interposer (substrate) information can be found in [86]. Both gold and copper wire bond packaging offer a cost advantage compared to flip chip packaging from 19 mm × 19 mm through 29 mm × 29 mm packages. In such packages, the number of I/Os is so small that the bonding wires are short, and the interposers (substrates) are simple enough for high yield and efficient manufacturing. As I/O number on the interposer increases to 31 mm × 31 mm or larger, flip-chips packages become the most cost effective solution due to the long bonding wire length. For HDI packages, in which the pitch is smaller than 1 mm, the flip-chip package is the better option.

Page 49: Cost-effective design and manufacturing of ... - pure.tue.nl

36 Assembly Solutions

Figure 2. 11 Relationship between the estimated total costs of different packaging

methods and the interposer with different I/O number [86].

2.4 Advanced Optical Coupling Solutions

For opto-electrical integration, the light coupling scheme is one of the largest problems to be solved, particularly for flip-chip packages. Several methods have been developed for designing the optical accesses.

The straight lenses connector is one of the most common optical interfaces and has been used in optical transceiver products. The lenses are attached on the optical interface or the head of an optical fiber array to improve the coupling efficiency of the light. The pitch distance of the lenses is 250 µm, which is the VCSEL pitch standard. Because of the 1D light route, multiple arrays are easily achieved. 12-channel [95] and 4 × 12-channel [38] products are shown in Figure 2.12.

Page 50: Cost-effective design and manufacturing of ... - pure.tue.nl

Advanced Optical Coupling Solutions 37

Figure 2. 12 Left: 12-channel Enplas straight lenses connector [95]; Right: 4 × 12-

channel straight lens array USCONEC connector [38].

The light turning connector is another common optical interface on the market. Relative to the straight lens connector, the light turning connector has an additional angled mirror array to vertically change the light route, and this mirror array must be accurately designed and aligned between the lenses and optical fiber. After vertically changing the light route, fiber management becomes much easier. However, the angled mirror array also introduces additional loss, and the vertical light passes and fiber array occupy area on the board, which limits the achievable density. Currently, only 12-channel light turning connectors [96, 97] (Figure 2.13) are available on the market.

Figure 2. 13 Left: 12-channel Enplas light turning connector [96]; Right: 12-channel

USCONEC “MOI” light turning connector [97].

Polymer waveguides and 45-degree mirrors are used in the QSFP28 module (Figure 2.14) by Fujitsu [98]. In this module, a microlens-imprinted film and polymer waveguide with 45-degree mirrors is used to couple the light into a polymer waveguide connected with the MT connector. Relative to the light turning connector, the MM fiber array is replaced with 25 µm core polymer waveguides. This module can achieve 28 Gbps error-free performance per channel over 100 m.

Page 51: Cost-effective design and manufacturing of ... - pure.tue.nl

38 Advanced Optical Coupling Solutions

Figure 2. 14 FPC based transceiver QSFP28 module with polymer waveguides and 45 degree mirrors [98] Left: the photo of assembled module; right: schematic drawing of

cross section.

Ultrafast laser inscribed waveguides are another solution to realize light interfaces in transceiver modules. Multiple studies have been carried out on ultrafast laser inscription in glass, and this technology is commercially available from several companies, including Optoscribe (Figure 2.15 left) [99] and Femtoprint [100]. In the glass, an ultrafast laser is used to change the refractive index of the material to realize the waveguides. After changing the focus point of the printed laser source, a rotated 3D waveguide inside the glass is achieved. This technique flexibly supports high-density design and shows significant potential to be combined with other cutting-edge technologies, such as multicore fibers, fiber arrays, and even trace-plated glass interposers. In 2012, a 121-waveguide fan-out structure into a MCF using ultrafast laser inscription was reported by R. R. Thomson [101]. The structure, containing glass with laser-printed 3D waveguides and a multicore fiber interface, is shown in Figure 2.15 right. However, the 3D waveguides inside the glass need to be written by the ultrafast laser printing one by one, which is very time consuming. This inefficient fabrication process ultimately leads to high cost.

Figure 2. 15 Left: 12-channel ultrafast laser inscription waveguides from Optoscribe

[99]; Right: Ultrafast laser inscription waveguides with MCF [101].

Salt-melted ion diffusion waveguides were reported by Lars Brusberg in 2009 [102] and Richard Charles Alexander Pitwon in 2015 [103]. The

Page 52: Cost-effective design and manufacturing of ... - pure.tue.nl

Advanced Optical Coupling Solutions 39

waveguides are formed by thermal ion exchange between the salt melt and glass, and the change in refractive index can be controlled by the silver salt concentration, process duration and temperature. A cross-sectional view of a glass waveguide sample and the refractive index profile at a measurement wavelength of 678 nm is shown in Figure 2.16. However, these waveguides suffer large insertion loss (2.7 dB at 850 nm and 2.15 dB at 1310 nm) and require large bend radius. Furthermore, the fabrication process is also complicated.

Figure 2. 16 Refractive index distributions for Salt-Melted Ion Diffusion Waveguide at

678nm [103].

An ultra-dense silicon interface containing vertical grating coupler arrays is a satisfactory solution to realize an optical fan-in/out structure, particularly for edge-emitting light sources. A suitable example has been demonstrated with a compact 7-channel grating coupler array with low insertion loss and crosstalk on the SOI platform [104] (Figure 2.17 left). This structure has great potential to be integrated with silicon photonics devices and MCFs. Due to the use of a lithography process, ultracompact and high-density structures can be easily fabricated. Another 61-channel vertical grating coupler, which is shown in Figure 2.17 right, on a pitch of 42.3 µm was designed and fabricated in 2015 [105].

Page 53: Cost-effective design and manufacturing of ... - pure.tue.nl

40 Advanced Optical Coupling Solutions

Figure 2. 17 Left: 7-Channel grating coupler array for MCF [104]; Right: 61-channel

vertical grating coupler array [105].

The packaging methods of optical transceiver modules used in this dissertation are shown in Table 2.2.

Table 2. 2 Packaging methods for the transceiver module in this dissertation.

Prototype Packaging methods

Chapter 3

PCB based MM 850nm transmitter (wire bonding

version)

10 Gbps BiCMOS driver chip: solder reflow 10Gbps VCSEL array: wedge bonding

PCB based MM 850nm transmitter (flip-chip version)

10 Gbps BiCMOS driver chip: solder reflow 10 Gbps VCSEL array: stud bumping and

conductive epoxy transfer

Chapter 4

FPC based MM 850nm transmitter

10 Gbps BiCMOS driver chip: solder reflow 14 Gbps VCSEL array: solder reflow

FPC based MM 850nm receiver

10 Gbps BiCMOS TIA chip: solder reflow 10 Gbps photodiode array: ultrasonic

bonding

Chapter 5

Ceramics based MM 850nm transmitter

25 Gbps BiCMOS driver chip: solder reflow 25 Gbps VCSEL array: thermosonic

bonding

Ceramics based MM 850nm receiver

25 Gbps BiCMOS TIA chip: solder reflow 25 Gbps photodiode array thermosonic

bonding

Chapter 6

Redundant VCSELs system 10 Gbps BiCMOS driver chip: solder reflow

10 Gbps VCSEL array: wedge bonding

FPC based SM 1.5 µm transmitter

BiCMOS driver chip: bumping and conductive epoxy transfer

VCSEL array: stud bumping and conductive epoxy transfer

Page 54: Cost-effective design and manufacturing of ... - pure.tue.nl

Summary 41

2.5 Summary

VCSEL based optical interconnects are popular in DC because VCSELs are today the most efficient, low cost, and most widely used laser source for interconnects [38]. Many researches are carried out to realize high VCSEL and PD based transceiver modules to satisfy the requirements such as high bit rate, high bandwidth density, high channel count, low cost, and low power consumption. A novel 3D stacking optical transceiver [19] and another 3D embedding optical engine [64] were demonstrated in 2014 and 2017, respectively. Relative to 3D design concepts, the 2.5D design is the most popular integration concept in the industry because of its simplified processes, high yield, and efficient manufacturing. Efforts realize high-speed VCSEL and PD based transceiver modules are devoted to both base materials and packaging techniques. In 2010, Lars Brusberg presented a 10 Gbps glass based optical transceiver module and both (laser drivers/TIAs) and optics (laser source and photodiodes) were flip-chip bonded [68]. One year later, Hak-Soon Lee demonstrated PCB based transmitter and receiver using wire bonding process [69]. In 2017, FUJITSU announced 100 G QSFP28 active optical cable, which contained FPC substrate [98]. Silicon [38] and ceramics [74] were also used in the optical interconnects applications as the base material.

In this chapter, recent state-of-the-art studies on optical interconnect packages are reviewed in terms of several aspects: interposers, assembling strategies, design concepts and optical accesses. As mentioned in Section 1.5, the target of this project is to build cost-effective VCSEL-based optical transmitter and photodiode-based optical receiver modules in DCN. Both electrical and optical chips for 850 nm MM optical transceivers used in this dissertation are commercially available. The low-cost interposers, consisting of PCBs, FPC and ceramics, are fabricated by several manufacturing methods with standard industrial fabrication technologies. Due to the technology limitation, the cost-effective packaging design—i.e., the 2.5D design—is selected. To enhance the bandwidth density, the compact design with flip-chip bonding is chosen. In addition, commercially available optical interfaces, such as straight lens connectors and light turning connectors, are used in the module. Overall, all technologies involved in this project are commercially available and require only standard industry capabilities to efficiently reduce the cost.

Page 55: Cost-effective design and manufacturing of ... - pure.tue.nl

42

3

PCB Based Optical Transmitter

INCE Albert Hanson invented his flat foil conductors laminated to an insulating multiple-layer board in the year of 1903 [106], PCB technology

has been developed for more than 100 years. PCBs have benefited from low cost, multilayer structures and ease of the fabrication making them the most popular substrate for modern electronic products. In this chapter, we firstly review the theory behind high-speed transmission lines and provide the guidelines to design and optimize them. Secondly, we explain the limitations of industrial PCB fabrication technologies. In order to overcome these limitations, we deploy broadside coupled differential pairs in the design of a PCB based high-speed optical interconnect substrates. We further evaluate the robustness of our solution against some fabrication process variations using with Polar SI 9000. Based on the 3D simulation of the PCB substrate with CST (Computer Simulation Technology), we find the broadside coupled differential pairs are capable to operate up to 20 GHz with only 3.42 dB loss in the transmitter design. Based on the PCB structure in the simulation, two different substrates are fabricated to build the optical transmitter modules and their assembly processes are described. Finally, both of the assembled optical transmitters show error-free performance at 10 Gbps and the eye-diagrams are visually open.

S

Page 56: Cost-effective design and manufacturing of ... - pure.tue.nl

High-Speed Signals in Circuit 43

3.1 High-Speed Signals in Circuits

Theoretically, there are three typical propagation modes for high-speed signals: single-ended modes, common modes, and differential modes.

A single-ended I/O such as a ground-signal-ground (GSG) trace (shown in Figure 3.1) only requires one wire/trace between a source and a load. The voltage difference between the wire/trace and the ground is measured as a signal. The main advantage of single-ended signals is that they require less footprint, which improves the integration density. The impedance of single-ended traces is determined by its geometry. The corresponding factors to design an impedance matching single ended trace are the properties of a base material, the trace width, the thickness of the base material, and the gap between the signal trace and the ground. However, the critical drawback of single-ended traces is larger sensitivity to the noise, which leads to a poor signal quality and short transmission distance.

Figure 3. 1 Ground- signal-ground (GSG) single-ended trace.

Common mode signals are created by noise and travel in the same direction on both wires/traces. This noise needs to be minimized to improve signal quality, which is shown in Figure 3.2.

Page 57: Cost-effective design and manufacturing of ... - pure.tue.nl

44 High-Speed Signals in Circuits

Figure 3. 2 Differential mode used to restrain noise.

A differential I/O (shown in Figure 3.3) has a pair of wires/traces between a source and a load. The wires/traces carry opposite voltages. The measurement of the differential signal is taken as the voltage difference between two wires/traces. Relative to the signal-ended traces, the differential traces show a better RF performance in high-noise environments due to cancellation of the common-mode signals. They can also support low-signal-level applications because of a precise switching time. The impedance of the differential pair is also determined by their geometry [107]. However, a differential I/O requires two wires to transmit a single signal. This leads to increased footprint and eventually limits integration density.

Figure 3. 3 Differential pair.

Page 58: Cost-effective design and manufacturing of ... - pure.tue.nl

High-Speed Signals in Circuit 45

In an optical transceiver design, high-speed connections between a switch ASIC and BiCMOS driver/TIA chips are differential pairs because they offer better signal integrity over a long transmission distance. To design the high-speed differential pair, the differential transmission lines should have equal length. The reason is that an unbalanced differential pair introduces a phase shift. The phase shift can cause inaccurate switching times and dramatically increase as the frequency rises. Furthermore, the traces of the differential pair need to be closely coupled to each other to control the EMI. Besides that, the ground plane/trace is used to enhance the coupling between the differential pairs and protect them from the environmental noise. Therefore, lower crosstalk from other signals can also be achieved. However, this ground plane/trace affects the group speed of the signal transmission and should also be balanced in the design. Finally, the differential impedance is the most important factor that needs to be considered in the design [107]. Several software packages such as advance design system (ADS), CST packages, and Polar SI9000 can be used to calculate the differential impedance and to simulate the propagation losses and reflections.

The connections between the BiCMOS driver/TIA chips and the VCSEL/Photodiode components are made using GSG structure since these connections are very short and the signaling is fundamentally analog in current signals.

3.2 Broadside Coupled Differential Pair

The cost of the PCB mainly relies on the minimum fabrication feature size. The base materials such as Isola and Rogers RF products [80] are expensive because of the more stable dielectric constant and the lower dissipation factor at high frequency.

The traces on the PCB should be designed based on the pads layout of the components and the minimum pitch distance of the pads on both electrical and optical components is only 125 µm. Because of the small pad pitch, the edge coupled differential pairs, which are commonly used in high-speed transmission-line design, cannot be fabricated on the standard (FR4) low cost PCB. The latest industrial processes show that the minimum possible pitch on the PCB is limited to 150 µm. To solve this problem, the broadside coupled differential pair is used.

Generally speaking, there are two normal types of the differential pairs: the edge coupled differential pairs and the broadside coupled differential pairs. Relative to the edge coupled differential pair (shown in Figure 3.4a), the broadside coupled differential pair allows the propagation of the differential signal in two different inner layers and the outer layers are used as reference

Page 59: Cost-effective design and manufacturing of ... - pure.tue.nl

46 High-Speed Signals in Circuits

planes (shown in Figure 3.4b). This structure can not only overcome the fabrication limitation for the small pad pitch, enforced by the industrial standard fabrication technology, but also offers a small footprint. As a result, this broadside coupled structure provides a low-cost method to flip-chip bond the ultra-pitch components.

(a)

(b)

Figure 3. 4 The scheme of the broadside coupled transmitter module concept.

Page 60: Cost-effective design and manufacturing of ... - pure.tue.nl

Design Concept 47

3.3 Design Concept

Figure 3.5 shows the scheme of the broadside coupled transmitter module concept. The FR4-based PCB contains the copper traces to connect from the BiCMOS driver chips to the edge connector and also between the driver chip and the VCSELs. The driver chip and the VCSEL die are flip-chip bonded on the PCB in correspondence of the pads. 250 µm pitch pads on the PCB provide the access for the high-speed differential signals input from the differential probes.

Figure 3. 5 The scheme of the broadside coupled transmitter module concept.

3.4 Differential Pair Design

The base material of the PCB interposer is FR4 with permittivity of 4.2 and dissipation factor of 0.02 at 1 MHz. It has 4 layers and the broadside coupled differential pairs are applied in two inner layers. The top layer and the bottom layer are the power layer and the ground layer, respectively. The differential impedance of this structure is simulated by a tool for PCB, the field solver Polar SI 9000. In order to meet the impedance matching requirement, the impedance of the differential pair should be 100 Ω, which is matching with the input differential impedance of the BiCMOS driver and the output differential impedance of the TIA chips. The detailed geometry of the broadside coupled structure for this transmitter is shown in Figure 3.6a. The simulation has also been performed to investigate how the differential impedance varies as a function of the fabrication deviations. The result (see Figure 3.6b) shows that this packaging approach presents a large manufacturing tolerance against the misalignment (within ±100 µm in offset) in PCB multilayer bonding process and against any thermal expansion possible (within ±100 µm in thickness) after

Page 61: Cost-effective design and manufacturing of ... - pure.tue.nl

48 Differential Pair Design

the high temperature reflow. In both cases the worst case differential impedance never exceeds 101.7 Ω. This shows how this differential pair structure is robust with respect to both the variation of the lateral misalignments and the changes in thickness.

(a)

(b)

Figure 3. 6 (a) Geometry (lateral view) of the broadside coupled differential pair for this optical transmitter; (b) Differential impedance vs changing the offset and vertical

distance between differential pairs.

Page 62: Cost-effective design and manufacturing of ... - pure.tue.nl

3D EM Simulation 49

3.5 3D EM Simulation

(a)

(b) (c)

Figure 3. 7 3D layout model for simulation (a) cross-section view; (b) side view; (c) top view.

The CST software package is used to perform a full 3D simulation for the designed 4-layer PCB (shown in Figure 3.7a) in order to investigate the high frequency response of the implemented broadside coupled differential pair including the real constraints. In Figure 3.7b&c, the inputs are two rectangular pads on the surface layer with 250 µm pitch for RF probes and the outputs are square pads on the bottom layer for flip-chip bonding. The broadside coupled differential pair is in two inner layers. Also, the blind vias and the buried vias (shown in Figure 3.7a) are used to make connections between the layers. Both the transmission parameter S21 and reflection parameter S11 for this model are shown from 0 Hz to 25 GHz respectively in Figure 3.8. The blue curves are the results for an ideal structure without the I/O pads and the vias. The results associated with the real broadside coupled differential pair including the unbalanced vias and the impedance unmatched pads are shown as the red curves. Based on the port mode simulation results, the differential impedance between the pads for the RF probe is 86.05 Ω, while the differential impedance for the output pads is only 62.19 Ω. Both the I/O pads need to be compatible with the differential probe and the layout of the BiCMOS driver chip for flip-chip bonding, respectively. As a result, the layout of the I/O pads for RF probe and BiCMOS driver chip are fixed and could not be optimized to 100 Ω.

Due to the impedance and length mismatching, this broadside differential pair structure suffers from extra reflection and timing problems relative to the

Page 63: Cost-effective design and manufacturing of ... - pure.tue.nl

50 3D EM Simulation

ideal case. To improve the high speed performance, the input pads for the connector can be designed to fulfil the 100 Ω differential impedance.

Based on the 3D CST simulation, the ideal broadside coupled differential pair shows excellent performance: the transmission coefficient slightly decreases from -0.012 dB at 0 Hz to -0.035 dB at 25 GHz and the reflection coefficient is below -25 dB. Relative to the ideal structure, the implemented broadside coupled differential pair needs to use the unbalanced vias and the impedance unmatched pads to provide the electrical connections from the differential probe to the BiCMOS driver chip, which introduces the unwanted reflection and the extra losses. The transmission coefficient (S21) is -0.06 dB at 0 Hz and -4.2 dB at 25 GHz and the reflection coefficient (S11) shows a fluctuating curve between -11.8 dB and -26 dB across the same frequency range. The main reason for these fluctuations is the length mismatch between the two transmission lines. The schematic module in CST is also used to simulate the eye diagram for the real broadside coupled structure. The simulation results are shown in Figure 3.9 at both 10 Gbps and 20 Gbps.

Figure 3. 8 Simulation result for S parameter of broadside coupled differential pair.

Both of the eye diagrams are clearly open, which shows that error-free performance can be achieved at 25 Gbps. The eye opening of these eye diagrams is around 400 mV and 300 mV at 10 Gbps and 25 Gbps, respectively. The main reason is that the length mismatch becomes more significant at the high frequency.

Page 64: Cost-effective design and manufacturing of ... - pure.tue.nl

3D EM Simulation 51

Figure 3. 9 Simulation result for eye-diagrams at 10 Gbps (left) and at 25 Gbps (right).

3.6 Assembly Processes

Figure 3. 10 The layout of the PCB.

Figure 3.10 shows the layout of the PCB for both the driver and VCSEL components. In the layout picture, the buried vias and the blind vias are used in broadside coupled differential pair to connect the pads and differential pairs.

Page 65: Cost-effective design and manufacturing of ... - pure.tue.nl

52 Assembly Processes

The pads for the VCSEL array are distributed along the two sides of the die and the non-plate holes are open for the optical accesses.

The commercial 10 Gbps BiCMOS driver chip with solder bumps is used in this optical transmitter module and this driver chip has 12 differential inputs and 12 single-ended outputs. The FINEPLACER® Lambda is used to flip-chip bond the driver chips with a reflow process at 237 °C for 12 seconds and the submicron alignment accuracy can be achieved with this flip-chip machine.

Relative to the BiCMOS driver chip, the 10 Gbps 850 nm MM VCSELs are finished with gold plated pads without the solder bumps. Therefore, the solder reflow process is not suitable to assemble these VCSELs and flip-chip bonding the VCSEL array to the PCB carrier becomes a great challenge.

Wire bonding method - The VCSELs are wire bonded onto the pads of the PCB with the wedge bonder in the first prototype. The pads on VCSELs and PCB for wire bonding have 125 µm pitch. The bonding between wire and pad is ultrasonically formed gold-gold connection. The full assembly of this transmitter module is shown in Figure 3.11.

Figure 3. 11 Fully assembly broadside coupled differential pair based optical

transmitter module (wire bonding version).

Flip-chip bonding method – in order to flip-chip bond the VCSELs, conductive epoxy transfer processes are used. The gold stud bumps are first ultrasonically formed on the pads of the VCSELs (Figure 3.12a). Then, the isotropic conductive adhesive (ICA) – epoxy H20E is dispensed on the pads of

Page 66: Cost-effective design and manufacturing of ... - pure.tue.nl

3D EM Simulation 53

the PCB (Figure 3.12b). Then the FINPLACER® Lambda die bonder is used to flip-chip bond the gold stud bumps on the VCSELs and the ICA -epoxy H20E at 150 °C for 1 hour (Figure 3.12c). The fully assembled broadside coupled transmitter is shown in Figure 3.12d.

(a) (b)

(c) (d)

Figure 3. 12 (a) Stub bumps on VCSEL array; (b) dispense the ICA epoxy H20E on PCB; (c) flip-chip bonded VCSEL array on PCB; (d) assembled broadside coupled

differential pair based optical transmitters (flip-chip bonding version).

To couple the light from the VCSELs into the 12-channel optical fiber array, a mechanical optical interface (MOI) and a prizm are glued on the top of the PCB by using the non-conductive epoxy. The alignment tolerance of the MOI on top of the VCSEL array is within half a micron and this misalignment can cause a maximum of 5% optical power loss based on the MOI datasheet. The 12-channel fiber ribbon connected to the MOI is shown in Figure 3.13. An MPO (Multi-fiber Push On) connector is used to fan out the optical fiber array to the single fiber for testing.

Page 67: Cost-effective design and manufacturing of ... - pure.tue.nl

54 Assembly Processes

Figure 3. 13 MOI connector and fiber ribbon.

3.7 Experimental Setup

Two PCB based transmitters with different assembly strategies are then tested to verify signal integrity in turn. The experimental setup is shown in Figure 3.14. A 10 Gpbs differential electronic signal with 231 –1 NRZ pseudo random binary sequences (PRBS) format from bit pattern generator are transported to the BiCMOS driver chips and a VCSEL array on the PCB through the RF multi-channel probe. A MM optical fiber is used to capture the light from the VCSELs and then transmitted into a commercial SFP+ module. This module is used to convert the optical signal to an electrical signal to analyze the high-speed performance. The oscilloscope and the error detector are used to measure the eye-diagrams and bit error rate (BER) at 10 Gbps.

Figure 3. 14 Experimental setup.

Page 68: Cost-effective design and manufacturing of ... - pure.tue.nl

Results 55

3.8 Results

3.8.1 Wire bonding version All the VCSELs were working and the measured output power ranged from

0.5 dBm to 1 dBm. Relative to the SFP+ module, all 12 channels showed error-free performance at 10Gbps with a penalty that ranges from 1.1 dB to 3.8 dB. The penalty might come from the different path lengths of broadside coupled differential pairs and vertical misalignment of the MOI. The eye patterns for all the VCSELs are visibly open, as shown in Figure 3.15 and the sensitivity for 12 channels is shown is Figure 3.16.

Figure 3. 15 Eye-patterns for 12 channels at 10 Gbps (50mV/div), eye opening = 150

mV.

Figure 3. 16 Sensitivity for 12 channels at 10 Gbps.

Page 69: Cost-effective design and manufacturing of ... - pure.tue.nl

56 Results

Because the receiver used in the experimental setup is alternating current (AC) coupled, the extinction ratio (ER) cannot be directly obtained from the eye diagrams. Based on the data [108-110], VCSELs have a linear current–optical power curve beyond the threshold point. The slope efficiency of VCSELs is determined by the temperature but these variations are still linear in the operating region of VCSELs [19]. As a result, the modulated currents (“0” level current and “1” level current) can be used to calculate the ER. Based on the values used in this demo the ER of this transmitter is 5 dB.

The performance of this wire bonded transmitter is slightly worse than the back to back (BTB) performance of a commercial SPF+ module but still acceptable at 10 Gbps.

3.8.2 Flip-chip version Due to a solder reflow problem, channel 10 is not working in this transmitter

module. The eye-diagrams and BER of the other 11 channels are tested one by one and the results are shown in Figures 3.17 and 3.18. The output powers of the VCSELs are between 0.2 dBm and 1.3 dBm. Based on the BER curves, the high-speed performance of the commercial SFP+ is better than this optical transmitter with broadside coupled differential pair. The deviation of the receiver sensitivity for these 11 channels is within 2 dB. The main reason for this is due to the inaccurate alignment between optical fibers and the VCSELs. Based on the modulated current, the ER of this transmitter is 5.5 dB.

Figure 3. 17 Eye-diagrams for 12 channels at 10 Gbps (35mV/div).

The eye-diagrams are clearly open at 10 Gbps. However, Relative to the BTB performance, these eye-diagrams also suffer from more jitter.

Overall, both the wire-bonded version and the flip-chip bonded version could achieve error-free performance at 10 Gbps. However, the commercial SPF+ module shows a better performance on sensitivity and BER curves. The reason is that the broadside coupled differential transmission line suffers from the length mismatching and the unbalanced vias, which cause unwanted reflections and phase shifts. As a low-cost solution, broadside coupled differential pair

Page 70: Cost-effective design and manufacturing of ... - pure.tue.nl

Results 57

makes it possible to allow the small pad pitch with industry-standard PCB fabrication technology and this benefit should be weighed against the reduction in performance.

Figure 3. 18 BER curves for 12 channels at 10 Gbps.

3.9 Summary and Discussion

3.9.1 Summary In this chapter, two PCB based optical transmitters for the optical

interconnects using broadside coupled differential pairs are demonstrated. The simulation shows this differential pair can not only allow large fabrication tolerances, but also could operate at 20 GHz with a loss of 3.42 dB. It means that changing the offset between the broadside coupled traces can be used as we have shown such that small offsets have a minor effect on the eventual impedance. As a low-cost solution, this broadside coupled structure has high potential to support high-speed differential-pair-based transceivers with standard industrial PCB technology.

Relative to the wire bond process, the flip-chip assembly process is relatively complicated because of gold finished pads on the VCSEL. To solve this

Page 71: Cost-effective design and manufacturing of ... - pure.tue.nl

58 Summary and Discussion

problem, gold stud bumping and ICA- epoxy H20E transfer process were applied to flip-chip bonding the VCSEL array. Solder reflow process was used to flip-chip the driver IC chip on the PCB. The transmitters can provide 10 Gbps error-free performance and all of the eye-diagrams were clearly open.

3.9.2 Discussion In this chapter, the board-side coupled differential pair structure has been

proven to supply a low-cost solution for narrow pitch components based on the standard industrial PCB technology, relative to edge coupled differential pairs. However, both the input pads on the surface layers and the vias of the board-side coupled differential pair introduce differential impedance mismatching and length difference. The impedance mismatching can cause unwanted reflections and length difference leads to a phase shift and a timing problem. In order to solve the timing problem, the length difference needs to be accurately calculated and should include not only the length mismatch of the broadside coupled traces but also the blind and buried vias. Because the differential signals need to propagate from the input pads (most likely the BGA pads of the ASIC generating the high speed signals) to the place where they are transformed to the broadside coupled pair, the relative position of the pads can be used to compensate for the timing difference.

Page 72: Cost-effective design and manufacturing of ... - pure.tue.nl

59

4

Compact FPC Based On-board Optical Transceiver

N the last chapter, PCB based optical transmitter using the broadside coupled differential pair has been demonstrated. However, several issues

related to the use of broadside coupled differential pair emerged from the demonstrations that need to be solved especially in the high frequency range. Relative to a rigid PCB, FPCs can offer higher fabrication resolution [77] and also have been shown to provide good performance at bit rates up to 40 Gbps [71]. Therefore, FPCs are used as the substrates for optical interconnects [72].

The first idea to print circuits on the flexible material, such as “a page of a magazine”, was given by Cledo Brunetti and Roger W. Cirtos in 1948 [111]. After that, FPCs have become one of the fastest growing interconnection technologies on the market [112]. In this chapter, a low-cost 48-channel high-speed FPC based interconnect packaging concept for on-board optical modules is demonstrated and results show both transmitter and receiver can run 10 Gbps error-free performance for all 48 channels. We start to discuss the drawbacks of current commercial optical transceiver products and provide the motivation of this work. Then the design concept for both transmitter and receiver modules is shown. Following this, a fabricated FPC board is presented and the transmission lines on it are simulated and discussed. After that, the assembly processes for both transmitter and receiver are fully detailed. In addition, the test results are given including BER curves for all 48 transmitter

I

Page 73: Cost-effective design and manufacturing of ... - pure.tue.nl

60 Pluggable Optics and On-Board Optics

and receiver channels and eye-diagrams at 10 Gbps. Also the crosstalk and results of thermal simulations, performed by using COMSOL, are given. Finally we draw conclusions.

4.1 Pluggable Optics and On-Board Optics

Pluggable optical transceiver modules such as SFP+, QSFP+ and 12-SFP (CXP) for 10 Gbps are widely deployed in DCNs [113]. Recently, the QSFP28 module and the emerging QSFP-DD have provided the 100 G and 200 G links [46], respectively. The switches in DCN have a standard dimension of 19 inch width and 1.75 inch height (1 rack unit) and currently such a single-rack unit is limited to a maximum of 32 QSPF+ (Edgecore Networks AS6712-32X) [114] or 32 QSPF28 (Edgecore Networks AS7712-32X) [115], which provides 1.28 Tbps and 3.2 Tbps total bandwidth, respectively. Pluggable transceiver modules can only be placed at the front panel of such rack switches.

Figure 4. 1 Ethernet bitrate, front panel bandwidth, and switch bandwidth [47].

Page 74: Cost-effective design and manufacturing of ... - pure.tue.nl

Pluggable Optics and On-Board Optics 61

Increasing the total system bandwidth requires the bandwidth density on the faceplate of a rack switch to increase. As a result, the area of the front panel of the switch board eventually limits the total supported bandwidth of the switching system. These issues are referred to as the front panel bottleneck. As shown in Figure 4.1, the front panel bandwidth is expected to reach its limit at 7.2 Tbps using QSFP56 based on 50 Gb/s signaling [47]. Also, the long electrical transmission lines, connecting optical transceiver modules from the edge of the board to the switch ASIC, lead to an increase in both power consumption and signal distortion. As the bit rates rise, increased power consumption and electrical distortion become more apparent. In addition, re-timers need to be placed on the PCB to guarantee sufficient signal integrity and these require additional power.

Relative to the pluggable transceiver module, the On-Board optics (OBO) transceiver module has smaller footprint and it allows more transceiver modules in one switch unit. Also the OBO can dramatically shorten the transmission lines between the switch ASIC and transceiver module by placing interconnects on board and avoid the re-timers. [21] These factors ensure the signal quality and reduce the power consumption. Moreover, moving the heat sources from the front panel to the board is beneficial to the heat flux transfer in rack switches. Therefore, OBO is proposed as an alternative to front panel transceivers.

4.2 Design Concept

The schematic of the optical transmitter/receiver is shown in Figure 4.2.

Figure 4. 2 Schematic drawing of the suggested packaging concept of the

transmitter/receiver on a FPC.

A low loss polyimide material has been used as the base material, which has a dielectric constant of 3.2 and a dissipation factor of 0.004 at 1 MHz. Both FPC for transmitter and receiver have dimensions of 3.15 cm × 3.15 cm × 90- µm (W × L × D), with 2 copper layers sandwiching a thin insulating polymer film. Copper circuit patterns are affixed on both sides of the polymer layer to allow interconnections between chips and connection to the commercial ISI HoLi 556

Page 75: Cost-effective design and manufacturing of ... - pure.tue.nl

62 Design Concept

PGA connector. Solder mask layers on both sides are typically supplied to protect the metal tracks. There are several reasons for choosing this PGA connector. Firstly, the pitch of this PGA connector is 1 mm, which is the standard pitch of most packaged FPGA and switching ASIC chips. Secondly, the size of a commercially available optical lens interface (2.6 mm × 6.4 mm) sets the eventual minimum size limit of the module and this PGA connector can provide enough space in the center to allow the placement of the 4 × 12-channel optical straight lens connectors and their fan-out fiber ribbons. Moreover, the ground plane between differential pairs reduces the crosstalk between channels, but increases the module size (see Figure 4.3).

Although the polyimide is an excellent material for high-speed applications, its shape will change during the high temperature reflow process and this might lead to misalignment during the flip-chip bonding process. To solve this problem, a plastic stiffener is attached on the FPC to give the required mechanical support, which will help to avoid the deflection of the FPC and make the assembly process easier.

Figure 4. 3 Layout for differential pairs and PGA connector.

In the center of the FPC, 50 µm wide coplanar differential pairs for 4 separate 12-channel transmitters (Figure 4.4a) or receivers are routed compactly. Along the edge of the FPC, 1 mm standard pitch round pads for a PGA connector are located. The PGA connector is machined to have a hole in the middle to leave space for the electrical and optical components, and it is

Page 76: Cost-effective design and manufacturing of ... - pure.tue.nl

Design Concept 63

shown in Figure 4.4b. This PGA connector has been shown to support up to 20 Gbps signaling [116].

(a)

(b)

Figure 4. 4 (a) FPC routing for 4 separate 12-channel transmitters; (b) PGA connector.

Page 77: Cost-effective design and manufacturing of ... - pure.tue.nl

64 Simulation of FPCs

4.3 Simulation of FPCs

Digital signal transmission The differential transmission lines, which are based on a coplanar waveguide

design, are used for sending digital high-speed signals within the FPC from the PGA pads to the electrical chips. The differential impedance for these lines is calculated and optimized to be 100 Ω by Polar SI9000 and is further simulated by CST. As shown in Fig. 4.5, the differential pairs are fanned-out from the pads with 125 µm pitch in the chip to 1 mm pitch pad for the PGA connector and each chip is routed with 12 differential pairs. Due to the locations of the pads, the length of the differential pairs varies from 13.1 mm to 4.8 mm. Also, the impedance-matched differential pairs are designed to have 70 µm width and 125 µm pitch (between two differential pairs) and 150 µm gap from the ground plane. The drawing of the FPC layer stack for a differential pair is shown in Figure 4.5.

Figure 4. 5 Drawing of the layer stack of the FPC for supporting 100 Ω differential

transmission lines.

The S parameters of the designed differential pair on FPC are then simulated using the 3-D full-wave electromagnetic simulation tool of CST. We simulate the same differential transmission line with and without the PGA pads. It is clear that the diameter D of the round pad (with 1 mm pitch) also influences the signal transmission performance especially at high frequencies. The transmission parameter and reflection parameter of channel 9 with 10.1 mm length are shown in Figure 4.6.

Based on the simulation results, the transmission line itself has a reflection parameter (S11) below -20 dB and its transmission loss (S21) is better than -1.2 dB up to 30 GHz. It is obvious that the large pads for the PGA connector will introduce some unwanted reflections and propagation losses at high frequencies. However, the commercially available high-speed PGA connector, which has 0.34 diameter round pads, can easily support signals up to 30 GHz and provide only -2 dB transmission loss (S21) and below -10 dB reflection (S11). The loss coefficient of the differential pair on FPC is about 0.6 dB/cm at

Page 78: Cost-effective design and manufacturing of ... - pure.tue.nl

Simulation of FPCs 65

30 GHz. For a maximum transmission loss of 3 dB, the maximum length should not exceed 5 cm.

(a) S21

(b) S11

Figure 4. 6 S parameters simulation of differential pair, TL: transmission line.

Figure 4. 7 28 Gbaud PAM4 eye-diagram for BTB (left) and channel 11 (right).

In order to analyze the high-speed performance of this differential pair, the 28 Gbaud PAM4 signal is injected at the longest differential pair - Channel 11,

Page 79: Cost-effective design and manufacturing of ... - pure.tue.nl

66 Simulation of FPCs

which is 13.2 mm, and picked up at the other end. This channel suffers from the largest propagation loss and reflections because of its length. The PAM4 eye-diagram and the BTB performance are shown in Figure 4.7. Due to the insertion loss of the probe and propagation loss of the transmission line, the amplitude swing is reduced from 600 mV to 400 mV. Also, the jitter is increased due to the small length difference of the differential pair. However, the eye is still clearly open.

Analog signal transmission Based on the pad layout of the chips, the trace needs to be designed as a 125

µm pitch ground signal line to connect the anode and cathode pads of the 250 µm pitch optical arrayed devices. Although for many high-speed transmission lines a 50 Ω impedance is often desired, the impedance of optics (VCSEL and Photo-detector) may be quite different. Therefore, the eventual performance of the device including both electricals and optics is highly dependent on this transmission line.

There are several issues that need to be considered in the design. Since VCSEL parameters vary with bias current, the connection should be designed as short as possible since a long trace can lead to signal degradation. Shorter connections allow for tight coupling between the anode and cathode which can reduce lane-to-lane cross-talk [117]. As the length of the connection grows, attention should also be paid to impedance matching to reduce the unwanted reflections [118].

The VCSELs used in this transmitter support up to 14 Gbps with 65 Ω series resistance. Based on this resistance, the transmission line is designed as a 50 µm wide signal trace and 50 µm wide ground trace with 75 µm gap. The characteristic impedance of this trace is calculated to be 65 Ω to match the VCSELs by Polar SI9000. However, not all high-speed 850 nm VCSELs have 65 Ω differential series resistance and their impedances depend not only on the design structure corresponding to the speed but also on the bias current [119]. For example, the 10 Gbps, 14 Gbps and 25 Gbps VCSEL have a differential series impedance of 50 Ω [108], 65 Ω [109], and 80 Ω [110], respectively at 6 mA bias current.

CST has been also used to simulate the S parameters for this signal ground transmission line. In the simulation, the impedance of the driver source was set to 50 Ω and the length of the transmission line to 500 µm. The impedance of the load is set as 50 Ω, 65 Ω, and 80 Ω, respectively. The simulation results are shown in Figure 4.8.

Page 80: Cost-effective design and manufacturing of ... - pure.tue.nl

Simulation of FPCs 67

(a) S21

(b) S11

Figure 4. 8 Simulation S parameters of connection between driver chip (50 Ω) and different loads.

Based on the S-Parameter simulation results, due to the small length and low material loss, even though the impedance is not matched, there is little difference in the propagation parameter and for all three impedances, the propagation losses are less than -0.25 dB up to 30 GHz. The reflection factors for different loads are changing a lot because of the impedance mismatch. The transmission line is designed for 65 Ω to match the 14 Gbps VCSELs. When the source with 50 Ω drives a 10 Gbps VCSEL with same impedance through this transmission line, the reflection factor rises from -38 dB at 2 GHz to -16dB at 30 GHz. This shows that matching the impedance for both source and load without considering the impedance of a short transmission line is possible, especially for the low-frequencies. Also, the result shows that matching the impedance for a short transmission line and a load of 65 Ω and leaving the driver source with 50 Ω gives a good solution. Relative to the 50 Ω solution, when the frequency is beyond 26 GHz, the performance of the 65 Ω solution becomes better. The reflection factor (S11) of this solution is very flat and

Page 81: Cost-effective design and manufacturing of ... - pure.tue.nl

68 Simulation of FPCs

always below -17 dB from 2 to 30 GHz. If the load with 80 Ω series resistance is applied with 50 Ω driver source and 65 Ω transmission line, due to the short length of trace, the simulation result shows that even though the reflection performance is not as good as the other solutions, it is still below -13 dB. Overall, we believe the designed transmission line between driver chip and VCSELs can support up to 28 Gbps signaling speeds in our FPC platform.

Different types of driver designs might also affect the performance based on their output impedance. For example, in order to reduce the power consumption, the output resistance of the driver chip is designed to be relatively large compared with the VCSEL junction resistance [119].

Besides the factors discussed above, the length of the trace can also make a large difference on the high-speed performance. In order to validate this influence, 500 µm length traces and 1000 µm length traces have been designed in the four 12 channel transmitters.

Because of the low-cost process, the fabrication resolution is coarse and hard to control. In order to avoid potential short-circuits, over-etching is applied during the fabrication process. This results in traces on the FPC which are 30 µm wide (nominal size was 50 µm) with 125 µm pitch GSG transmission line and the drawing of GSG trace is shown in Figure 4.9.

Figure 4. 9 Drawing of ground-signal-ground (GSG) trace in design.

CST software is used to re-simulate this fabricated transmission line and the Vector Network Analyzer (VNA) is used to measure its S parameters. In both the simulation and test, the output resistance of driver chip is 50 Ω and the impedance of the VCSEL is set to 50 Ω to verify the performance at 10 GHz. The results of these measurements and simulations are further discussed in Section 4.5.

Compared to the transmitter, the link performance between the photo diode and TIA chip cannot be optimized by changing the current. Therefore, high-speed signal degradation is clearly observed [120]. To overcome these potential problems, the photo-detectors are placed close to the TIA chips using a trace of only 300 µm long, which is the shortest possible transmission line between these two components.

Page 82: Cost-effective design and manufacturing of ... - pure.tue.nl

Assembly 69

4.4 Assembly

The FPC is fabricated with analog and digital traces as designed above. The metal traces are made of copper and the FPC base material is polyimide film, which is flexible with a tensile strength of 345 MPa. As a result, the direct flip-chip bonding on such a thin film becomes a challenge. In order to overcome the deflection during bonding, plastic stiffeners are glued on the FPC to enhance the stiffness of the FPC. The thermal expansion coefficient of the stiffener is close to the thermal expansion coefficient of the FPC to avoid possible CTE mismatch related issues during the high-temperature reflow processes. Four cavities have been designed in this additional plastic layer to allow for the insertion of optical straight lens connectors. The top view of the fabricated FPC is shown in Figure 4.10.

Figure 4. 10 Top view of the fabricated FPC.

The FINEPLACER® Femto is used to flip-chip bond both the BiCMOS driver integrated circuits (ICs) and 850 nm MM VCSEL arrays through a SnAg solder bumps reflow process. To improve the reflow process, formic acid vapor is introduced during the process to reduce surface oxidation of the solder bumps. To further improve the stiffness of the FPC substrate during the die reflow, a special attachment for the hotplate has been machined with a relief for contacting the FPC in the cavity during the bonding (Figure 4.11a). When using a standard flat hotplate, the air inside the cavity has a much lower thermal conductivity, reducing the heat transfer from the hotplate to the pads on FPC and solder bumps on chips. The specially designed hotplate attachment is made of aluminum, which has a high thermal conductivity that greatly improves the heat transfer between the hotplate and the pads on the FPC. After visual alignment, both the chip pick-up holder (330 °C) and hotplate (300 °C) are warmed up for 60 seconds to complete the solder reflow process between the VCSEL array and FPC (Figure 4.11b). Then for the attachment of the

Page 83: Cost-effective design and manufacturing of ... - pure.tue.nl

70 Assembly

CMOS dies heat is only applied to the hotplate and FPC. The reflow process takes place at 300 °C and lasts 60 seconds (Figure 4.11c) to complete the assembly process. The FPC is flipped up-side down and the optical straight lens connectors with dimensions of 2.6 mm × 6.4 mm are assembled through the cavities with epoxy (Figure 4.11d). Each connector contains 12 optical lenses in parallel with a pitch of 250 µm matching that of the VCSEL array. The FINEPLACER® Lambda is used to align between the lenses and VCSELs.

(a) (b)

(c) (d)

Figure 4. 11 (a) Specially designed hotplate for FPC; (b) Flip-chip bonding of VCSELs on FPC with solder reflow process. (c) Flip-chip bonding of CMOS Driver ICs on FPC with solder reflow process; (d) Assembly of the optical straight lens connectors to the

module.

The assembly processes for the receiver module require some modifications. As no PD arrays were available with solder bumps, ultrasonic bonding process is used to make the connection between the photo-detector array and FPC. The VCSELs and Photo-detectors are usually commercially available as dies with gold pads. Ultrasonic bonding process is a good solution for these relatively robust optical chips based on GaAs materials. For soft chips such as InP, a solder paste transfer process can be applied on their pads, which may prove to

Page 84: Cost-effective design and manufacturing of ... - pure.tue.nl

Assembly 71

be compatible with reflow processes in industrial production lines. It means that both packaging approaches can be easily used for most III-V semiconductor based optical chips.

The fully assembled device with fiber ribbons attached is shown in Figure 4.12. Both the front (a) and the back (b) sides of the 48-channel on-board transmitter are shown.

(a) (b)

Figure 4. 12 4 × 12-channel MT fiber array ribbons connected to the on-board transmitter, front (a) and back (b) side.

After that, the solder reflow process is applied to bond the ISI connector at 250 °C for 60 seconds. The fully assembled on-board optical receiver is shown in Figure 4.13.

Figure 4. 13 The fully assembled on-board optical receiver.

Page 85: Cost-effective design and manufacturing of ... - pure.tue.nl

72 Experimental Results

4.5 Experimental Results

High-speed data transmission and detection is used to evaluate the high-speed performance of the transmitter and receiver modules. The experimental setup is shown in Figure 4.14. A 1 mm pitch differential RF probe is used to inject signals to a differential pair of PGA pads. A microcontroller is used to control the driver and TIA chips with a two wire communication interface and to provide a 3.3 V power supply. The standard MPO connector is connected to the optical straight lens connectors through the MT ferrules. A commercial SFP+ module functions as a high-speed light source or detector in the experiment, depending on the module tested.

Figure 4. 14 Experimental setup.

Transmitter Testing A 10 Gbps differential electrical signal, with a 231 –1 NRZ PRBS, is injected

into the driver chips and VCSEL array on the FPC through a 1 mm pitch RF differential probe. All the VCSEL channels are turned on and the measured output power ranges from -1.5 dBm to -0.5 dBm. The receiver, inside the SFP+ module is used to detect the optical signals from the VCSELs. The electrical output signal from the SFP+ is then connected to the oscilloscope and error detector. Based on the results, all 48 channels in this transmitter module show error-free performance at 10 Gbps. The BER of 48 channels is tested one by one and the results are shown in Figure 4.15.

Page 86: Cost-effective design and manufacturing of ... - pure.tue.nl

Experimental Results 73

Figure 4. 15 BER curves for 48-channel transmitter.

Based on the BER test results, the transmitter design with 1000 µm long transmission lines between driver output and VCSEL array (from Channel 37 to Channel 48) shows the worst performance and its average sensitivity at 1e-9 is -11.53 dBm. The average sensitivity for other channels with 500 µm length transmission line (from Channel 1 to Channel 36) is -12.02 dBm for a BER of 1e-9. All the 48 channels in the transmitter module perform better than the SFP+ module whose sensitivity is -11.1 dBm. In addition, the receiver sensitivity spread is within 1 dB for a BER of 1e-9.

One possible reason for the reduced performance of the transmitter chip based on the longer traces could be the difference in RF performance. In order to investigate this issue, CST software is used to simulate the expected performance and a VNA is used to measure the S parameter of both 500 µm and 1000 µm length transmission lines. The reflection and transmission coefficients of the long and short traces are shown in Figure 4.16. In both the simulation and measurement, the driver source and load are set to 50 Ω. We see that the long transmission lines cause increased signal loss, about 0.5 dB, and higher reflections, around 5 dB. Based on this, the signal degradation will lead to a drop in the current amplitude swing leading to a reduced ER and finally poorer

Page 87: Cost-effective design and manufacturing of ... - pure.tue.nl

74 Experimental Results

receiver sensitivity. Also, the parasitic capacitance of the bond pads [121] might impact the BER performance.

Based on the S-parameter simulation results (Figure 4.16), all channels in the transmitter module have less than -0.3 dB propagation loss and lower than -12 dB reflection at 10 GHz. The measurement results are clearly underperforming when compared with the simulation. This can be attributed to the loss factor of the material, which increases at high frequencies, as well as additional impedance mismatch of the real devices. Compared with the simulation results in Section 4.3, the fabricated trace suffers from an additional 0.3 dB loss and 5 dB reflection relative to the theoretically designed trace.

(a) S21

(b) S11

Figure 4. 16 S Parameter measurement results.

Page 88: Cost-effective design and manufacturing of ... - pure.tue.nl

Experimental Results 75

The eye diagrams for all 48 channels are visibly open at 10 Gbps and shown in Figure 4.17. Similar to the BER test result, the long connection between the driver chip and VCSELs also leads to increased jitter in the eye pattern (Channel 37 to Channel 48). Based on the modulated current, the ER of this transmitter is 5.5 dB.

Figure 4. 17 Eye-diagrams for 48-channel transmitter, eye opening = 180 mV.

Receiver Testing The same experimental setup is used to verify the high-speed performance of

the receiver. The transmitter of an SFP+ module is used to generate optical signals with 10 Gbps NRZ PRBS 231 –1 sequence. Then, the optical signals are detected by the photo-detector and amplified by the TIA chip. The converted differential output electrical signals are visualized on the oscilloscope, with one port terminated with 50 Ω and are further connected to an error detector for BER measurements. The BER testing is also performed on the receiver module and the measured results are shown in Figure 4.18. The actual receiver sensitivity value should be compensated with an additional 0.6 dB, which is the minimum insertion loss of the optical straight lens connectors. After the compensation, all 48 channels in this receiver outperform, in terms of BER, the SFP+ module. All 48 channels show a receiver sensitivity distribution of around 1.2 dB. This is caused by misalignment of the optical connector in both the vertical and horizontal directions and deflections of the surface of the FPC.

Page 89: Cost-effective design and manufacturing of ... - pure.tue.nl

76 Experimental Results

Figure 4. 18 BER curves for 48-channel receiver.

The eye diagrams for all 48-channels are clearly open as shown in Figure 4.19.

Figure 4. 19 Eye-diagrams for 48-channel receiver, eye Opening = 200 mV.

Page 90: Cost-effective design and manufacturing of ... - pure.tue.nl

Experimental Results 77

In this receiver design, 10 µF and 0.1 µF decoupling capacitors are soldered next to the electrical TIA chips to prevent resonances in the power rail. The eye-diagrams before and after the de-coupling capacitors assembly are shown in Figure 4.20. It is clear that the eye-opening after assembling these decoupling capacitors greatly improves from 120 mV to 180 mV.

(a) (b)

Figure 4. 20 Eye diagram (a) without and (b) with decoupling capacitors.

Crosstalk Testing As mentioned in Section 4.3, compared to the transmitter, the receiver is

more prone to signal degradation and it is more sensitive to crosstalk created by adjacent channels. Therefore, crosstalk is measured on the receiver module. Two optical signals, with 10 Gb/s PRBS 231 - 1 sequence are generated by a QSFP28 module and fed to the inputs of Channel 4 and Channel 6, while testing the performance of channel 5 using an error detector module with differential inputs. The BER curves of Channel 5 are drawn in Figure 4.21, compared with one channel, two channels and three channels in operation. The results show that the impact on the performance of Channel 5 is less than 0.4 dB reduction in receiver sensitivity. Feeding more optical signals into other non-adjacent channels has not resulted in any further measureable degradation. We can conclude that the crosstalk induced receiver sensitivity penalty for each adjacent channel is less than 0.25 dB. This low level of crosstalk is mostly due to the good electrical isolation between the signal traces made possible by the FPC platform and possibly also for a part due to the good optical isolation inherent to the large distance between PDs in the array (250 µm).

Page 91: Cost-effective design and manufacturing of ... - pure.tue.nl

78 Experimental Results

Figure 4. 21 BER curves of Channel 5 of receiver module, when Channel 5 alone, Channel 5 and Channel 4, Channel 5 and Channel 6, and three channels are fed with

optical signals.

4.6 Thermal Simulation

One of the biggest concerns in compact designs is heat dissipation and the eventual thermal conditions to which optical devices are exposed. Based on the datasheet, a typical IC used in our packaging demonstration, will dissipate 1.02 W for each 12-channel module when all channels are operating at 10 Gbps simultaneously. COMSOL is used to simulate the thermal dissipation of this compact design.

The electrical chip is predominantly composed of silicon with a metal stack layer (copper), and SiO2 passivation layer on the top. Its physical dimensions are 3870 µm × 2245 µm × 430 µm (W × L × H) and the dimensions of the optical chip are 3250 µm × 500 µm × 200 µm. As shown in Figure 4.22, four 12-channel modules are placed on the FPC following the design concept in Section 4.2.

Page 92: Cost-effective design and manufacturing of ... - pure.tue.nl

Thermal Simulation 79

Figure 4. 22 FPC based module modeling in COMSOL.

In the simulation, the ambient temperature is set to 20 °C. The heatsink is directly attached to the top of the 4 electrical chips and these 4 heat sources - 12-channel modules - are assumed to be operating at maximum power dissipation. A possible heatsink design is simulated. The suggested heatsink is made of copper and measures 20 mm × 20 mm× 2 mm (W × L × D) with fins on the top side measuring 20 mm in height and having an aspect ratio of 20 and the gap between the fins is 3.8 mm, which is shown in Figure 4.23 .The average speed of the air flow is 1 m/s.

Figure 4. 23 The geometry of a copper heatsink in the simulation.

Page 93: Cost-effective design and manufacturing of ... - pure.tue.nl

80 Thermal Simulation

The result of the thermal simulation is shown in Figure 4.24. After using a heatsink, the maximum temperature is 34 °C, which is 14 °C higher than ambient. Based on [19], we expect the output power of a VCSEL to drop by only 0.2 mW at a bias current of 6 mA due to this elevated temperature.

Figure 4. 24 Thermal distribution.

4.7 Conclusion

Cost-effective on-board optical transmitter and receiver modules have been packaged by assembling electrical and optical chips on a FPC. A compact assembly concept was used to package both a 48-channel transmitter and receiver. They offer a larger bandwidth density than most commercially available OBO modules. The impedance matched connections are designed in Polar SI9000 and simulated in CST. The electrical traces have been simulated up to 30 GHz and show excellent performance. Therefore, this design of electrical and optical connections can be used for next generation optical transceivers working at higher speed.

All 96 channels in both transmitter and receiver are tested at 10 Gbps. The eye-diagrams are clearly open for all channels at 10 Gbps. The BER results show that both on-board transmitter and receiver have better performance than a commercial SFP+ module. The FPC based OBO modules show a promising solution to the low-cost high-speed optical interconnects with a large bandwidth density. Stiffeners and low-temperature packaging processes

Page 94: Cost-effective design and manufacturing of ... - pure.tue.nl

Conclusion 81

are highly recommended for facilitating the assembly of these OBO modules to avoid the deflection of the surface of the FPC.

To improve the performance and realize higher bit-rate, short interconnections are highly recommended. In the following chapter, we will aim to build a large scale low-cost transceiver module using standard 1 mm pitch BGA layout, which is compatible with FPGAs and switching ASICs.

Page 95: Cost-effective design and manufacturing of ... - pure.tue.nl

82

5

Ceramics-based Optical Engine and Concept Design of Transceiver Board

for FPGA

S a conventional material for electronics, ceramics has a long history of being an excellent substrate for electronic applications. For example, the

ceramics substrates for military electronics and radio devices could be traced back more than a hundred years [121]. There are several advantages of using ceramics-based electronic sub-systems. Ceramic material has a comparably low and stable coefficient of thermal expansion (close to silicon and far below that of most common metals) and this improves the long-term reliability. It also offers good thermal conductivity, chemical stability, mechanical stiffness, thermal resistance, and high process temperature. These properties are compatible with most packaging and assembly processes. In addition, ceramic substrates have stable dielectric permittivity and low loss factor at radio frequencies [121]. As a result, besides ceramics-based microelectronics packaging, the research of ceramics-based opto-electronic packaging was dramatically increased over the last decade [74, 82, 122].

This chapter starts with reviewing the patterned fabrication processes on ceramics with multilayer thick film (MLTF) and PITF technology [73]. Afterward, a 100 G ceramics-based optical engine is presented in detail and its characterization results are discussed. A concept design of a transceiver board for an FPGA is then discussed and evaluated. Finally, a section is devoted to

A

Page 96: Cost-effective design and manufacturing of ... - pure.tue.nl

100 G Ceramics-based Optical Engine 83

summarize a ceramics-based opto-electronic packaging technology and concept design of a transceiver board directly attached on the back of an FPGA demo board.

5.1 Requirements for High-Level Integration

In 1965, Gordon E Moore suggested that the complexity for minimum component costs would double every 12 months, which is famously referred to as Moore’s Law [123]. This law is now used to guide long-term planning and to set targets for research and development. As the size of devices become much smaller, systems become more compact and denser. Therefore, the desire for highly integrated systems leads to the strong development of both substrate fabrication and packaging technology.

5.1.1 Fine Line Photoimageable and Multi-Step Thick Film The conventional MLTF technology has driven the development to improve

the line/spacing width and patterning resolution for many years. However, the screen-printing step becomes the bottleneck for the patterning resolution.

PITF technology was reported from the 1990s by DuPont [124, 125] and Hibridas [126]. The fabrication principle can be briefly explained as follows. As regular thick film materials, photoimageable pastes contain ultraviolet (UV) photo-initiators and reactive polymeric compounds. UV exposure initiates a polymerization reaction in the paste. Then, high pressure sprayed developer solution is used to develop non-exposed materials with a mechanical abrasive process.

Although PITF technology is capable of realizing finer pitch patterns, multilayer structures cannot be achieved by using PITF technology. In 2017, an emerging fabrication technology combining PITF technology and MLTF technology has been demonstrated to successfully develop 30 µm line width/ spacing width and multilayer structures on ceramics [73].

5.2 100 G Ceramics-based Optical Engine

5.2.1 Design and Fabrication As presented in Figure 5.1, we also used the 2.5 D packaging concept in a

ceramics-based optical engine.

Page 97: Cost-effective design and manufacturing of ... - pure.tue.nl

84 100 G Ceramics-based Optical Engine

Figure 5. 1 The scheme of 100 G ceramics-based optical engine.

A low-loss ceramic interposer used in the optical engine was 200 µm thick and made of 96% Alumina (Al2O3), which has a dielectric constant of 9.4 and a dissipation factor of 0.0004 at 1 MHz. An 8 mm × 7.5 mm patterned ceramic interposer is designed for a QSFP28 module. In the design, edge coupled differential pairs are used and the differential impedance of these pairs is simulated and optimized to be 100 Ω by Polar SI9000. The drawing of a 100 Ω differential pair is shown in Figure 5.2. These differential pairs are fanned-out from the pads with 130 µm pitch in the chip to 250 µm pitch for connection to a differential probe and each electrical chip is routed with 4 differential pairs. To maintain the signal integrity, traces between optical dies and electrical chips are only 350 µm long.

Figure 5. 2 Drawing of a 100 Ω differential pair structure on ceramics.

The fabrication processes of traces on the ceramics are based on PITF and MLTF technology and carried out at Neways Technologies and the schematic process flow of PITF technology is shown in Figure 5.3a. As shown in Figure 5.3b, photoimageable paste is screen-printed on ceramics and is dried using an oven. After aligning with a mask, a collimated scanning UV light emitting

Page 98: Cost-effective design and manufacturing of ... - pure.tue.nl

100 G Ceramics-based Optical Engine 85

diode (LED) array is used to expose the photoimageable paste and the traces are created during the development process. The firing process is used afterward at 850 0C for 1 hour. To build up an additional layer, MLTF processes can be used on the other side of ceramics.

(a)

(b)

Figure 5. 3 (a) Schematic process flow of PITF technology; (b) The fabrication processes flow of traces on ceramics.

Relative to microelectronic interposers, opto-electronic interposers require optical vias. Therefore, in between the fabrication process of the two layers, optical vias are opened by using a laser drilling process.

Page 99: Cost-effective design and manufacturing of ... - pure.tue.nl

86 100 G Ceramics-based Optical Engine

5.2.2 Assembly After dicing, the fabricated ceramic interposer is used for 100 G optical

engine assembly. Both optical dies (850 nm MM VCSELs and PDs) and electrical chips (BiCMOS driver and TIA chips) are flip-chip bonded by a die bonder. The connections between optical dies and ceramic interposer are achieved by using thermosonic bonding. After that, solder reflow process is applied to bond the electrical chips at 244 0C for 20s.

Figure 5. 4 Top view of the 100 G ceramics-based optical engine and assembled VCSELs and PDs (taken from bottom side of ceramics interposer).

As illustrated in Figure 5.4, the distance between apertures of VCSELs and PDs is 250 µm and the closest distance between apertures of VCSELs and PDs is 1250 µm. This arrangement is in common with that of a commercial QSFP28 module. With the accuracy of 0.5 µm, the alignment is performed between the lens of an optical straight lens connector and the apertures of VCSELs and PDs. The optical straight lens connector is then glued on the back side of the ceramic interposer. The fully assembled ceramics-based optical engine is shown in Figure 5.5.

Page 100: Cost-effective design and manufacturing of ... - pure.tue.nl

100 G Ceramics-based Optical Engine 87

Figure 5. 5 The fully assembled 100 G ceramics-based optical engine.

5.2.3 Experimental Results As shown in Figure 5.6, the commercial 12-channel MT ferrule with fiber

ribbon provides light access to the module. Flip-chip bonded electrical chips and optical dies can also be seen in the photo. An MPO cable is used to connect the fiber ribbon and a commercial QSFP28 module, which is deployed to characterize the 100 G ceramics-based optical engines.

Figure 5. 6 Side view of the fully assembled 100 G ceramics-based optical engine.

Page 101: Cost-effective design and manufacturing of ... - pure.tue.nl

88 100 G Ceramics-based Optical Engine

Figure 5.7 shows the experimental setup. A 250 µm differential RF probe is used to transmit the high-speed electrical signal and the power, ground, and I2C bus lines are connected to a 3.3 V power supply and a microcontroller with 125 µm probes.

Figure 5. 7. Experimental setup.

Transmitter Test The 4-channel transmitter is tested with a 231 –1 NRZ PRBS at 25.78 Gbps.

A commercial QSFP28 module is used to receive and convert the optical signals from the assembled transmitter module. Then, the electrical signals are passed to an oscilloscope and an error detector. All the VCSEL channels are turned on and the measured output power ranges from -1.8 dBm to -2.4 dBm. The coupling loss of the straight lens optical connector should be at least -0.6 dB. As shown in Figure 5.8, the eye-diagrams are clearly open. Also, the BER of 4 channels is tested one by one and the results are shown in Figure 5.9.

Figure 5. 8 The eye-diagrams of the transmitter at 25.78 Gbps.

Page 102: Cost-effective design and manufacturing of ... - pure.tue.nl

100 G Ceramics-based Optical Engine 89

Figure 5. 9 The BER curves of all transmitter channels.

Based on the results, all channels are working uniformly and the spread of the BER curves is within 0.5 dB for a BER of 1e-9 and have better performance than a commercial QSFP28 module. The modulated currents have also be been used to calculate the ER of this transmitter, which is 4.1 dB. Receiver Test

The 4-channel receiver is also tested with a 231 –1 NRZ PRBS at 25.78 Gbps. The commercial QSFP28 module is working as a transmitter to provide the high-speed optical signals to characterize the performance of the assembled receiver. The oscilloscope and the error detector are used to measure the eye-diagrams and BER as well. The pre-emphasis function is enabled during the whole test. As shown in Figure 5.10, the eye-diagrams at 25.78 Gbps for all 4 channels are visibly open and their BER curves are shown in Figure 5.11.

Figure 5. 10 The eye-diagrams of receiver at 25.78 Gbps.

Page 103: Cost-effective design and manufacturing of ... - pure.tue.nl

90 100 G Ceramics-based Optical Engine

Figure 5. 11 The BER curves of all receiver channels.

The results show that all channels in the assembled receiver can run error-free performance at 25.78 Gbps with a 0.4 dB variation of receiver sensitivity at BER level 1e-12. Also, the performance of the receiver is still better than a commercial QSFP28 module. The same components are also used for a silicon interposer based receiver module [38]. Both demonstrations have resulted in similar BER performance indicating that the ceramic based solution is a good low-cost alternative to the clean-room based silicon interposer technology. Crosstalk Test

To characterize the crosstalk performance of the assembled optical engine, optical crosstalk to an adjacent channel is measured to below -40 dBm. Then the electrical crosstalk performance of the receiver is tested. Because relative to the transmitter, the receiver is more prone to signal degradation and it is more sensitive to crosstalk created by adjacent channels, two optical signals at 25.78 Gbps are generated by the commercial QSFP28 module and fed to adjacent channels (1 and 3) while testing the performance of Channel 2 using an error detector module with differential inputs. As shown in Figure 5.12, the comparison in the BER curves of Channel 2 is shown with a single channel, one adjacent channel (Channel 1), and two adjacent channels (Channel 1 and 3). Based on the results, the crosstalk induced receiver sensitivity penalty for each adjacent channel is less than 0.2 dB. The crosstalk caused by other channels is not found during the test.

Page 104: Cost-effective design and manufacturing of ... - pure.tue.nl

100 G Ceramics-based Optical Engine 91

Figure 5. 12 BER curves of Channel 2 of receiver, when Channel 2 alone, Channel 2 and Channel 1, and three channels are fed with optical signals.

5.3 Concept Design of Transceiver Board for an FPGA

To increase bandwidth density, several compact proposals are suggested in the design of a single optical transceiver module [72, 113, and 127]. However, relative to a single optical transceiver module, the compact design of a whole transceiver board for a switching system could provide more bandwidth density by removing discrete electrical connectors for each single transceiver module. In this section, we propose a concept design for a compact transceiver board, which can be directly connected on the back side of an FPGA demo board. Because most FPGAs and switching ASICs are packaged with 1 mm flip-chip BGA, a specially designed 1 mm pitch high-speed connector is demonstrated firstly. After that, the architecture of the switching system is detailed. Finally, we briefly present the layout of the transceiver board in the switching system.

Page 105: Cost-effective design and manufacturing of ... - pure.tue.nl

92 Concept Design of Transceiver Board for an FPGA

5.3.1 FPGA Demo Board with Low Profile Array Connector In Chapter 4, it was shown that 1 mm pitch pads have excellent high-speed

performance up to 30 Gbps. The pad layout of a Xilinx FPGA XCVU095 - FFVC2104 (shown in Figure 5.13) is also arranged on a 1 mm pitch grid and its high-speed differential signals are placed in the outside rows (to ease routing and reduce electronic crosstalk), and the direct current signals are concentrated in the central area. The FPGA board supports 32-channel GTH transceivers (up to 16.375 Gbps) and 32-channel GTY transceivers (up to 32.75 Gbps). An FPGA demo board is designed fabricated and assembled by AcQ International BV, which is shown in Figure 5.14. Mounting holes in the demo board for the screws can also be seen in the same photo.

The plated vias are designed under the FPGA board for a high-speed low profile array connector (shown in Figure 5.15). This low profile array connector is specially designed and fabricated by Samtec. Based on our requirements, this low profile array connector contains solder balls on one side and springs on the other side and supports high-speed transmission up to 28 Gbps [128]. The deflection simulation of the packaged FPGA demo board and transceiver board after fixing this low profile array connector has also been optimized by Samtec.

Figure 5. 13 The pin layout of FPGA [129].

Page 106: Cost-effective design and manufacturing of ... - pure.tue.nl

Concept Design of Transceiver Board for an FPGA 93

Figure 5. 14 Xillinx FPGA demo board.

Figure 5. 15 A specially designed low profile array connector. Left: spring side. Right:

solder ball side.

5.3.2 Concept Design of Transceiver Board for an FPGA Based on this pads layout, we proposed a compact design concept of a

transceiver board, which can be directly attached to the Xilinx FPGA board. Based on the 3D drawing for the transceiver board directly attached to FPGA demo board (shown in Figure 5.16), this architecture can provide relatively short transmission lines between the FPGA (or a switch ASIC etc.) and transceivers. The advantages of shortening the transmission are significant. It can avoid repeaters/retimers and efficiently reduce the power consumption. At the same time, the high-speed signal quality is improved by shorting the transmission distance as well [21]. Because of the compact design, this switching system (an FPGA demo board and a transceiver board) can offer 1.5 Tbps bandwidth relative to “1 rack unit standard” switches [111, 112] and current FPGA development board [130].

Page 107: Cost-effective design and manufacturing of ... - pure.tue.nl

94 Concept Design of Transceiver Board for an FPGA

Figure 5. 16 3D drawing of the concept design of the transceiver board for FPGA.

As shown in Figure 5.17, the optical engines are located in both the central and side areas of the transceiver board. Straight and light turning connectors can be used to couple the light from the optical engines.

Figure 5. 17 Schematic drawing of cross section view for the concept design.

The layout of the transceiver board has been fully designed, which is shown in Figure 5.18a. The area in the dashed line is zoomed in Figure 5.18b. The ground plane is designed between the differential pairs to reduce the crosstalk and decoupling capacitors are placed between the FPGA and optical engines to protect the devices. Mounting holes are open for the screws and alignment pins.

Page 108: Cost-effective design and manufacturing of ... - pure.tue.nl

Concept Design of Transceiver Board for an FPGA 95

(a)

(b)

Figure 5. 18 (a) The layout of transceiver board; (b) Zoomed-in view of area in the dashed line.

Page 109: Cost-effective design and manufacturing of ... - pure.tue.nl

96 Concept Design of Transceiver Board for an FPGA

5.3.3 Thermal Simulation of Transceiver Board for an FPGA COMSOL is used to simulate the thermal dissipation of this transceiver

board. As shown in Figure 5.19, four 12-channel 10 Gbps optical engines are placed on the left part of FPC (GTH area) and the maximum power dissipation of each 12-channel 10 Gbps chip is 1.02 W. Also, six 4-channel 25 Gbps optical engines (six 4-channel transceivers and six 4-channel receivers) are placed on the right part of FPC (GTY area) and the maximum power dissipation of each 4-channel 25 Gbps driver and receiver chip are 940 mW and 845 mW, respectively.

Figure 5. 19 FPC based transceiver board module modeling in COMSOL.

In the simulation, the ambient temperature is set to 20 °C and the power dissipation of FPGA is assumed to be 50 W, acting as the highest heat generation source in the assembly. As a result, a large heatsink design is suggested on the top of FPGA. This heatsink is made of copper and measures 90 mm × 90 mm × 3.5 mm (W × L × D) with fins on the top side measuring 60 mm in height and having an aspect ratio of 20 and the gap between the fins is 3.3 mm, which is shown in Figure 5.20 .The average speed of the air flow is 2 m/s.

Figure 5. 20 The geometry of a copper heatsink for FPGA.

Page 110: Cost-effective design and manufacturing of ... - pure.tue.nl

Concept Design of Transceiver Board for an FPGA 97

(a)

(b)

Figure 5. 21 Simulated thermal distribution (a) front view; (b) cross section view.

A copper heat sink is also applied for the FPC based transceiver board on the bottom (shown in Figure 5.21a) and its physical dimensions are 90 mm × 90 mm × 5 mm (W × L × D) with fins on the bottom side measuring 20 mm in height and having an aspect ratio of 6.7 and the gap between the fins is 3.3 mm. The result of the thermal simulation is shown in Figure 5.21. As shown in Figure 5.21b, the maximum temperature is 42 °C on the top of FPGA after

Page 111: Cost-effective design and manufacturing of ... - pure.tue.nl

98 Concept Design of Transceiver Board for an FPGA

using two heatsinks. The output power of VCSELs will only drop 0.3 mW at a bias current of 6 mA due to this elevated temperature [19].

To reduce the physical dimension of the copper heat sinks, ceramics can be used to replace the FPC due to its excellent heat conductivity.

5.4 Summary

In this chapter, a ceramics-based optical engine is demonstrated in QSFP28 configuration for 100 G data transmission and a concept design of a transceiver board is proposed to an FPGA demo board.

To assemble the optical engine, optical dies (an 850 nm MM 4-channel VCSELs and PDs) and electrical chips (a 4-channel driver IC and TIA/LA chips) are flip-chip bonded on an 8 mm × 7.5 mm ceramic interposer with a die bonder. The performance of the assembled optical engine is fully characterized afterward. All channels of the optical engine show error-free performance at 25.78 Gbps. The spread of BER curves of the transmitter is within 0.5 dB for a BER of 1e-9 and the variation of receiver sensitivity at a BER of 1e-12 is within 0.4 dB. Further, the crosstalk impact from adjacent channels is measured as well and the result is below 0.4 dB.

In collaboration with industrial partners an FPGA demo board has been designed, fabricated, and assembled. In addition a specially designed high-speed (28 Gbps) low profile array connector has also been fabricated by Samtec. This connector is proposed to connect the FPGA demo board and a transceiver board. In a concept design, the transceiver board is suggested to be directly attached to the back side of the FPGA demo board.

This architecture can efficiently reduce power consumption and improve signal integrity by removing repeaters/re-timers and shortening transmission lines. Furthermore, relative to commercial switches and FPGA development boards, the bandwidth density is dramatically enhanced by using this compact packaging design.

Page 112: Cost-effective design and manufacturing of ... - pure.tue.nl

99

6

Advanced Packaging Concepts for Transmitter modules

S described in the previous chapters, the optical interconnects are able to offer high bit rate and large bandwidth density relative to conventional

electrical communication in DCN [18, 19]. The direct modulated VCSEL based optical transmitter offers low-power dissipation, low driver current and high-speed modulation. However, some drawbacks still exist in the current VCSEL based transceiver module. One problem is that under harsh environmental conditions, the reliability of VCSELs tends to degrade [131]. Another problem is that the current optical interfaces limit the bandwidth density of transceiver modules [47]. In this chapter, we first introduce redundancy in the VCSEL-based transmitter and practically build a redundant VCSEL based transmitter module to improve the reliability. Based on this, an automatic recovery system from VCSEL failure is suggested. Finally, we focus on the SDM and the MCF technology and a low-cost 100 Gbps MCF VCSEL based transmitter module platform is demonstrated to improve the bandwidth density and can be one solution for future transmitter modules.

A

Page 113: Cost-effective design and manufacturing of ... - pure.tue.nl

100 Enhancing the Reliability of Optical Interconnects

with Redundant VCSELs

6.1 Enhancing the Reliability of Optical Interconnects with Redundant VCSELs

6.1.1 Redundant VCSELs In a commercially available VCSEL-based transceiver module, if an

individual VCSEL breaks down, the entire transceiver module needs to be replaced. It consequently leads to additional down time and cost. In [132, 133], the reliability of VCSEL-based interconnects is discussed and a method for improving it is suggested through the introduction of redundant VCSELs in the parallel interconnects modules. However operation of such a redundant transmitter at high bit rates (10 Gbps) has never been demonstrated and the exact nature of the control circuitry required for its implementation is not clear.

Figure 6. 1 Left: Image of assembled redundant VCSELs based transmitter; Right:

Schematic diagram of chip connections and optical fibers.

A PCB is used as the carrier in this demonstration being a low-cost industrial standard technology and having short fabrication time. A BiCMOS driver chip, two 850nm MM VCSEL array and one dual inverter gate are glued on the PCB. The PCB is meant to provide the metal traces between the electrical contacts and a CXP edge connector. The wedge bonding process is used to electrically connect the PCB and the components. A VCSEL is usually connected to a single output channel of a BiCMOS driver in the transmitter module. Relative to the common structure, two VCSELs are linked in parallel to the same output channel of the BiCMOS driver in this method. Their operation states are controlled by controlling the path from the cathode to the ground or Vcc potential. The assembly picture and the schematic diagram of

Page 114: Cost-effective design and manufacturing of ... - pure.tue.nl

Enhancing the Reliability of Optical Interconnects with Redundant VCSELs 101

the connections between the components and the optical fibers are shown in Figure 6.1 (a) and (b), respectively.

As depicted in Figure 6.2, the flowchart of the redundant VCSELs system shows that in case one VCSEL breaks down, the auxiliary VCSEL can be switched on.

Figure 6. 2 Flowchart of the redundant VCSELs system.

6.1.2 Experimental Setup Figure 6.3 presents the experimental setup. The differential signals from the

data generator are fed into the BiCMOS driver on 100 Ω differential transmission line through the CXP evaluation board. The optical signals emitted from both VCSELs are coupled into a 50: 50 2 × 1 multimode optical fiber coupler. In this way, the optical signal from both VCSELs can be detected by a single optical receiver. The digital delay/pulse generator is utilized to change the voltage of the inverters in order to control the current return path of the two VCSELs independently. To characterize the performance of this redundant VCSELs system, 10 Gpbs differential electronic signal with 231 –1 NRZ PRBS is used and the control voltage from the inverter is 3.3 V.

Page 115: Cost-effective design and manufacturing of ... - pure.tue.nl

102 Enhancing the Reliability of Optical Interconnects

with Redundant VCSELs

Figure 6. 3 Experimental setup.

6.1.3 Results The inverters are used to enable two VCSELs in the transmitter with a 5.2

mA bias current and a 1.4 mA modulation current amplitude. The oscilloscope and the error detector are used to measure the eye diagrams and the BER performance for both VCSELs at 10Gbps respectively. For the comparison, the performance of both an SFP+ module and a single VCSEL-based transmitter with same bias current and modulation current amplitude at 10 Gbps are characterized as well. All results are shown in Figure 6.4. Based on the experimental results, both VCSELs of the transmitter in the redundant VCSEL system show error-free performance at 10 Gbps. Furthermore, results for the single VCSEL and SFP+ module are practically identical with a ±0.2dB difference in the sensitivity because of the misalignment in fiber probing.

The digital delay/pulse generator is used to generate the digital 3.3V signal to alternatively switch between the two VCSELs with a duration of 4 ms. Figure 6.5 shows the 10 Gbps data pattern coming alternatively from the two VCSELs, as scheduled by the inverters, and a guard band between the two VCSELs of 1 ms is used to better visualize the time traces. Based on the electrical characteristics of the CMOS inverter, the actual switching speed can be below 1 nanosecond. However with the implementation of a closed loop control scheme as suggested below, we expected the eventual time to recover from a VCSEL failure to be several microseconds.

Page 116: Cost-effective design and manufacturing of ... - pure.tue.nl

Enhancing the Reliability of Optical Interconnects with Redundant VCSELs 103

Figure 6. 4 Eye diagram at 10Gbps and BER curves.

Figure 6. 5 Selected output of two VCSELs (2ms/div).

6.1.4 Suggested Implementation and Potential Benefits In order to simplify the system structure, the microcontroller and inverters

can be directly integrated inside the BiCMOS driver. Most BiCMOS drivers have integrated fault detection circuits to sense the short/ open circuit conditions. The failure of a VCSEL can cause the driver chip to create an interrupt signal to the microcontroller. After that, the microcontroller can poll the BiCMOS driver to diagnose which channel has failed and further create the

Page 117: Cost-effective design and manufacturing of ... - pure.tue.nl

104 Enhancing the Reliability of Optical Interconnects

with Redundant VCSELs

required enable and disable signals to the gates of the inverter. The potential states of the gates can finally control the current return path from the primary and auxiliary VCSELs. In this way, an automated recovery mechanism from VCSEL failure in an optical transmitter can be created as shown in Figure 6.6. In addition, a special small-pitch mesa-isolated VCSEL array with a 9 µm pitch of the two active regions can be used as an alternative light source to the two separate VCSEL arrays [134, 135]. By using such a light source, the 50: 50 2 × 1 multimode optical fiber coupler can be avoided as the light from both VCSELs can be launched into a single MMF to reduce the cost.

Figure 6. 6 Automated recovery system from VCSEL failure.

6.2 100 Gbps Multicore VCSEL Based Transmitter Module Platform

Space Division Multiplexing Technology on VCSEL-based Transmitter

The demand for the interconnect bandwidth in DCs is growing rapidly [136]. In Chapter 1, OBO has been proposed as the next generation transceiver module because of the large bandwidth density. However, some new technologies can be used to reduce the footprint of the module and further increase the bandwidth density. For example, many of the tier one datacenter operators are looking to move to SM based optical interconnects. Increasing capacity for SM based transmission systems has long been achieved using dense wavelength division multiplexing (DWDM) technology. Most DWDM solutions rely on edge emitters and planar integrated circuits, which require

Page 118: Cost-effective design and manufacturing of ... - pure.tue.nl

100 Gbps Multicore VCSEL Based Transmitter Module Platform 105

very tightly controlled coupling schemes. Also, most of the DFB based solutions require a relatively high biasing current to allow direct current modulation at speeds above 10 Gbps. This factor makes these solutions expensive, power hungry, and technically challenging to package.

As an emerging concept to increase the capacity of an optical fiber, space division multiplexing (SDM) technology can be traced back to 50 years ago [137] and is still being developed recently [138]. Since new data centers are “green field” installation, in many cases it could be interesting to consider SDM as an alternative solution to DWDM.

In this section, we combine several techniques to demonstrate for the first time a C-band SM, SDM VCSEL based transmitter module, which is capable of supporting 100 Gbps (4 × 25 Gbps) data transmission directly attached to a MCF.

Multicore Fiber Technology for Capacity Scaling in DCN

Innovation in fiber research has dominated recent SDM developments. MCFs have been demonstrated to offer a large data capacity and a long transmission distance in SDM systems [138]. In MCFs, the array of the physically SM cores in a single fiber supplies the transmission paths and these separated fiber cores are used to reduce the crosstalk. In 2012, a single fiber with 19 cores has been reported for a long-haul transmission [139] and more cores counts are possible to enhance the integration level. Relative to the fiber array and optical interfaces in transceiver modules, MCFs offer smaller size and occupy less space.

Based on this, the optical transceiver with a MCF cannot only increase the bandwidth density but also improve the fiber management.

Ultrafast Laser Inscription Technology for Chip to MCF Coupling Interface

The ultrafast laser inscription (ULI) technology is used as one of the most efficient techniques to fabricate 3D structures in crystalline dielectric materials [140]. By using the direct writing method of ultrafast laser pulses, optical waveguides can be produced inside a glass interposer. Owing to the flexible laser-printed structures, this approach can be easily used to provide a chip-to-MCF “fan in/out” solution for optical transceiver modules. In the current coupling scheme, the light from VCSELs can be directly coupled into a fiber ribbon using an optical connector such as a ferrule or a light-turning connector. Micro lenses and a mirror are often deployed to improve the coupling efficiency of the laser. However, the commercial optical interfaces have a large footprint which limits the scaling of the bandwidth density of transceiver modules. Relative to the commercially available optical connectors, a 3D glass interface is more flexible and compatible with MCFs.

Page 119: Cost-effective design and manufacturing of ... - pure.tue.nl

106 100 Gbps Multicore VCSEL Based Transmitter

Module Platform

At 1.55 µm telecom wavelength, the difference of the refractive index between core and cladding in SMFs is quite small [141]. The apparent maximum index contrast achieved by ULI technology is approximately 0.5%, which is similar to the difference of the refractive indexes of the telecom SM fibers. However, the small refractive index contrast achievable using ULI cannot support the creation of multimode waveguides with their minimum radius of curvature, and will therefore suffer from very high bend losses.

6.2.1 Design Concept The schematic of the optical transmitter is shown in Figure 6.7. The FPC is

chosen as the carrier for this transmitter module. For the electrical connections, the high-speed input traces are designed as 100 Ω differential transmission lines. The connections between the BiCMOS driver chip and 1.5 µm VCSEL array are single-ended traces. A stiffener glued on the back of the FPC is also used to give the mechanical support. In the stiffener, a cavity is reserved for the glass interposer.

Figure 6. 7 Schematic of the 1.5 µm VCSEL based transmitter.

6.2.2 FPC Assembly Processes A 100 µm thickness low-loss polyimide material has been used as the base

material and it has a dielectric constant of 3.2 and a dissipation factor of 0.002 at 1 MHz. The frequency response of the differential transmission lines on FPC is obtained using Polar SI9000, and their characteristic impedance is calculated and optimized to be 100 Ω. A 440 µm thickness FR-4 stiffener is used to enhance the stiffness of FPC and a cavity is designed for inserting the 3D glass interposer.

Page 120: Cost-effective design and manufacturing of ... - pure.tue.nl

100 Gbps Multicore VCSEL Based Transmitter Module Platform 107

Figure 6. 8 Fully assembled transmitter module.

To flip-chip bond the components, gold stud bumps are ultrasonically formed on both the pads of a BiCMOS driver chip and the pads of the FPC for a VCSEL array. The ICA - epoxy H20E is dispensed on the pads of the FPC for the BiCMOS drive chip and the gold stud bumps on the VCSEL array. Then the flip-chip bonding process with the FINEPLACER Die Bonder is used to align the components and the FPC. The connections between them are formed by curing the ICA- epoxy H20E at 150 °C for 1 hour. The fully assembled transmitter module is shown in Figure 6.8. The VCSEL array used in this demonstration was designed for wire-bonding purposes and has therefore very small (40 µm square) pads. Moreover, a plated metal perimeter is on the edge of the VCSEL array. Consequently, the flip-chip assembly becomes quite challenging and only three of the four channels function well in this transmitter. However, by removing the gold plating on the die edges and designing larger bonding pads, assembly and yield can be greatly improved.

Page 121: Cost-effective design and manufacturing of ... - pure.tue.nl

108 100 Gbps Multicore VCSEL Based Transmitter

Module Platform

6.2.3 Experimental Setup

(a)

(b)

Figure 6. 9 Experimental setup.

The transmitter module is then tested to verify its performance. As shown in Figure 6.9, a bit pattern generator is used to generate a 25 Gpbs differential signal with NRZ PRBS 211-1 format. Then, the signals are sent to the transmitter module with an RF multi-channel probe to characterize its high-speed performance. One 6-axis stage is used to control the position of the 3D glass interposer (designed and manufactured by Optoscribe in Figure 6.10) and align the waveguides inside the glass to the apertures of the VCSELs. Another two 3-axis stages are utilized to couple the light from the 4-core fiber to a SMF. This SMF is connected to the Erbium Doped Fiber Amplifier (EDFA) and a filter to amplify the signal and reduce the noise, respectively. After that, the optical signal is introduced to the optical spectrum analyzer, the oscilloscope, and the error detector to verify the performance.

Page 122: Cost-effective design and manufacturing of ... - pure.tue.nl

100 Gbps Multicore VCSEL Based Transmitter Module Platform 109

Figure 6. 10 3D glass interposer mounted on a 3-axes stage. The MCF is depicted at the left hand side and the interposer input cross-section at the right hand side. The

white inset shows the fan-out design in the interposer.

6.2.4 Results In the experiment, a 2.5 V low-power SiGe VCSEL driver is used in the

transmitter module and the VCSELs are biased at an average current of 13 mA. The output powers after the SMF are between -20.3 dBm and -22.4 dBm and the signal-to-noise ratios (SNR) of the 3 channels are above 32VdB. The optical spectrums are shown in Figure 6.11.

Based on the datasheet, the VCSELs emits around 3 dBm of optical power but has a numerical aperture that does not match well with that of the SM waveguides in the glass interposer. This, in combination with the additional distance and misalignment between the VSCEL aperture and the glass interposer of 150 µm due to the thickness of the FPC and the height of the stud bumps creates around 25 dB of optical loss. An EDFA and a filter are connected after the SMF to amplify the optical signal. After obtaining around -4.5 dBm optical power, the visible open eye-diagrams and the error-free performance for this transmitter module are measured at 25 Gbps, as shown in Figure 6.12. To simplify the system, lenses on the glass interposer or directly on the VCSELs can be used to greatly improve the coupling efficiency.

Page 123: Cost-effective design and manufacturing of ... - pure.tue.nl

110 100 Gbps Multicore VCSEL Based Transmitter

Module Platform

Figure 6. 11 Optical spectra measured at the SMF.

Figure 6. 12 Eye-diagrams and BER curves of three of the channels.

6.2.5 Discussion As VCSEL-based transmitters are widely deployed in switches, the failure of

VCSELs becomes a critical problem. Pluggable transceivers are popular in the market and benefit from the flexibility derived from pluggability because they are simple and low-cost to remove and replace in case of a break down. In order to fulfill future bandwidth requirements, OBO, MCM optics, and TSV optics

Page 124: Cost-effective design and manufacturing of ... - pure.tue.nl

100 Gbps Multicore VCSEL Based Transmitter Module Platform 111

are better solutions relative to pluggable optics, because they cannot only improve the form factor of optical modules but also reduce their power consumption. Nevertheless, the reliability of VCSELs becomes more critical in the MCM optics and TSV optics concepts. The reason is that the expensive switching system including a switch ASIC and all transceiver modules need to be replaced rather than a single transceiver module if a VCSEL breaks down. As a solution, the redundancy design in VCSELs has the potential to make the MCM or TSV optics design of a switching board low-cost.

Next to the reliability of VCSELs, the bandwidth density of the transceiver also needs to grow to follow the roadmap of the photonics development. In the MCM and TSV optics design concepts, the bottleneck of the bandwidth density refers to the optical interface due to the absence of electrical interface between the switching board and transceiver board. SDM technology is a good solution to overcome this bottleneck and has already been researched for many years. In order to deploy these techniques in a VCSEL based transmitter, ultrafast laser inscription technology can be used to create waveguides inside a glass interposer to supply a “fan in/out” interface between the linear VCSEL arrays and the alternative core geometry of a MCF. This high-density light coupling scheme not only shows great potential to support the optical interface design for both MCM optics and TSV optics but also offers improved fiber management in DCs.

6.3 Summary

In this Chapter, a low-cost redundant VCSELs based transmitter has been demonstrated and it can overcome a single light source failure. This system comprises two VCSELs connected in parallel to a single output channel of the BiCMOS driver chip. The common connections of both VCSELs are not directly connected to the ground but controlled with an inverter. The inverter enables alternately between the two VCSELs so as to improve the reliability of the VCSEL. The BER performance of both VCSELs has been measured at 10 Gbps with no performance difference between the two VCSELs, a single VCSEL transmitter or a commercial SFP+ module, showing the robustness of the former.

Additionally, a 100 Gbps MCF VCSEL based transmitter module platform is presented. We use a 1 × 4 array of 1.5 µm InP VCSELs and each of them is capable of supporting 25 Gbps transmission speed. The transmitter is based on an FPC substrate. Gold stud bumping and conductive epoxy transfer processes are used to flip-chip bond the SiGe driver chip and the 1.5 µm SM VCSEL array on this substrate. The ultrafast laser inscription process is used to fabricate 4 waveguides in a 3D glass interposer. This glass interposer is used to

Page 125: Cost-effective design and manufacturing of ... - pure.tue.nl

112 Summary

fan out the laser from the VCSEL array to a 4-core fiber in order to increase the bandwidth density of the transceiver module. This transmitter module can achieve 25 Gbps error-free performance per channel and eye diagrams are clearly open.

Page 126: Cost-effective design and manufacturing of ... - pure.tue.nl

Summary 113

7

Summary and Outlooks

7.1 Summary

The exponential expansion of data traffic in DCs is unstoppable and is accompanied by the fast development of the IoT and cloud services. Therefore, high-speed parallel optical interconnects must become more reliable, accommodate increases in bandwidth density and ensure power efficiency. In addition, the costs of optical interconnects need to be reduced to fulfill the market requirements.

Throughout this dissertation, several aspects concerning the development of cost-effective optical interconnects, ranging from different interposer base materials and advanced assembling technologies to state-of-the-art optical transceiver system and cutting-edge packaging strategies, are covered and can be integrated in the design and manufacture of the optical interconnects. Promising results have been achieved, and the achievements made in this work are summarized as follows.

First, a PCB is deployed to build the high-speed optical transmitter. The PCB can be easily and routinely fabricated with a multilayer structure by PCB manufacturing. These industry-standard technologies are low-cost. The challenge to use FR4 as the base material is that standard fabrication processes cannot support the narrow pitch between traces needed for an edge-coupled differential pair. To solve this problem, broadside-coupled differential pairs on a PCB have been designed to match the metal pad layout on the dies. Two

Page 127: Cost-effective design and manufacturing of ... - pure.tue.nl

114 Summary

versions of transmitter modules have been demonstrated. The assembly process of BiCMOS driver chips used in this demonstration is the solder reflow process. The 850 nm MM VCSEL arrays are wire bonded or flip-chip bonded with a conductive epoxy transfer process in two different versions. Both optical transmitters can provide error-free performance at 10 Gbps.

Relative to the rigid PCB, the FPC can provide higher fabrication resolution, which supports the edge-coupled differential pair designed for electrical components. This differential pair can offer better signal integrity due to the absence of vias or an outer-layer electrical interface. In addition, the base material of FPC (polyamide) has shown satisfactory high-speed performance up to 40 Gbps. Based on these factors, 48 × 10 Gbps FPC-based on-board transmitter and receiver module have been built on a standard 3.15 cm × 3.15 cm FPGA PGA connector with a bandwidth density of 0.483 Gbps/mm2. The OBO design is applied using a smaller optical interface to replace the large electrical interface in the front panel and surpass the front-panel bandwidth limitation. The experimental results show that all 48 channels (on transmitter and receiver modules) show error-free performance at 10 Gbps. Industry-standard solder reflow and ultrasonic bonding processes are used to assemble this optical transceiver module.

Ceramics is also a good carrier for the optical transceiver modules. It can not only support the 30 µm line width and multilayer structure by using PITF and MLTF technologies but also provide the excellent CTE and thermal conductivity. Based on the ceramics carrier, a 100 Gbps optical engine is demonstrated with error-free performance at 25 Gbps per channel. In addition, a concept design of transceiver board is suggested to directly connect on the back of the FPGA with the high-speed low profile array connector from Samtec. In this method, the transceiver board could supply the shortest electrical paths between the FPGA and BiCMOS chips and 1.5 Tbps bandwidth could be achieved in a 9 cm × 9 cm area.

The reliability of VCSELs is another critical issue addressed in the dissertation, as VCSEL failure leads to additional cost and long recovery time. To improve the reliability, low-cost optical interconnects with redundant VCSELs have been proposed to solve this problem, and error-free operation at 10 Gbps was achieved independently for both 850 nm MM VCSELs in the redundant system with no performance penalty relative to a single device or commercial module. In the development of the DCN, pluggable optics is replaced by OBO to enlarge the bandwidth density according to the trend. However, the current optical interface is not a satisfactory solution for large-density optical accesses, and its size still constrains the system bandwidth density. 3D ultrafast-laser-printed waveguides inside glass support flexible light routes, and MCFs can offer large data bandwidth with a small footprint. Such cutting-edge techniques are deployed in a SM 1.5 µm VCSEL-based transmitter platform, and a 3D glass interposer, which contains 4 waveguides

Page 128: Cost-effective design and manufacturing of ... - pure.tue.nl

Summary 115

made using an ultrafast laser inscription process, is used to couple the light from a 1 × 4 VCSEL array to a 4-core fiber. This transmitter is capable of supporting 100 Gbps error-free data transmission directly attached to an MCF using SDM technology.

The main contributions of this dissertation briefly include: • Several low-cost base materials such as PCBs, FPCs and ceramics

with sufficient packaging techniques such as wire bonding, flip-chip bonding, conductive epoxy transfer, stud bumping, and ultrasonic bonding have been used in the optical transceiver design.

• Board side coupling concept for optical interconnects is applied for the large bandwidth density modules.

• Advanced concepts such as OBO and ultracompact design have been realized to increase the bandwidth density and solve the current bandwidth bottleneck and a board level transceiver design have been proposed for the future optical interconnects. A compact 48-channel transmitter and receiver are presented with two 48-channel MPO connectors. Following this, a state-of-the-art concept design for ultra-high bandwidth transceiver board is suggested to directly attach on the back side of a FPGA board and this design provides the largest bandwidth density for such a system.

• Redundant VCSELs based transmitter is practically realized and cutting-edge technologies such as SDM, MCFs, and 3D glass have been combined to demonstrate the first time a C-band SM, SDM VCSEL based transmitter module.

7.2 Outlook

The dissertation has demonstrated several advanced packaging approaches for VCSEL-based optical transceiver modules. The integration technologies involved have significant potential for ultrahigh bandwidth density modules in both SR and LR data communications. To realize this module, future improvements and developments are needed. Possible research directions for further optical interconnects are summarized as follows.

BiCMOS Chip and Optical Die Combination Design – The bandwidth of

the optical interconnects is mainly determined by the high-speed performance of the dies. The high-speed BiCMOS chips and optical dies are separately designed by different companies. The separate design processes cannot release their complete high-speed potential because the matching between the components is not optimized. For example, the impedance of electrical

Page 129: Cost-effective design and manufacturing of ... - pure.tue.nl

116 Outlook

BiCMOS chips is sometimes not matched with the impedance of optical dies, which leads to unwanted reflection and signal degradation. A combined design, considering the internal structures for both components, is still unrealized under current research. Such an advance would allow fundamentally broadening the bandwidth and saving more energy.

High-density Electrical Interface – The module size of the optical

transceiver is critically controlled by the footprint and pad layout of the electrical interface. This interface should support high-speed signaling for further high-bit-rate modules. BGA and PGA have been used as the most efficient method for the signals to fan in/out. However, there is still no standard pad layout for this electrical interface if an ultracompact design is desired. Progress needs to be made in determining the best solution for designing this electrical interface to achieve an ultrahigh bandwidth density module with a small form factor.

High-density Optical Interface – A high-density optical interface is also a

key component to realize a module with ultrahigh bandwidth density. Commercial 12-channel, 2 × 12 -channel, and even 4 × 12 -channel components are relatively large and cannot fulfill the bandwidth increase in the future. Optical interfaces with more channels and smaller footprints are highly required in next-generation optical transceiver modules.

Page 130: Cost-effective design and manufacturing of ... - pure.tue.nl

References 117

References

[1] Licklider, J. C. R. (1960). Man-Computer Symbiosis. IRE Transactions on Human Factors in Electronics, HFE-1(1), 4–11. http://doi.org/10.1109/THFE2.1960.4503259 [2] Nakagome, Y., & Mori, H. (1973). Flexible routing in the global communication network. In Seventh International Teletraffic Congress, Stockholm (pp. 1–8). Stockholm. [3] Gien, Michel, and H. Z. (1979). Design principles for network interconnection. In the sixth symposium on Data communications (pp. 101–119). [4] Berners-lee, T. (1990). Information Management : A Proposal (March 1989). [5] European Technology Platform on Smart Systems Integration. (2008). Internet of Things in 2020, 1–27. http://doi.org/10.1007/978-3-319-49736-5_2 [6] Christin, D., Reinhardt, A., & Mogre, P. (2009). Wireless Sensor Networks and the Internet of Things: Selected Challenges. Proceedings of the 8th GI/ITG KuVS, 31–33. http://doi.org/10.1007/978-3-642-11917-0 [7] Subashini, S., & Kavitha, V. (2011). A survey on security issues in service delivery models of cloud computing. Journal of Network and Computer Applications, 34(1), 1–11. http://doi.org/10.1016/j.jnca.2010.07.006 [8] Botta, A., De Donato, W., Persico, V., & Pescapé, A. (2016). Integration of Cloud computing and Internet of Things: A survey. Future Generation Computer Systems, 56, 684–700. http://doi.org/10.1016/j.future.2015.09.021 [9] Qiu, T., Chen, N., Li, K., Atiquzzaman, M., & Zhao, W. (2018). How Can Heterogeneous Internet of Things Build our Future: A Survey. IEEE Communications Surveys and Tutorials, (c), 1–18. http://doi.org/10.1109/COMST.2018.2803740 [10] (Accessed: August 2018) 5G will start moving the needle on mobile data growth in 2020: Cisco VNI. Online available: https://disruptive.asia/5g-mobile-data-growth-2020-cisco-vni/

Page 131: Cost-effective design and manufacturing of ... - pure.tue.nl

118 References

[11] (Accessed: August 2018) Internet of Things forecast. Online available: https://www.ericsson.com/en/mobility-report/internet-of-things-forecast [12] Cisco. (2016). Cisco Global Cloud Index: Forecast and Methodology , 2016–2021. White Paper, 1–46. http://doi.org/1461303651810644 [13] (Accessed: August 2018) Microsoft to Open-Source Its Secret Weapon Against Cloud Network Outages Online available: https://www.datacenterknowledge.com/microsoft/microsoft-open-source-its-secret-weapon-against-cloud-network-outages [14] (Accessed: August 2018) Research: There are Now Close to 400 Hyper-Scale Data Centers in the World. Online available: https://www.datacenterknowledge.com/cloud/research-there-are-now-close-400-hyper-scale-data-centers-world [15] Barroso, L., & Hölzle, U. (2013). The Datacenter as a Computer. Morgan & Claypool Publishers (May 2009), 24, 156. http://doi.org/10.2200/S00516ED2V01Y201306CAC024 [16] Al-Fares, M., Loukissas, A., & Vahdat, A. (2008). A scalable, commodity data center network architecture. ACM SIGCOMM Computer Communication Review, 38(4), 63. http://doi.org/10.1145/1402946.1402967 [17] Miao, W. (2017). Flow-controlled and SDN-enabled Optical Switching System for High-capacity and Low- latency Flat Data Center Networks door. [18] Urricariet, C. (2016). Latest Trends in Data Center Optics Finisar Corporation. [19] Duan, P. (2014). A novel 3D stacking approach for chip-to-chip interconnects. https://doi.org/10.6100/IR781403 [20] Verbist, J., Lambrecht, J., Verplaetse, M., Van Kerrebrouck, J., Srinivasan, S. A., De Heyn, P., Bauwelinck, J. (2018). DAC-less and DSP-free PAM-4 Transmitter at 112 Gb/s with Two Parallel GeSi Electro-Absorption Modulators. European Conference on Optical Communication, ECOC, 2017–September(3), 1–3. https://doi.org/10.1109/ECOC.2017.8346098 [21] Dorren, H. J. S., Wittebol, E. H. M., De Kluijver, R., Guelbenzu De Villota, G., Duan, P., & Raz, O. (2015). Challenges for optically enabled high-

Page 132: Cost-effective design and manufacturing of ... - pure.tue.nl

References 119

radix switches for data center networks. Journal of Lightwave Technology, 33(5), 1117–1125. https://doi.org/10.1109/JLT.2015.2391301 [22] Pahlavan, K., & Krishnamurthy, P. (2009).Networking fundamentals: wide, local and personal area communications. John Wiley & Sons. [23] Panicker, R. A., Kahn, J. M., & Boyd, S. P. (2008). Compensation of Multimode Fiber Dispersion Using Adaptive Optics via Convex Optimization. Journal of Lightwave Technology, 26(10), 1295–1303. https://doi.org/10.1109/JLT.2008.917324 [24] (Accessed: August 2018) K. Tamura (2016). 25GbE SMF 10km/40km baseline proposal. Online available: http://www.ieee802.org/3/cc/public/adhoc/160518/tamura_160519_25GSMF_Baseline.pdf [25] (Accessed: August 2018) Fibre Optics vs Copper Cabling – Understanding the Difference, 1–5. Online available: https://optronicsplus.net/downloads/whitepapers/OP_Fibre_Optics_vs_Copper_Cabling_Understanding_the_Difference_White_Paper_Rev.1.0.pdf [26] (Accessed: August 2018) Copper vs. Fiber – Which to Choose? Online available: https://www.multicominc.com/training/technical-resources/copper-vs-fiber-which-to-choose/ [27] Awad, A. E. (2006). Basics of Fiber Optics. Fiber Optics Technician’s Manual, 17–34. [28] Villota, G. G. De. (2018). Scalable Electro-Optical Solutions for Data Center Networks. [29] (Accessed: August 2018) Broadcom’s Tomahawk® 3 Ethernet switch chip delivers 12.8 Tb/s of speed in a single 16 nm device. Online available: https://www.broadcom.com/blog/broadcom-s-tomahawk-3-ethernet-switch-chip-delivers-12-8-tbps-of-speed-in-a-single-16-nm-device [30] Georgios S. Zervas, Marc De Leenheer, Lida Sadeghioon, Dimitris Klonidis, Yixuan Qin, Reza Nejabati, Dimitra Simeonidou, Chris Develder, Bart Dhoedt, and Piet Demeester. (2009). Multi-Granular Optical Cross-Connect: Design , Analysis , and Demonstration. Journal of Optical Communications and Networking, 1(1), 69–84.

Page 133: Cost-effective design and manufacturing of ... - pure.tue.nl

120 References

[31] Ye, X., Proietti, R., Yin, Y., Yoo, S. J. B., & Akella, V. (2011). Buffering and Flow Control in Optical Switches for High Performance Computing. Journal of Optical Communications and Networking, 3(8), A59. https://doi.org/10.1364/JOCN.3.000A59 [32] Kai Chen, Ankit Singla, Atul Singh, Kishore Ramachandran, Lei Xu, Yueping Zhang, Xitao Wen, and Yan Chen (2014). OSA: An Optical Switching Architecture for Data Center Networks With Unprecedented Flexibility. IEEE/ACM TRANSACTIONS ON NETWORKING, 22, 498–511. https://doi.org/10.1109/TNET.2013.2253120 [33] Kachris, C., Kanonakis, K., & Tomkos, I. (2013). Optical interconnection networks in data centers: Recent trends and future challenges. IEEE Communications Magazine, 51(9), 39–45. https://doi.org/10.1109/MCOM.2013.6588648 [34] Christodoulopoulos, K., Lugones, D., Katrinis, K., Ruffini, M., & Mahony, D. O. (2015). Performance Evaluation of a Hybrid Optical / Electrical Interconnect. Journal of Optical Communications and Networking, 7(3), 193–204. [35] Nathan Farrington, George Porter, Sivasankar Radhakrishnan, Hamid Hajabdolali Bazzaz, Vikram Subramanya, Yeshaiahu Fainman, George Papen, and Amin Vahdat. (2010). Helios: a hybrid electrical/optical switch architecture for modular data centers. Sigcomm 2010, 40(4), 339. https://doi.org/10.1145/1851275.1851223 [36] (Accessed: August 2018) Lasers, I. V. S., Optronics, P., Optronics, P., & Vcsel, T. (1996). Vertical-Cavity Surface-Emitting Laser Technology, Online available: http://www.newmetals.co.jp/pdf/234.pdf [37] (Accessed: August 2018) Wall-plug efficiency. Online available: https://en.wikipedia.org/wiki/Wall-plug_efficiency [38] Li, C. (2018). Silicon-based opto-electronic integration for high bandwidth density optical interconnects. [39] Soenen, W., Vaernewyck, R., Yin, X., Spiga, S., & Amann, M. (2016). 56 Gb/s PAM-4 Driver IC for Long-Wavelength VCSEL Transmitters. European Conference on Optical Communication, ECOC, (3), Th.1.C.4. [40] Hofmann, W., Chase, C., Müller, M., Rao, Y., Grasse, C., Böhm, G., Chang-Hasnain, C. J. (2010). Long-wavelength high-contrast grating vertical-

Page 134: Cost-effective design and manufacturing of ... - pure.tue.nl

References 121

cavity surface-emitting laser. IEEE Photonics Journal, 2(3), 415–422. https://doi.org/10.1109/JPHOT.2010.2049009

[41] Rao, Y.(2012). InP-based Long Wavelength VCSEL using High Contrast Grating.

[42] Marcks, R. (2008). Design and fabrication of long wavelength vertical cavity lasers on GaAs substrates.

[43] (Accessed: August 2018) Stephen Hardy.(2012). Long-wavelength VCSELs ready to benefit 40/100-GbE modules. Online available: https://www.lightwaveonline.com/articles/print/volume-28/issue-6/technology/long-wavelength-vcsel-technology-improves.html

[44] (Accessed: August 2018) Data centers driving 40G optical transceivers, 100G ramping says IHS Infonetics. Online available: https://www.lightwaveonline.com/articles/2015/05/data-centers-driving-40g-optical-transceivers-100g-ramping-says-ihs-infonetics.html

[45] (Accessed: August 2018) Understand-100g-transceivers-transmission-principles. Online available: https://community.fs.com/blog/understand-100g-transceivers-transmission-principles.html

[46] (Accessed: August 2018) QSFP-DD Multi-Source Agreement (2018) QSFP-DD Specification. Online available: http://www.qsfp-dd.com/

[47] Ghiasi, A. (2015). Large data centers interconnect bottlenecks. Optics Express, 23(3), 2085. https://doi.org/10.1364/OE.23.002085

[48] Guelbenzu, G., Calabretta, N., & Raz, O. (2017). Towards standardization of compact data center switches. ICT Express, 3(2), 72–75. https://doi.org/10.1016/j.icte.2017.05.003

[49] Data, M., & Energy, M. (2014). End-to-End Communications with Advanced Fiber Optic Technologies.

[50] (Accessed: August 2018) Optical Engines 25G BOA (Board-Mount Optical Assembly). Online available :https://www.finisar.com/optical-engines/fbotd25fl2c00

Page 135: Cost-effective design and manufacturing of ... - pure.tue.nl

122 References

[51] (Accessed: August 2018) MicroPOD Evaluation Kit For MicroPOD Pluggable Parallel-Fiber-Optics Modules. Online available: https://datasheet.octopart.com/AFBR-77EVK-Avago-datasheet-22054558.pdf [54] (Accessed: August 2018) The Consortium for On-Board Optics (COBO). Online available: http://onboardoptics.org/ [55] (Accessed: August 2018) COBO issues industry’s first on-board optics specification. Online available: http://www.gazettabyte.com/home/2018/4/16/cobo-issues-industrys-first-on-board-optics-specification.html [56] (Accessed: August 2018) Overcome Copper Limits with Optical Interfaces. Online available: https://www.intel.com/content/dam/altera-www/global/en_US/pdfs/literature/wp/wp-01161-optical-fpga.pdf [57] Accessed: August 2018) ALTERA® OPTICAL FPGA TECHNOLOGY WITH MICROPOD™ OPTICS. Online available: https://www.broadcom.com/optical_fpga [58] (Accessed: August 2018) Compass-EOS Introduces the World’s First Direct Silicon-to-Photonics-Based Router Family. Online available: https://www.businesswire.com/news/home/20130312005800/en/Compass-EOS-Introduces-World%E2%80%99s-Direct-Silicon-to-Photonics-Based-Router-Family [59] (Accessed: August 2018) World's first optical FPGA technology demo from Altera. Online available: https://www.eetimes.com/document.asp?doc_id=1317045 [60] (Accessed: August 2018) Evolving Peering with a New Router Architecture. Online available: https://ripe67.ripe.net/presentations/340-RIPE67_-_Compass-EOS_-_New_Router_Architecture_-_17_Oct_2013.pdf [61] Schwartz, D. B., Chun, C. K. Y., Foley, B. M., Hartman, D. H., Lebby, M., Lee, H. C., Webb, B. (1996). A low-cost high-performance optical interconnect. IEEE Transactions on Components Packaging and Manufacturing Technology Part B, 19(3), 532–538. https://doi.org/10.1109/96.533892 [62] (Accessed: August 2018) ADHESIVES: The Importance of CTE for Assembly Reliability. Online available: https://www.medicaldesignbriefs.com/component/content/article/mdb/features/27726

Page 136: Cost-effective design and manufacturing of ... - pure.tue.nl

References 123

[63] Duan, P., Raz, O., Smalbrugge, B. E., Duis, J., & Dorren, H. J. S. (2012). A novel 3D stacking method for Opto-electronic dies on CMOS ICs. Optics Express, 20(26), B386. https://doi.org/10.1364/OE.20.00B386

[64] Li, C., Stabile, R., Li, T., Smalbrugge, B., Guelbenzu De Villota, G., & Raz, O. (2018). Wet-Etched Three-Level Silicon Interposer for 3-D Embedding and Connecting of Optoelectronic Dies and CMOS ICs. IEEE Transactions on Components, Packaging and Manufacturing Technology, 8(4), 570–577. https://doi.org/10.1109/TCPMT.2017.2786550 [65] Kuchta, D. M., Rylyakov, A. V., Doany, F. E., Schow, C. L., Proesel, J. E., Baks, C. W., Larsson, A. (2015). A 71-Gb/s NRZ modulated 850-nm VCSEL-based optical link. IEEE Photonics Technology Letters, 27(6), 577–580. https://doi.org/10.1109/LPT.2014.2385671 [66] Piranha, D., & Led, R. G. B. (2007). Data sheet SCHOTT N-B 7®, 1–2. https://doi.org/10.1007/978-3-211-89836-9_355 [67] Kuramochi, S., Kudo, H., Akazawa, M., Mawatari, H., Tanaka, M., & Fukuoka, Y. (2016). Glass interposer technology advances for high density packaging. 2016 IEEE CPMT Symposium Japan, ICSJ 2016, 213–216. https://doi.org/10.1109/ICSJ.2016.7801263 [68] Brusberg, L., Schröder, H., Erxleben, R., Ndip, I., Töpper, M., Nissen, N. F., & Reichl, H. (2010). Glass carrier based packaging approach demonstrated on a parallel optoelectronic transceiver module for PCB assembling. Proceedings - Electronic Components and Technology Conference, 269–274. https://doi.org/10.1109/ECTC.2010.5490961 [69] Lee, H.-S., Park, J.-Y., Cha, S.-M., Lee, S.-S., Hwang, G.-S., & Son, Y.-S. (2011). Ribbon plastic optical fiber linked optical transmitter and receiver modules featuring a high alignment tolerance. Optics Express, 19(5), 4301. https://doi.org/10.1364/OE.19.004301 [70] Ukaegbu, I. A. (2011). Analytical model for crosstalk analysis of optoelectronic transmitter modules for optical interconnects. Optical Engineering, 50(7), 075401. https://doi.org/10.1117/1.3600768 [71] Yagisawa, T., Shiraishi, T., Ikeuchi, T., & Tanaka, K. (2013). FPC-based compact 25-Gb/s optical transceiver module for optical interconnect utilizing

Page 137: Cost-effective design and manufacturing of ... - pure.tue.nl

124 References

novel high-speed FPC connector. Proceedings - Electronic Components and Technology Conference, 274–279. https://doi.org/10.1109/ECTC.2013.6575583 [72] Sugawara, M., Shiraishi, T., Yagisawa, T., Tsunoda, Y., Oku, H., Ide, S., & Tanaka, K. (2014). 40-Gb/s FPC-based optical transceiver with integrated-lens on small active area diameter of photodiode. Conference on Optical Fiber Communication, Technical Digest Series, 3–5. https://doi.org/10.1109/OFC.2014.6886769 [73] Takemoto, T., Yuki, F., Yamashita, H., Lee, Y., Saito, T., Tsuji, S., & Nishimura, S. (2010). A compact 4 × 25-Gb/s 3.0 mW/Gb/s CMOS-based optical receiver for board-to-board interconnects. Journal of Lightwave Technology, 28(23), 3343–3350. https://doi.org/10.1109/JLT.2010.2082494 [74] Tacken, R., Mitcan, D., & Nab, J. (2017). Combining fine line photoimageable with multi-layer thick film for improved circuit density, 94–99. https://doi.org/10.4071/imaps.459344 [75] (Accessed: August 2018) 3D Silicon & Glass Interposers. Online available: http://www.chipscalereview.com/legacy/www.i-micronews.com/upload/Rapports/3D_Silicon_&Glass_Interposers_sample_2012.pdf [76] (Accessed: August 2018) Specifications Rigid Printed Circuit Boards. Online available: http://www.qpigroup.com/public/site/downloads/fineline-qpi-spec_es_17418_frrev1-0.pdf [77] (Accessed: August 2018) Specialized expertise for miniaturized printed circuit boards. Online available: https://www.swisspcb.ch/fileadmin/_migrated/content_uploads/GS_Firmenbroschuere_e_2013_Homepage.pdf [78] (Accessed: August 2018) BOROFLOAT ® 33 – Thermal Properties. Online available: https://www.schott.com/d/borofloat/b89578c1-3509-40a2-aacf-ca3c2371ef7b/1.0/borofloat33_therm_eng_web.pdf [79] (Accessed: August 2018) Silicon Wafer. Online available: https://www.americanelements.com/silicon-wafer-7440-21-3 [80] (Accessed: August 2018) RO4000® Series High Frequency Circuit Materials. Online available:

Page 138: Cost-effective design and manufacturing of ... - pure.tue.nl

References 125

https://www.rogerscorp.com/documents/726/acm/RO4000-Laminates---Data-sheet.pdf [81] (Accessed: August 2018) DUPONT™ KAPTON® FPC POLYIMIDE FILM. Online available: http://www.dupont.com/content/dam/dupont/products-and-services/membranes-and-films/polyimde-films/documents/DEC-Kapton-FPC-datasheet.pdf [82] (Accessed: August 2018) Fine Ceramics for Electronics. Online available: https://global.kyocera.com/prdct/fc/product/pdf/electronic.pdf [83] (Accessed: August 2018) Does size matter? Understanding Wafer Size. Online available: https://anysilicon.com/does-size-matter-understanding-wafer-size/ [84] (Accessed: August 2018) DuPont™ Pyralux® AP flexible circuit materials. Online available: http://www.dupont.com/content/dam/dupont/products-and-services/electronic-and-electrical-materials/flexible-rigid-flex-circuit-materials/documents/PyraluxAPclad_DataSheet.pdf [85] Elenius, P., & Levine, L. (2000). Comparing flip-chip and wire-bond interconnection technologies. Chip Scale Review, 4(August), 81. [86] Palesko, C. A., & Vardaman, E. J. (2010). Cost comparison for flip chip, gold wire bond, and copper wire bond packaging. Proceedings - Electronic Components and Technology Conference, (512), 10–13. https://doi.org/10.1109/ECTC.2010.5490877 [87] Valenta, V., Spreng, T., Yuan, S., Winkler, W., Ziegler, V., Dancila, D. Schumacher, H. (2015). Design and experimental evaluation of compensated bondwire interconnects above 100 GHz. International Journal of Microwave and Wireless Technologies, 7(3–4), 261–270. https://doi.org/10.1017/S1759078715000070 [88] Beck, D., & Perez, A. (2007). Wire Bond Technology-The Great Debate: Ball vs. Wedge. Advanced Packaging, 1–7. Retrieved from https://search.proquest.com/docview/223616751?accountid=27128 [89] (Accessed: August 2018) Ultra-low-loop Wire Bonds. Online available: https://electroiq.com/2006/01/ultra-low-loop-wire-bonds/

Page 139: Cost-effective design and manufacturing of ... - pure.tue.nl

126 References

[90] Zhong, Z. W., Tee, T. Y., & Luan, J. E. (2007). Recent advances in wire bonding, flip chip and lead-free solder for advanced microelectronics packaging. Microelectronics International, 24(3), 18–26. https://doi.org/10.1108/13565360710779154

[91] Jackson, R., & Ray, S. K. (1995.). Ceramic Mini-Ball Grid Array Package for High Speed Device, 46–50.

[92] Scott Pozder, Ankur Jain, Ritwik Chatterjee, Zhihong Huang, Robert E. Jones1, Eddie Acosta1, Bill Marlin, Gerhard Hillmann, Martin Sobczak, Gerald Kreindl, Senthil Kanagavel, Hannes Kostner, Stefan Pargfrieder (2008). 3D die-to-wafer Cu/Sn microconnects formed simultaneously with an adhesive dielectric bond using thermal compression bonding. 2008 IEEE International Interconnect Technology Conference, IITC, 46–48. https://doi.org/10.1109/IITC.2008.4546921

[93] Kim, J., Jeong, B., Chiao, M., & Lin, L. (2009). Ultrasonic bonding for MEMS sealing and packaging. IEEE Transactions on Advanced Packaging, 32(2), 461–467. https://doi.org/10.1109/TADVP.2008.2009927

[94] Kang, S. Y., Williams, P. M., McLaren, T. S., & Lee, Y. C. (1995). Studies of thermosonic bonding for flip-chip assembly. Materials Chemistry Physics, 42(1), 31–37. https://doi.org/10.1016/0254-0584(95)80039-5

[95] Enplas Corporation (2010) Enplas standard Lens Array –Straight Type- datasheet

[96] Enplas Corporation (2010) Enplas standard Lens Array –Right Angle Mirror- datasheet

[97] (Accessed: August 2018) PRIZM® LightTurn® Connector. Online available: http://www.usconec.com/products/prizm-lightturn.htm

[98] (Accessed: August 2018) FUJITSU Component Connector QSFP28 Active Optical Cable Datasheet Online available: http://www.fujitsu.com/downloads/MICRO/fcai/connectors/100g-aoc.pdf

[99] (Accessed: August 2018) OPTICAL TRANSCEIVER FIBER COUPLING. Online available: http://www.optoscribe.com/products/

Page 140: Cost-effective design and manufacturing of ... - pure.tue.nl

References 127

[100] (Accessed: August 2018) APPLICATION OPTICS AND PHOTONIC. Online available: https://www.femtoprint.ch/ [101] Thomson, R. R., Harris, R. J., Birks, T. A., Brown, G., Allington-Smith, J., & Bland-Hawthorn, J. (2012). Ultrafast laser inscription of a 121-waveguide fan-out for astrophotonics. Optics Letters, 37(12), 2331. https://doi.org/10.1364/OL.37.002331 [102] Brusberg, L., Schroder, H., Topper, M., & Reichl, H. (2009). Photonic System-in-Package technologies using thin glass substrates. Electronics Packaging Technology Conference, 2009. EPTC ’09. 11th. https://doi.org/10.1109/EPTC.2009.5416411 [103] Pitwon, R. C. A., Brusberg, L., Schroder, H., Whalley, S., Wang, K., Miller, A., Cole, A. (2015). Pluggable electro-optical circuit board interconnect based on embedded graded-index planar glass waveguides. Journal of Lightwave Technology, 33(4), 741–754. https://doi.org/10.1109/JLT.2014.2361438 [104] Ding, Y., Ye, F., Peucheret, C., Ou, H., Miyamoto, Y., & Morioka, T. (2014). On-chip grating coupler array on the SOI platform for fan-in/fan-out of multi-core fibers with low insertion loss and crosstalk. European Conference on Optical Communication, ECOC, 23(3), 5348–5350. https://doi.org/10.1109/ECOC.2014.6964081 [105] Liao, P., Sakib, M., Lou, F., Park, J., Wlodawski, M., Kopp, V. I., Liboiron-Ladouceur, O. (2015). Ultradense silicon photonic interface for optical interconnection. IEEE Photonics Technology Letters, 27(7), 725–728. https://doi.org/10.1109/LPT.2015.2390540 [106] (Accessed: August 2018) Insight into the history of PCBs. Online available: https://www.lpe.hu/en/history-of-pcb [107] (Accessed: August 2018) Differential Traces and Impedance. Online available: http://ptgmedia.pearsoncmg.com/images/013141884X/samplechapter/013141884X_ch14.pdf [108] Philips 10 Gbps VCSEL 850nm datasheet [109] Philips 14 Gbps VCSEL 850nm datasheet [110] Philips 25 Gbps VCSEL 850nm datasheet

Page 141: Cost-effective design and manufacturing of ... - pure.tue.nl

128 References

[111] Cledo Brunetti and Roger W. Cirtos (1947). Printed Circuit Techniques. https://doi.org/10.1036/0071464204 [112] (Accessed: August 2018) Flexible Electronics & Circuit Market worth 40.37 Billion USD by 2023. Online available: https://www.marketsandmarkets.com/PressReleases/flexible-electronics.asp [113] C. Cole (2017) Future datacenter interfaces based on existing and emerging optics technologies, in 2013 IEEE Photonics Society Summer Topical Meeting Series, 2013, pp. 217–218. [114] (Accessed: August 2018) AS6712-32X 40GbE Data Center Switch Bare-Metal Hardware. Online available: https://www.proficomms.cz/files/datasheets/edge-core/AS6712-32X%20ONIE%20DS%20R01%2020150710.pdf [115] (Accessed: August 2018) AS7712-32X/AS7716-32X Series Switch 100GbE Data Center Switch Bare-Metal Hardware. Online available: http://www.colfaxdirect.com/store/pc/catalog/AS7712-32X.pdf [116] Fuad E. Doany, Benjamin G. Lee, Daniel M. Kuchta, AlexanderV. Rylyakov, Christian Baks, Christopher Jahnes, Frank Libsch, and Clint L. Schow (2013). Terabit/Sec VCSEL-based 48-channel optical module based on holey CMOS transceiver IC. Journal of Lightwave Technology, 31(4), 672–680. https://doi.org/10.1109/JLT.2012.2217938 [117] Mellanox 28 GBD TRANSCEIVER CHIP APPLICATION NOTE [118] Takatoshi Yagisawa, Takashi Shiraishi, Mariko Sugawara, and Kazuhiro Tanaka (2014) Novel trace design for high data-rate multi-channel optical transceiver assembled using flip-chip bonding. Proceedings - Electronic Components and Technology Conference 2014 [119] Kozlov, V., & Chan Carusone, A. (2016). Capacitively-coupled CMOS VCSEL driver circuits. IEEE Journal of Solid-State Circuits, 51(9), 2077–2090. https://doi.org/10.1109/JSSC.2016.2584641 [120] Li, C., Li, T., Guelbenzu, G., Smalbrugge, B., Stabile, R., & Raz, O. (2017). Chip Scale 12-Channel 10 Gb/s Optical Transmitter and Receiver Subassemblies Based on Wet Etched Silicon Interposer. Journal of Lightwave Technology, 35(15), 3229–3236. https://doi.org/10.1109/JLT.2017.2681043

Page 142: Cost-effective design and manufacturing of ... - pure.tue.nl

References 129

[121] Bartnitzek, T., Thelemann, T., Apel, S., & Suphan, K. (2016). Advantages and limitations of ceramic packaging technologies in harsh applications, 581–585. [122] Bach, H. L., Endres, T. M., Dirksen, D., Zischler, S., Bayer, C. F., Schletz, A., & März, M. (2018). Ceramic Embedding as Packaging Solution for Future Power Electronic Applications, 2410–2415. https://doi.org/10.23919/IPEC.2018.8507647 [123] Gordon E. Moore. (1965). Cramming more components onto integrated circuits. Electronics, 38(8). https://doi.org/10.1109/JPROC.1998.658762 [124] J.P. Cazenave and T.R. Suess, (1993) Fodel photoimageable materials – a thick film solution for multichip modules, ISHM Conference Proceedings, 483-488, [125] Wang, Y. L., Ollivier, P. J., & Skurski, M. a. (2000). Photoformed thick film materials and their application to fine feature circuitry. Proceedings 2000 HD International Conference on High-Density Interconnect and Systems Packaging (SPIE Vol.4217), 579–584. [126] Minalgiene, J., Baltrushaitis, V., & Muckett, S. (1994). Very Fine Line Photoimageable Thick Film Technology Developed at Hibridas. Microelectronics International, Vol. 11 Issue: 2, pp.25-30, https://doi.org/10.1108/eb044529 [127] Li, T., Li, C., Dorrestein, S., Stabile, R., & Raz, O. (2018). 48 × 10-Gb/s cost-effective FPC-based on-board optical transmitter and receiver. IEEE Transactions on Components, Packaging and Manufacturing Technology, 8(8), 1353–1362. https://doi.org/10.1109/TCPMT.2018.2852758 [128] (Accessed: August 2018) Interconnect Solution Catalog. Online available: http://suddendocs.samtec.com/literature/samtec_f-217_catalog_hr.pdf [129] (Accessed: August 2018) UltraScale and UltraScale+ FPGAs Packaging and Pinouts Product Specification. Online available: https://www.xilinx.com/support/documentation/user_guides/ug575-ultrascale-pkg-pinout.pdf

Page 143: Cost-effective design and manufacturing of ... - pure.tue.nl

130 References

[130] (Accessed: August 2018) HTG-30. Online available: https://www.xilinx.com/products/boards-and-kits/1-d24tv7.html [131] Luke A. Graham, Hao Chen, Deepa Gazula, Timo Gray, James K. Guenter, Bobby Hawkins, Ralph Johnson, Chris Kocot, Andrew N. MacInnes, Gary D. Landry, Jim A. Tatum (2012). The next generation of high speed VCSELs at Finisar. Proceedings of SPIE, 8276(February 2012), 827602. https://doi.org/10.1117/12.910505 [132] Taubenblatt, M. A. (2012). Optical Interconnects for High-Performance Computing. JOURNAL OF LIGHTWAVE TECHNOLOGY, 30(4), 448–458. [133] J. Trezza (2010) Redundant Optical Device Array. United States Patent, No. US7,831,151 B2 [134] Roscher, H., & Michalzik, R. (2004). Toward redundant 2D VCSEL arrays for optical datacom. In SPIE (Vol. 5453, pp. 170–181). https://doi.org/10.1117/12.544867 [135] Roscher, H., Rinaldi, F., & Michalzik, R. (2007). Small-pitch flip-chip-bonded VCSEL arrays enabling transmitter redundancy and monitoring in 2-D 10-Gbit/s space-parallel fiber transmission. IEEE Journal on Selected Topics in Quantum Electronics, 13(5), 1279–1289. https://doi.org/10.1109/JSTQE.2007.905150 [136] Liu, H., Lam, C. F., & Johnson, C. (2010). Scaling optical interconnects in datacenter networks: Opportunities and challenges for WDM. Proceedings 18th IEEE Symposium on High Performance Interconnects, HOTI 2010, 113–116. https://doi.org/10.1109/HOTI.2010.15 [137] S. Iano, T. Sato, S. Sentsui, T. Kuroha, and Y. Nishimura (1979) Multicore optical fiber. Conference on Optical Fiber Communication WB1 [138] Richardson, D. J., Fini, J. M., & Nelson, L. E. (2013). Space-division multiplexing in optical fibres. Nature Photonics, 7(5), 354–362. https://doi.org/10.1038/nphoton.2013.94 [139] Jun Sakaguchi, Benjamin J. Puttnam, Werner Klaus, Yoshinari Awaji, Naoya Wada, Atsushi Kanno, Tetsuya Kawanishi, Katsunori Imamura, Harumi Inaba, Kazunori Mukasa, Ryuichi Sugizaki, Tetsuya Kobayashi, and Masayuki Watanabe (2012) 19-core fiber transmission of 19x100x172-Gb/s SDM-

Page 144: Cost-effective design and manufacturing of ... - pure.tue.nl

References 131

WDM-PDM-QPSK signals at 305Tb/s, Conference on Optical Fiber Communication PDP5C.1 [140] Chen, F., & de Aldana, J. R. V. (2014). Optical waveguides in crystalline dielectric materials produced by femtosecond-laser micromachining. Laser and Photonics Reviews, 8(2), 251–275. https://doi.org/10.1002/lpor.201300025 [141] Liu, Y., Yang, Z., Zhao, J., Zhang, L., Li, Z., & Li, G. (2018). Intrinsic loss of few-mode fibers. Optics Express, 26(2), 2107–2116. https://doi.org/10.1364/OE.26.002107

Page 145: Cost-effective design and manufacturing of ... - pure.tue.nl

132 Acronyms

Acronyms

AC alternating current ADS advance design system ASIC application-specific integrated circuit BER bit error rate BGA ball grid array BiCMOS the bipolar and complementary metal oxide semiconductor BTB back to back COBO Consortium for On-Board Optics CDR clock and data recovery CPU central processing unit CST Computer Simulation Technology CTE Coefficient of Thermal Expansion DC datacenter DCI data center interconnect DCN data center network DFB distributed feedback DWDM dense wavelength division multiplexing EDFA Erbium Doped Fiber Amplifier EMI electromagnetic interference ER extinction ratio FFE feed forward equalization FPC flexible printed circuit FPGA field programmable gate array FR4 Flame Retardant 4 GbE Gigabit Ethernet GPS global positioning system GSG ground-signal-ground HAVC hybrid automatic voltage control HDI high-density interconnects IaaS infrastructure as a service IC integrated circuit ICA isotropic conductive adhesive IoT Internet of Things IP Internet protocol I/O input/output LED light emitting diode LR long-reach MCF multicore fiber MCM Multichip module

Page 146: Cost-effective design and manufacturing of ... - pure.tue.nl

Acronyms 133

MLTF multilayer thick film MM multimode MMF multimode fiber MOI mechanical optical interface MPO Multi-fiber Push On MT mechanical transfer NRZ non-return-to-zero OBO On-board optics OM optical multi-mode PaaS platform as a service PCB printed circuit board PD photodiode PGA pin grid array PRBS pseudo random binary sequences PSM parallel single-mode fiber PITF photoimageable thick film technology QSFP-DD quad small form-factor pluggable double density QSFP+ quad small form-factor pluggable+ RDL redistribution layer SaaS software as a service SDM space division multiplexing SerDes Serializer/Deserializer SFP+ Small Form-factor Pluggable+ SM single-mode SMF single-mode fiber SNR signal-to-noise ratios TIA transimpedance amplifier TL transmission line ToR top-of-the-rack TGV through-glass vias TSV through-silicon via ULI ultrafast laser inscription UPS uninterruptible power source UV ultraviolet VCSEL Vertical Cavity Surface Emitting Lasers VNA Vector Network Analyzer WAN wide area network WDM wavelength division multiplexing

Page 147: Cost-effective design and manufacturing of ... - pure.tue.nl

134

List of Publications

[1] T. Li, S. Dorrestein, C. Li, R. Stabile, and O. Raz (2018). 48× 10 Gbps Cost-effective FPC based On-board Optical Transmitter and Receiver. IEEE Transactions on Components, Packaging and Manufacturing Technology [2] Teng Li; Sander Dorrestein, Wouter Soenen, Chenhui Li, Ripalta Stabile, Xin Yin, and Oded Raz (2018). Low Cost 100 Gbps Multicore VCSEL Based Transmitter Module Platform. Conference on Lasers and Electro-Optics (CLEO 2018) [3] C. Li, R. Stabile, F. Kraemer, T. Li, and O. Raz, “400 Gbps 2-Dimensional Optical Receiver Assembled on Wet Etched Silicon Interposer,” (Awarded) The 68th Electronic Components and Technology Conference (ECTC 2018) [4] C. Li, X. Zhang, T. Li, O. Raz and R. Stabile (2018). 48-Channel Matrix Optical transmitter on a Single Direct Fiber Connetor. IEEE Transactions on Electron Devices [5] O. Raz, C. Li, T. Li, N. Calabretta, G. G. de Villota, and R. Stabile (2018). Scaling optical interconnects to meet the bandwidth density crunch. (Invited) Metro and Data Center Optical Networks and Short-Reach Links [6] C. Li, R. Stabile, T. Li, B. Smalbrugge, G. Guelbenzu de Villota, and O. Raz (2018). Wet Etched Three-Level Silicon Interposer for 3 Dimensional Embedding and Connecting of Opto-electronic Dies and CMOS ICs. IEEE Transactions on Components, Packaging and Manufacturing Technology [7] T. Li, R. Stabile and O. Raz (2017) 48x10 Gbps cost-effective FPC based in-board optical receiver with commercial PGA connector. The 22st Annual Symposium of the IEEE Photonics Society Benelux Chapter [8] C. Li, T. Li, R. Stabile, and O. Raz (2017). A platform for 2-dimensional 48-channel optical Interconnects based on wet etched silicon interposer. 2017 43rd European Conference and Exhibition on Optical Communication (ECOC 2017) [9] Teng Li, Sander Dorrestein, Gonzalo Guelbenzu, Chenhui Li, Ripalta Stabile, and Oded Raz (2017). 48×10 Gbps Cost-effective FPC Based On-board Optical Transmitter with PGA Connector. The 67th Electronic Components and Technology Conference (ECTC 2017)

Page 148: Cost-effective design and manufacturing of ... - pure.tue.nl

135

[10] C. Li, T. Li, R. Stabile, and O. Raz (2017). 3D packaging of embeded opto-electronic die and CMOS IC based on wet etched silicon interposer. (Award) The 67th Electronic Components and Technology Conference (ECTC 2017) [11] C. Li, T. Li, G. Guelbenzu , R. Stabile, and O. Raz (2017).Chip scale 12-channel 10 Gb/s optical transmitter and receiver sub-assemblies based on wet etched silicon interposer. Journal of Lightwave Technology [12] F. Yan, W. Miao, T. Li, Y. Maeda, Z. Cao and N. Calabretta (2016). Monolithically integrated wideband wavelength converter for intra-data center routing applications. IEEE Photonics Technology Letters [13] T. Li., R. Stabile.and O. Raz, (2016). A cost-effective PCB-based optical transmitter for optical interconnect using broadside coupled differential pair. The 21st Annual Symposium of the IEEE Photonics Society Benelux Chapter [14] Z. Cao, Y. Jiao, L. Shen, F. Yan, A. M. Khalid, T. Li, X. Zhao, N. M. Tessema, C. W. Oh and A. M. J. Koonen (2016).Optical wireless data transfer enabled by a cascaded acceptance optical receiver fabricated in an InP membrane platform. Optical Fiber Communication Conference (OFC 2016) [15] C. Li, T. Li, R. Stabile, and O. Raz (2016). A compact 2.5 D stacked transmitter for parallel optical interconnects. Optical Fiber Communications Conference and Exhibition (OFC 2016) [16] O. Raz, G. Guelbenzu de Villota, T. Li, C. Li, W. Wang, F. Yan, H. J. S. Dorren, R. Stabile and N. Calabretta (2016). Optical solutions for the challenges of mega-size data center networks. (Invited) 2016 Optical Fiber Communication Conference (OFC 2016) [17] Oded Raz, Gonzalo Guelbenzu de Villota, Teng Li and Harm Dorren (2015).The need for low-cost optical transceivers in future data center networks. 17th International Conference on Transparent Optical Networks, ICTON 2015 [18] T. Li, H. J. S. Dorren and O. Raz (2014). A new packaging approach for transceiver modules: Embedding the bare dies into PCB. The 19th Annual Symposium of the IEEE Photonics Benelux Chapter [19] “One plus one redundant optical Interconnects with automated recovery from light source failure”, United States Patent Application 20170040772

Page 149: Cost-effective design and manufacturing of ... - pure.tue.nl

136

[20] “Combined 3D assembly of ASIC and Optical interconnect for compact switches”, (United States Patent Submitted)

Page 150: Cost-effective design and manufacturing of ... - pure.tue.nl

137

Acknowledgements

My PhD journey is an unforgettable adventure, which is filled with many bitter and sweet moments. I am really fortunate to work with so many excellent people in the last four years and now I would like to express my gratitude them.

First of all, I would like to thank my supervisor dr. Oded Raz for his support and patience. His intelligence and optimism not only guided my research work but also shaped the way I think. Also, I would like to express my thanks to dr. Ripalta Stabile. Her rigorous attitude and profound knowledge gave me countless help and encouraged me to think deeper and further. I would like to specially thank dr. Chenhui Li and dr. Gonzalo Guelbenzu Villota, who gave me a lot of useful discussions and suggestions.

Secondly, I would like to thank prof. Harm Dorren for offering me this PhD position in ECO group. Although we had worked together for only 9 months, his forward-looking and broad expertise has influenced me during the whole PhD period. I am thankful to prof. Ton Koonen for his support. His valuable comments are really useful to improve this thesis. Special thanks to José Hakkens for her warm assistance on all the administrative affairs.

I would like to acknowledge the doctoral committee members: prof. Goran Mashanovich, who is my MSc supervisor, gave me strong support and encouragement during my PhD application and always provides me with precise help when needed; dr. Martijn van Beurden, who gave me many kind comments in the aspects of both the English grammar and technical problems which effectively improve the quality of this thesis; prof. Scott, who provided many devices for my research and also gave me a lot of useful suggestions to improve my experiments; dr. Rutger Smink, who provided me with access to the fantastic lab in TE Connectivity. A lot of good results in this thesis are carried out there.

My deepest appreciation goes to Barry Smalburgge in Nanolab@TU/e and Sander Dorrestein from TE Connectivity, who provided me with the equipment training and a lot of technical support for the bonding process. I also want to express my thanks to Paul Beijer, Simon Plukker, and Johnny Meulendijks from EPC@TU/e. Without their help, I cannot even build up the experimental setup. Thanks to

Page 151: Cost-effective design and manufacturing of ... - pure.tue.nl

138

Daniel Mitcan, Jasper Nab, and Roland Tacken from Neways Micro Electronics for their help in the fabrication of the ceramic interposer. Thanks to Finn Kraemer from Mellanox for his support on the electrical chips and the software. Thanks to dr. Hans Christian Mulvad, dr. Martynas Beresna, and dr. Timothy Lee from University of Southampton for the 3D glass interposer design.

It is a great pleasure to work with many excellent colleagues including dr. Yuqing Jiao, dr. Longfei Shen, dr. Weiming Yao, and dr. Dan Zhao from PHI group; Xi Zhang, and Xiao Liu from MsM group; dr. Jim Zou, dr. Roy Gerardus Henricus van Uden, dr. Wang Miao, Cardarelli Simone, dr. Jon Kjellman, dr. Netsanet Tessema, Mahir Mohammed, and dr. Haoshuo Chen from ECO group. Special thanks to dr. Zizheng Cao. Our interesting chatting is not limited by academic research and I can always learn something useful from it. It is my honor to be part of the ECO group.

In addition, I would like thank to the wonderful friends I met here, especially Xuwei, Xiaotao, Xinran, Liuyan, Jianou, Bin, Xuebing, Haotian, and Jiun-Yu from ECO group, Qiang and Lu from EM group, Shuli from P&F group. I will always remember our happy lunchtime and the awesome moments we spent together.

Special thanks to Yisha Ding for the cover design. Last but not least, I wish to express my sincere thanks to my parents

for their unconditional love and support throughout my life. Thank you for always being there for me.

Teng Li Eindhoven, January 2019

Page 152: Cost-effective design and manufacturing of ... - pure.tue.nl

139

Curriculum Vitae

ENG Li was born on 5th December 1989, in Shaanxi, China. In 2012, he received the bachelor’s degree in

Electronic Science and Technology from Huazhong University of Science and Technology (HUST), Wuhan, China. He received an Optoelectronics Research Centre (ORC) MSc Scholarship in 2012 and obtained his Master’s degree in Photonic Technologies from University of Southampton, the United Kingdom in 2013. The topic of his Master dissertation was “Silicon Photonics Spectrometers for Sensing Application” and the results were published on Optics Letters.

Since June 2014, he started working towards his PhD in the Electro-Optical Communication (ECO) group at Eindhoven University of Technology (TU/e), the Netherlands, where he conducted research work in cost-effective packaging for optoelectronics and photonic integration. His PhD project was supported by NWO project – an optically connected CMOS Ethernet switch IC and the results of the research are presented in this dissertation. He has authored and co-authored around 20 journal and conference papers.

T

Page 153: Cost-effective design and manufacturing of ... - pure.tue.nl