convertidor de binario a hexadecimal

23
Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO INSTITUTO TECNOLÓGICO DE CIUDAD MADERO. INGENIARIA EN SISTEMAS COMPUTACIONALES. CIRCUITOS ELÉCTRICOS Y ELECTRÓNICOS. ALUMNOS: ANTONIO DEL ANGEL ARMANDO. 08070294 MAESTRO: GREGORIO HERNÁNDEZ PALMER. PRÁCTICA No. 3 1

Upload: itcm

Post on 27-Jun-2015

880 views

Category:

Technology


3 download

DESCRIPTION

Ahi esta para que no batallen

TRANSCRIPT

Page 1: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

INSTITUTO TECNOLÓGICO

DE CIUDAD MADERO.

INGENIARIA EN SISTEMAS COMPUTACIONALES.

CIRCUITOS ELÉCTRICOS Y ELECTRÓNICOS.

ALUMNOS:

ANTONIO DEL ANGEL ARMANDO. 08070294

MAESTRO:

GREGORIO HERNÁNDEZ PALMER.

PRÁCTICA No. 3

Diseño y alambrado

de un circuito que a una entrada binaria

la muestre en hexadecimal a la salida.1

Page 2: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

ÍNDICE

TEMA PÁGINA

OBJETIVO DE LA PRÁCTICA. ----------------------------------

EQUIPO Y MATERIAL UTILIZADO. -----------------------------

PROCEDIMIENTO. -----------------------------------------------

MARCO TEÓRICO. ------------------------------------------------

DESARROLLO DEL PROYECTO. --------------------------------

CONCLUSIONES Y OBSERVACIONES -----------------------

REFERENCIAS BIBLIOGRÁFICAS -------------------------------

2

Page 3: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

OBJETIVO.

Manejar las compuertas lógicas para el armado de un circuito combinacional.

EQUIPO Y MATERIAL UTILIZADO.

Fuente de voltaje calibrada a 9 voltsMultímetro digital7 compuertas AND7 compuertas OR1 compuertas NOT1 display4 let’s4 resistencias de 330 ohm1 protoboard grande1 disposivo switch de 4 switch´s

PROCEDIMIENTO.

3

Page 4: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

MARCO TEÓRICO.

SISTEMA BINARIO.

El sistema binario, en matemáticas e informática, es un sistema de numeración en el que los números se representan utilizando

solamente las cifras cero y uno (0 y 1). Es el que se utiliza en las computadoras, debido a que trabajan internamente con dos niveles de voltaje, por lo cual su sistema de numeración natural es el sistema binario (encendido 1, apagado 0).

REPRESENTACIÓN.

Un número binario puede ser representado por cualquier secuencia de bits (dígitos binarios), que suelen representar cualquier mecanismo capaz de estar en dos estados mutuamente excluyentes. Las siguientes secuencias de símbolos podrían ser interpretadas como el mismo valor numérico binario:

1 0 1 0 0 1 1 0 1 0

| - | - - | | - | -

x o x o o x x o x o

y n y n n y y n y n

El valor numérico representado en cada caso depende del valor asignado a cada símbolo. En una computadora, los valores numéricos pueden representar dos voltajes diferentes; también pueden indicar polaridades magnéticas sobre un disco magnético. Un "positivo", "sí", o "sobre el estado" no es necesariamente el equivalente al valor numérico de uno; esto depende de la nomenclatura usada.

De acuerdo con la representación más habitual, que es usando números árabes, los números binarios comúnmente son escritos usando los símbolos 0 y 1. Los números binarios se escriben a menudo con subíndices, prefijos o sufijos para indicar su base. Las notaciones siguientes son equivalentes:

100101 binario (declaración explícita de formato). 100101b (un sufijo que indica formato binario).

100101B (un sufijo que indica formato binario).

bin 100101 (un prefijo que indica formato binario).

1001012 (un subíndice que indica base 2 (binaria) notación).

4

Page 5: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

%100101 (un prefijo que indica formato binario).

0b100101 (un prefijo que indica formato binario, común en lenguajes de programación).

5

Page 6: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

SISTEMA HEXADECIMAL.

El sistema Hexadecimal (no confundir con sistema sexagesimal), a veces abreviado como Hex, —empleando por tanto 16 símbolos—. Su uso actual está muy vinculado a la informática y ciencias de la

computación, pues los computadores suelen utilizar el byte u octeto como unidad básica de memoria; y, debido a que un byte representa 28 valores posibles, y esto puede representarse como  , que, según el teorema general de la numeración posicional, equivale al número en base 16 10016, dos dígitos hexadecimales corresponden exactamente —permiten representar la misma línea de enteros— a un byte.

En principio, dado que el sistema usual de numeración es de base decimal y, por ello, sólo se dispone de diez dígitos, se adoptó la convención de usar las seis primeras letras del alfabeto latino para suplir los dígitos que nos faltan. El conjunto de símbolos sería, por tanto, el siguiente:

S = {0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F}

Se debe notar que A = 10, B = 11, C = 12, D = 13, E = 14 y F = 15. En ocasiones se emplean letras minúsculas en lugar de mayúsculas. Como en cualquier sistema de numeración posicional, el valor numérico de cada dígito es alterado dependiendo de su posición en la cadena de dígitos, quedando multiplicado por una cierta potencia de la base del sistema, que en este caso es 16. Por ejemplo: 3E0A16 = 3×163 + E×162 + 0×161 + A×160 = 3×4096 + 14×256 + 0×16 + 10×1 = 15882.

El sistema hexadecimal actual fue introducido en el ámbito de la computación por primera vez por IBM en 1963. Una representación anterior, con 0–9 y u–z, fue usada en 1956 por la computadora Bendix G-15.

6

Page 7: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

CONVERSIÓN ENTRE BINARIO Y HEXADECIMAL.BINARIO A HEXADECIMAL.

Para realizar la conversión de binario a hexadecimal, realice lo siguiente:

1) Agrupe la cantidad binaria en grupos de 4 en 4 iniciando por el lado derecho. Si al terminar de agrupar no completa 4 dígitos, entonces agregue ceros a la izquierda.

2) Posteriormente vea el valor que corresponde de acuerdo a la tabla:

Número en

binario0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101 1110 1111

Número en

hexadecimal0 1 2 3 4 5 6 7 8 9 A B C D E F

3) La cantidad correspondiente en hexadecimal se agrupa de derecha a izquierda.Ejemplos

110111010 (binario) = 1BA (hexadecimal). Proceso:

1010 = A

1011 = B

1 entonces agregue 0001 = 1

Agrupe de derecha a izquierda: 1BA

11011110101 (binario) = 6F5 (hexadecimal). Proceso:

0101 = 51111 = F110 entonces agregue 0110 = 6Agrupe de derecha a izquierda: 6F5

7

Page 8: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

COMPUERTAS LOGICAS

Compuerta NOT

Se puede definir como una puerta que proporciona el estado inverso del que esté en su entrada.

Compuerta AND

Así, desde el punto de vista de la aritmética módulo 2, la compuerta AND implementa el producto módulo 2.

8

NOT

Entrada A Salida 

0 1

1 0

Tabla de verdad puerta AND

Entrada A Entrada B Salida 

0 0 0

0 1 0

1 0 0

1 1 1

Page 9: Convertidor de binario a hexadecimal

Esquema de funcionamiento

Fijación de diagrama

Diagrama Lógico (Una puerta)

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

Compuerta OR

Podemos definir la puerta O como aquella que proporciona a su salida un 1 lógico si al menos una de sus entradas está a 1.

Compuerta Triple 3-input AND gat

Compuerta CD4075B Triple 3-Input OR Gat

9

Tabla de verdad puerta OR

Entrada A Entrada B Salida 

0 0 0

0 1 1

1 0 1

1 1 1

Esquema de funcionamiento

Page 10: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

Display de 7 Segmentos.

El “display de 7 segmentos” es un dispositivo usado para presentar información de forma visual. Esta información es específicamente un dígito decimal del 0 (cero) al 9 (nueve), por lo que se intuye que el código BCD está involucrado. El caso que nos atañe consta de 7 LED's (Light Emisor Diodo), uno por cada segmento, que se encenderán o apagarán dependiendo de la información que se les envíe (dije que en este caso ya que existen también display 7 segmentos de cristal líquido, incandescentes, etc.).

El display 7 segmentos tiene una estructura similar a(Fig.- 1):

Figura.- 1.- Donde los 7 led's vienen indicados por las letras a, b, c, d, e, f y g. Con éstos pueden formarse todos los dígitos decimales. Por ejemplo, para formar el número tres deben activarse los led's a, b, c, d y g y desactivar los e y f. Para el uno se

usan los led's b y c.

El DIP SWITCH

El DIP switch no es más que un arreglo de interruptores en línea, de ahí el nombre DIP Switch ya que DIP es la sigla en inglés para Dual-In Package que español es algo así como empaquetado en doble fila. (Fig.- 2)

A los DIP Swicthes se les puede encontrar de diferentes tamaños y presentaciones, pero el que se recomienda para este proyecto es el de 4 switches que

10

Fig.- 2 Diferentes tipos de DIP Switches

Page 11: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

es el más pequeño que se puede encontrar debido a que solo se utilizarán dos de esos 4 switches para el proyecto.

DESARROLLO DEL PROYECTO.

- Primero se investigó un poco sobre el proyecto en diferentes sitios de internet y foros de electrónica, se pidió ayuda a diferentes compañeros de ITCM.

- uno de los integrantes del equipo, que es repetidor en la materia ya tenía noción del proyecto, ya que el semestre pasado ya lo había realizado y explicó en qué consistía y con los apuntes del circuito anterior nos apoyamos para realizar el proyecto

- Con la ayuda de un compañero ya egresado de Electrónica nos ayudó a mejorar el circuito.

- Se hiso la tabla de verdad(Fig.- 3)Fig.- 3 Tabla de Verdad para el

convertidor binario a hexadecimal.

11

Page 12: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

- Se sacaron las ecuaciones lógicas para el circuito(Fig.- 4)a= /ABD + A/B/C + /B/D + /AC + BC

b= /A/C/D + /ACD +A/CD + /B/C + /B/D

c= /B/C + /BD + /CD + /AB + A/B

d= /B/C/D + /BCD + /AC/D + B/CD +AB/D +A/C

e= /B/D + C/D + AB + AC

f= /B/C/D + /AB/C + BC/D + AC + A/B

g= /BC + B/C + B/D + A

Fig.- 4Ecuaciones lógicas

- Se diseño de nuevo el circuito porque el utilizado el semestre anterior mostro algunos errores, nuestro compañero Armando nos comentó que a él no le había funcionado bien.

- Una vez teniendo el diagrama del circuito y bien simulado(Fig.- 5)

- Después de que funcionara correctamente en el simulador nos dispusimos a con seguir el material el cual no fue muy difícil, porque teníamos material de los semestres y proyectos anteriores.(Fig.- 6)

Fig.- 6Material utilizado para el armado del circuito convertidor.

12

Page 13: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

- Se nos dificulto el armado, porque algunos compañeros del equipo no entendían bien o no le quedaba claro el

funcionamiento de las compuertas, así como del circuito, se les explico hasta que entendieran.

- El armado del circuito se realizó en diferentes lugares, nos reunimos en casas y en el laboratorio de electrónica, se armó y se desarmo varias veces porque se llegaba aun punto donde nos confundíamos y debíamos a empezar de nuevo, la verdad el circuito si está un poco grande y se ocupó mucho cable, se quemaron varias compuertas porque un compañero no savia como conectarlas.

- El circuito en el simulador funciona bien pero en la tablilla da algunos errores, ya se checo bien la corriente y el voltaje, así como las compuertas, probablemente sea la tablilla.

- Los errores son pequeños, encienden segmentos o led´s del display cuando no deben encender.

- Revisando el circuito y las ecuaciones lógicas y preguntándole a un compañero de circuitos que no ayudo a checar, nos comentó que teníamos un error en una de las ecuaciones y nos la resolvió, lo bueno que solo era un error pequeño en donde solo movimos unos cuantos cables y ya. (Fig.- 7)

Fig.- 7Encendido del circuito

Correctamente.En el anterior en 0 encendían

Todos los segmentos del Displya.

13

Page 14: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

- Una vez terminado el alambrado del circuito se probó y funciono correctamente como se muestra en las imágenes de la Fig.- 8.

- El circuito se arma correctamente y en la última verificación funciono muy bien.

14

Page 15: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

15

Page 16: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

16

Page 17: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

17

Fig.- 5Diagrama del

Circuito

Page 18: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

CONCLUSIONES Y OBSERVACIONES.

18

Page 19: Convertidor de binario a hexadecimal

Armando Antonio del Angel INSTITUTO TECNOLOGICO DE CD. MADERO

REFERENCIAS BIBLIOGRÁFICAS.Los libros fueron consultados desde el sitio de google libroshttp://books.google.com.mx/bkshp?hl=es&tab=wp

-Electrónica digital fácil: para electricistas y técnicos de mantenimiento, Francisco Ruiz Vassallo.

-Electrónica digital práctica, Antonio Hermosa Donate.

-FUNDAMENTOS DE ELECTRÓNICA DIGITAL, Cecilio Blanco Viejo.

Sitios de Internethttp://www.forosdeelectronica.com/f25/hacer-decodificador-2074/

19