cong nghe vi mach dien tu

22
CÔNG NGHỆ VI MẠCH ĐIỆN TỬ Phần Lý Thuyết Tham khảo một số câu lý thuyết http://www.wattpad.com/863378-c au -1-5 Câu 1:Khái niệm IC là gì? Phân loại mạch tích hợp? Khái niệm IC Vi mạch tích hợp , hay vi mạch , hay mạch tích hợp ( integrated circuit , gọi tắt IC , còn gọi là chip theo thuật ngữ tiếng Anh ) là các mạch điện tử chứa các linh kiện bán dẫn (như transistor, diodes, … ) và linh kiện điện tử thụ động (như điện trở, … ) . Các phần tử được kết nối với nhau nhờ các vật liệu kim loại (các vật liệu kim loại đóng vai trò như các “wireless wires”) được phủ trên bề mặt của chip. Vi mạch có kích thước cỡ micrômét (hoặc nhỏ hơn) chế tạo bởi công nghệ silicon cho lĩnh vực điện tử học . Phân loại mạch tích hợp: Có nhiều cách phân loại. Phân loại theo tín hiệu xử lý (hay theo bản chất của tín hiệu điện vào, ra): Các mạch tích hợp về cơ bản được chia thành 2 loại chính: + Mạch analog (hay linear) Các mạch tích hợp tương tự hoặc khuếch đại hoặc đáp ứng các điện áp biến đổi. Tiêu biểu là các mạch khuếch đại (amp), times, dao động và các mạch điều khiển điện áp (voltage regulators). + Mạch digital (hay logic) Các mạch số tạo ra hoặc đáp ứng các tín hiệu chỉ có hai mức điện áp. Tiêu biểu là các bộ vi xử lý, các bộ nhớ, và các vi điều khiển. Phân loại dựa trên quy trình sản xuất (hay theo công nghệ chế tạo): Các mạch tích hợp cũng có thể được phân loại theo công nghệ chế tạo:

Upload: minh-linh

Post on 10-May-2015

5.544 views

Category:

Documents


16 download

TRANSCRIPT

Page 1: Cong nghe vi mach dien tu

CÔNG NGH VI M CH ĐI N TỆ Ạ Ệ Ử

Ph n Lý Thuy tầ ế

Tham khảo một số câu lý thuyết http://www.wattpad.com/863378-c au -1-5

Câu 1:Khái niệm IC là gì? Phân loại mạch tích hợp?

Khái niệm IC

Vi mạch tích hợp, hay vi mạch, hay mạch tích hợp (integrated circuit, gọi tắt IC, còn gọi là chip theo thuật ngữ tiếng Anh) là các mạch điện tử chứa các linh kiện bán dẫn (như transistor, diodes, …) và linh kiện điện tử thụ động (như điện trở, …). Các phần tử được kết nối với nhau nhờ các vật liệu kim loại (các vật liệu kim loại đóng vai trò như các “wireless wires”) được phủ trên bề mặt của chip. Vi mạch có kích thước cỡ micrômét (hoặc nhỏ hơn) chế tạo bởi công nghệ silicon cho lĩnh vực điện tử học.

Phân loại mạch tích hợp: Có nhiều cách phân loại. Phân loại theo tín hiệu xử lý (hay theo bản chất của tín hiệu điện vào, ra):

Các mạch tích hợp về cơ bản được chia thành 2 loại chính:

+ Mạch analog (hay linear)

Các mạch tích hợp tương tự hoặc khuếch đại hoặc đáp ứng các điện áp biến đổi. Tiêu biểu là các mạch khuếch đại (amp), times, dao động và các mạch điều khiển điện áp (voltage regulators).

+ Mạch digital (hay logic)

Các mạch số tạo ra hoặc đáp ứng các tín hiệu chỉ có hai mức điện áp. Tiêu biểu là các bộ vi xử lý, các bộ nhớ, và các vi điều khiển.

Phân loại dựa trên quy trình sản xuất (hay theo công nghệ chế tạo):

Các mạch tích hợp cũng có thể được phân loại theo công nghệ chế tạo:

+ IC màng (Film IC): Trên một đế bằng chất cách điện dùng các lớp màng tạo nên các thành phần khác. Loại này chỉ gồm các thành phần thụ động như điện trở, tụ điện, và cuộn cảm.

+ IC đơn tinh thể (Monolithic IC) còn gọi là IC bán dẫn (Semiconductor IC) – là IC dùng một đế (subtrate) bằng chất bán dẫn thường là Si. Trên đế đó, người ta chế tạo transistor, diode, điện trở, tụ điện. Rồi dùng chất cách điện SiO2 để phủ lên che chở cho các bộ phận đó trên lớp SiO2, dùng màng kim loại để nối các bộ phận với nhau.

+ IC lai (Hybrid IC): Vi mạch lai là sự kết hợp của 2 loại vi mạch trên. Mạch lai có thể bao gồm nhiều tinh thể monolithic được ghép nối với nhau thành một khối, nó cũng có thể là sự kết hợp giữa mạch monolithic với mạch màng mỏng thụ động. Đôi khi các IC monolithic, IC màng mỏng/ màng dầy được kết hợp với các phần tử bán dẫn để thực hiện các chức năng riêng. Các transistor và diode gắn trong mạch lai không cần có vỏ hay đế riêng, mà chỉ cần được bảo vệ bằng một lớp men tráng.

Page 2: Cong nghe vi mach dien tu

Phân loại theo mật độ tích hợp: SSI, MSI, LSI. VLSI

Câu 2: Chất bán dẫn là gì? Có mấy kiểu hạt dẫn cơ bản và chỉ ra cách để có được các loại hạt dẫn đó ?

Chất bán dẫn (tiếng Anh: Semiconductor) là vật liệu trung gian giữa chất dẫn điện và chất cách điện. Chất bán dẫn hoạt động như một chất cách điện ở nhiệt độ thấp và có tính dẫn điện ở nhiệt độ phòng. Gọi là "bán dẫn" (chữ "bán" theo nghĩa Hán Việt có nghĩa là một nửa), có nghĩa là có thể dẫn điện ở một điều kiện nào đó, hoặc ở một điều kiện khác sẽ không dẫn điện.Đó là các chất Germanium (Ge) và Silicium (Si).

==> Chất bán dẫn là chất có độ dẫn điện có thể điều khiển được, trong khoảng trung gian giữa điện môi và kim loại.

Có 2 kiểu hạt dẫn cơ bản: hạt cơ bản (majority carrier)

+Chất bán dẫn loại P: có tạp chất là các nguyên tố thuộc nhóm III hay tạp acceptor, dẫn điện chủ yếu bằng các lỗ trống.Ngược lại khi ta pha thêm một lượng nhỏ chất có hoá trị 3 như Indium (In) vào chất bán dẫn Si  thì 1  nguyên tử Indium sẽ liên kết với 4 nguyên tử Si theo liên kết cộng hoá trị và liên kết bị thiếu một điện tử  => trở thành lỗ trống ( mang điện dương)  và được gọi là chất bán dẫn P.

 

Chất bán dẫn P

+ Chất bán dẫn loại N: có tạp chất là các nguyên tố thuôc nhóm V hay tạp donor, các nguyên tử này dùng 4 electron tạo liên kết và một electron lớp ngoài liên kết lỏng lẻo với nhân, đấy chính là các electron dẫn chính. Khi ta pha một lượng nhỏ chất có hoá trị 5 như Phospho (P) vào chất bán dẫn Si thì một nguyên tử P liên kết với 4 nguyên tử Si theo liên kết cộng hoá trị, nguyên tử Phospho chỉ có 4 điện tử tham gia liên kết và còn dư một điện tử và trở thành điện tử tự do => Chất bán dẫn lúc này trở thành thừa điện tử ( mang điện âm) và được gọi là bán dẫn N ( Negative : âm ).

 

Page 3: Cong nghe vi mach dien tu

Chất bán dẫn N

Câu 3 : Trình bày các khái niệm : CMOS, MOSFET và MESFET ?

Công nghệ MOS (Metal Oxide Semiconductor-kim loại oxit bán dẫn) có tên gọi xuất xứ từ cấu trúc MOS cơ bản của một điện cực nằm trên lớp oxit cách nhiệt, dưới lớp oxit là đế bán dẫn.

CMOS, viết tắt của "Complementary Metal-Oxide-Semiconductor" trong tiếng Anh, là thuật ngữ chỉ một loại công nghệ dùng để chế tạo vi mạch tích hợp. Công nghệ CMOS được dùng để chế tạo vi xử lý, vi điều khiển, RAM tĩnh và các mạch lôgíc số khác. Công nghệ CMOS cũng được dùng rất nhiều trong các mạch tương tự nhưcảm biến hình ảnh, chuyển đổi kiểu dữ liệu, và các vi mạch thu phát có mật độ tích hợp cao trong lĩnh vực thông tin.

Cấu tạo CMOS CMOS (Complementary MOS) có cấu tạo kết hợp cả PMOS và NMOS trong cùng 1 mạch nhờ đó tận dụng được các thế mạnh của cả 2 loại, nói chung là nhanh hơn đồng thời mất mát năng lượng còn thấp hơn so với khi dùng rời từng loại một. Cấu tạo cơ bản nhất của CMOS cũng là một cổng NOT gồm một transistor NMOS và một transistor PMOS như hình 1.65

Hoạt động của mạch cũng tương tự như ở NMOSKhi ngõ vào (nối chung cực cổng 2 transistor) ở cao thì chỉ có Q1 dẫn mạnh do đó áp ra lấy từ điểm chung của 2 cực máng của 2 transistor sẽ xấp xỉ 0V nên ngõ ra ở thấp.Khi ngõ vào ở thấp Q1 sẽ ngắt còn Q2 dẫn mạnh, áp ra xấp xỉ nguồn, tức ngõ ra ở mức cao.Để ý là khác với cổng NOT của NMOS, ở đây 2 transistor không dẫn cùng một lúc nên không có dòng điện từ nguồn đổ qua 2 transistor xuống mass nhờ đó công suất tiêu tán gần như bằng 0. Tuy nhiên khi 2 transistor đang chuyển mạch và khi có tải thì sẽ có dòng điện chảy qua một hay cả 2 transistor nên khi này công suất tiêu tán lại tăng lên.Trên nguyên tắc cổng đảo, cũng giống như trước bằng cách mắc song song hay nối tiếp thêm transistor ta có thể thực hiện được các cổng logic khác (hình 1.66). Chẳng hạn mắc chồng 2 NMOS và mắc song song 2 PMOS ta được cổng NAND. Còn khi mắc chồng 2 PMOS và mắc song song 2 NMOS ta được cổng NOR.

Page 4: Cong nghe vi mach dien tu

MOSFETMOSFET, viết tắt của "Metal-Oxide Semiconductor Field-Effect Transistor" trong tiếng Anh, có nghĩa là "transistor hiệu ứng trường Oxit Kim loại - Bán dẫn", là một thuật ngữ chỉ các transistor hiệu ứng trường được sử dụng rất phổ biến trong các mạch số và các mạch tương tự.

Transistor MOSFET được xây dựng dựa trên lớp chuyển tiếp Oxit Kim loại và bán dẫn ( ví dụ Oxit Bạc và bán dẫn Silic)

MOSFET có hai loại:

N-MOSFET: chỉ hoạt động khi nguồn điện Input (Gate) là zero, các electron bên trong vẫn tiến hành hoạt động cho đến khi bị ảnh hưởng bởi nguồn điện Input.

P-MOSFET: các electron sẽ bị cut-off cho đến khi gia tăng nguồn điện thế vào ngỏ Input (Gate) Thông thường chất bán dẫn được chọn làsilíc nhưng có một số hãng vẫn sản xuất các vi mạch bán dẫn từ hỗn hợp của silíc và germani (SiGe), ví dụ như hãng IBM. Ngoài silíc và germani còn có một số chất bán dẫn khác như gali asenua có đặc tính điện tốt hơn nhưng lại không thể tạo nên các lớp oxide phù hợp nên không thể dùng để chế tạo các transistor MOSFET.

Transistor trong công nghệ MOS là transistor hiệu ứng trường, gọi là MOSFET (metal oxide silicon field effect transistor). Có nghĩa điện trường ở phía điện cực kim loại của lớp oxit cách nhiệt có ảnh hưởng đến điện trở của đế. Phần nhiều IC số MOS được thiết kế hết bằng MOSFET, không cần đến linh kiện nào khác.

Hoạt động của MOSFET có thể được chia thành ba chế độ khác nhau tùy thuộc vào điện áp trên các đầu cuối. Với transistor NMOSFET thì ba chế độ đó là:- Chế độ cut-off hay sub-threshold (Chế độ dưới ngưỡng tới hạn).- Triode hay vùng tuyến tính.- Bão hoà.

Trong các mạch số thì các tranzito chỉ hoạt động trong chế độ cut-off và triode. Chế độ bão hòa chủ yếu được dùng trong các ứng dụng mạch tương tự.

Page 5: Cong nghe vi mach dien tu

MESFETMESFET là viết tắt của Metal–Semiconductor Field Effect Transistor. Nó khá giống với một JFET . Sự khác biệt là thay vì sử dụng một đường giao nhau p-n cho một cửa, một Schottky ( kim loại - bán dẫn ) nối được sử dụng. MESFETs thường được xây dựng trong công nghệ bán dẫn hợp chất thiếu thụ động bề mặt chất lượng cao như GaAs , InP , hoặc SiC , và nhanh hơn nhưng đắt hơn silicon JFETs hoặc MOSFETs . MESFETs sản xuất đang hoạt động lên đến khoảng 45 GHz, và thường được sử dụng cho lò vi sóngtần số thông tin liên lạc và radar . Các MESFETs đầu tiên được phát triển vào năm 1966, và một năm sau đó họ tần số cực cao RF hiệu suất lò vi sóng đã được chứng minh.  Từ một kỹ thuật số thiết kế mạch quan điểm, càng ngày càng khó khăn để sử dụng MESFETs làm cơ sở cho kỹ thuật số mạch tích hợp như quy mô của hội nhập tăng lên, so với CMOS silicon dựa trên chế tạo.Cấu trúc MOS cho GaAs rất khó chế tạo nên cấu trúc MESFET là cấu trúc cơ sở cho IC trên cơ sở GaAs. Cấu trúc MESFET có tốc độ cao, mật độ tích hợp cao và độ rộng vùng cấm lớn (do đó điện trở lớn).

MESFET

Page 6: Cong nghe vi mach dien tu

Câu 4 : Trình bày quy trình các bước chế tạo ra IC từ thỏi Silic đơn tinh thể ?

SƠ LƯỢC VỀ QUI TRÌNH CHẾ TẠO MỘT IC ĐƠN TINH THỂ.

Các giai đoạn chế tạo một IC đơn tinh thể có thành phần tác động là BJT, được đơn giản hóa gồm các bước sau:

-Bước 1:0.15mm25 – 75mmn - SiNền P-Si0.025mm0.15mmn - SiNền P-Si0.5

a. Từ một nền P-Si (hoặc n-Si) đơn tinh thể

b. Tạo một lớp epitaxy mỏng loại N-Si

c. Phủ một lớp cách điện SiO2

-Bước 2:

Dùng phương pháp quang khắc để khử lớp SiO2 ở một số chỗ nhất định, tạo ra các cửa sổ ở bề mặt tinh thể. Từ các cửa sổ, có thể khuếch tán tạp chất vào.

a.Đầu tiên, vẽ sơ đồ những nơi cần mở cửa sổ, chụp hình sơ đồ rồi lấy phim âm bản, thu nhỏ lại. Những nơi cần mở của sổ là vùng tối trên phim

P-SifilmuvChất cảm quangSiO2n-SiP-SiChất cảm quangSiO2n-SiHòa tanRắn lạiP-SiSiO2n-SiHòa tanThânPnnSiO2Khuếch tán pĐảoNềnPnnSiO2Khuếch tán BaseppNềnPnnSiO2Khuếch tán EmitterppnnHình 2a. Bôi một lớp cản quang trên bề mặt. Đặt phim ở trên rọi tia cực tím vào những nơi cần mở cửa sổ được lớp đen trên phim bảo vệ. Nhúng tinh thể vào dung dịch tricloetylen. Chỉ những nơi cần mở cửa sổ lớp cản quang mới bị hòa tan, các nơi khác rắn lại.

b.Lại đem tinh thể nhúng vào dung dịch fluorhydric. Chỉ những nơi cần mở cửa sổ lớp SiO2 bị hòa tan, những nơi khác nhờ lớp cản quang che chở.

c. Đem tẩy lớp cản quang

d. Khuếch tán chất bán dẫn P sâu đến thân, tạo ra các đảo N.

e. Lại mở cửa sổ, khuếch tán chất bán dẫn P vào các đảo N (khuếch tán Base)

f. Lại mở cửa sổ, khuếch tán chất bán dẫn N vào (khuếch tán Emitter)

g. Phủ kim loại. Thực hiện các chỗ nối

Page 7: Cong nghe vi mach dien tu

Câu 5 : Cho Wafer là loại p chỉ ra các bước chế tạo linh kiện CMOS cổng NOT ?

Bắt đầu với một miếng bán dẫn thô.

Chế tạo cổng đảo NOT từ phần đáy lên.

+ Bước 1: Bước đầu tiên là tạo ra một lớp bán dẫn giàu n

+ Bước 2: Phủ lên miếng bán dẫn một lớp SiO2 (oxide)

+ Bước 3: Bỏ đi lớp oxide ở nơi cần tạo bán dần giàu n

+ Bước 4: Đưa trực tiếp hoặc khuếch tán chất kích thích tạp loại n vào lớp bán dẫn lộ ra

+ Bước 5: Phủ lớp SiO2

Câu 6 : Ăn mòn là gì ? Ý nghĩa của ăn mòn trong công nghệ chế tạo vi mạch điện tử ?

Ăn mòn – trong công nghệ vi điện tử trên cơ sở silicon là một kỹ thuật rất hay được sử dụng. Có 2 phương pháp ăn mòn chính là : ăn mòn ướt và ăn mòn khô.Sau khi hình dạng của lớp cản quang được hình thành, lớp cản quang còn lại có thể được dùng như một mặt nạ, vì thế vật liệu không bị phủ bởi lớp cản quang sẽ bị ăn mòn. Nếu lớp cản quang được đặt vào bề mặt của silic đioxit thì silic đioxit cũng có thể bị ăn mòn theo cách tương tự.

Ý nghĩa của ăn mòn trong công nghệ chế tạo vi mạch điện tử - Nhờ kỹ thuật này mà chúng ta có thể mang lại kỹ thuật ăn mòn vật liệu với hệ số tỷ lệ d/w

(sâu/cao) rất lớn.- Với kỹ thuật này các hãng sản xuất lớn có thể phân đoạn thiết bị dành riêng cho quá trình ăn

mòn ‘nồng’  với một vài micromet chiều sâu cho tới thiết bị có thể ăn mòn qua tấm silicon (cỡ 400 micromet) chỉ trong hai giờ.

Câu 7 : So sánh giữa các công nghệ PMOS, NMOS, và CMOS ?

Công nghệ đơn cực MOS với đặc điểm : Dễ chế tạo vì quy trình thực hiện đơn và ít công đoạn hơn công nghệ lưỡng cực do vậy giá thành

rẻ. Mật độ tích hợp cao vì transistor đơn cực nhỏ về kích thước và tiêu thụ rất ít điện năng. Công suất tiêu thụ nhỏ. So sánh giữa các công nghệ PMOS, NMOS, và CMOS: PMOS (dùng MOSFET kênh P) có tần số làm việc nhỏ (khoảng 1 MHz) ; mật độ tích hợp lớn,

công suất tiêu thu nhỏ, dễ chế tạo. Tuy nhiên, họ pMOS lại không tương hợp với TTL đòi hỏi nhiều điện áp nguồn nuôi khác nhau.

NMOS (dùng MOSFET kênh N tăng cường) có mật độ đóng gói gần gấp đôi PMOS ; và NMOS cũng nhanh gần gấp 2 lần PMOS , tốc độ dịch chuyển cao hơn PMOS hàng chục lần. NMOS nói chung là tương hợp với TTL chỉ cần một nguồn nuôi duy nhất trong nhiều trường hợp. Các cải tiến của họ NMOS như HMOS, XMOS, VMOS có mật độ tích hợp cao hơn công suất tiêu thụ ít hơn, tần số làm việc lớn hơn.

Page 8: Cong nghe vi mach dien tu

CMOS (MOS bù dùng cả 2 thiết bị kênh P và kênh N) lại rất tin cậy vì ngưỡng đổi trạng thái logic bằng khoảng ½ điện áp nuôi. Tuy nhiên tần số làm việc và mức tích hợp có phần nào bị hạn chế so với NMOS.

CMOS rắc rối nhất và có mật độ đóng gói thấp nhất trong các họ MOS, nhưng nó có điểm mạnh là tốc độ cao hơn và công suất tiêu thụ thấp hơn.

PMOS và NMOS có mật độ đóng gói lớn hơn (nhiều transistor trong 1 chip hơn) và do đó kinh tế hơn CMOS.

IC NMOS và IC CMOS được dùng rộng rãi trong lĩnh vực kỹ thuật số. IC PMOS không còn góp mặt trong các thiết kế mới nữa. Tuy nhiên MOSFET kênh p vẫn rất

quan trọng bởi vì chúng được dùng trong mạch CMOS.

Câu 8 : Quang khắc là gì ? Trình bày vai trò của quang khắc trong quá trình chế tạo IC ?

Quang khắc hay photolithography là kỹ thuật sử dụng trong công nghệ bán dẫn và công nghệ vật liệu nhằm tạo ra các chi tiết của vật liệu và linh kiện với hình dạng và kích thước xác định bằng cách sử dụng bức xạ ánh sáng làm biến đổi các chất cảm quang phủ trên bề mặt để tạo ra hình ảnh cần tạo. Phương pháp này được sử dụng phổ biến trong công nghiệp bán dẫn và vi điện tử, nhưng không cho phép tạo các chi tiết nhỏ do hạn chế của nhiễu xạ ánh sáng, nên được gọi là quang khắc micro (micro lithography). Một số sách giáo khoa ở Việt Nam còn dịch thuật ngữ photolithography là quang bản thạch.

Kỹ thuật quang khắc

Các phương pháp tạo chi tiết trong quang khắc: kỹ thuật liff-off (trái), kỹ thuật ăn mòn (phải)

Quang khắc là tập hợp các quá trình quang hóa nhằm thu được các phần tử trên bề mặt của đế có hình dạng và kích thước xác định. Có nghĩa là quang khắc sử dụng các phản ứng quang hóa để tạo hình.

Bề mặt của đế sau khi xử lý bề mặt được phủ một hợp chất hữu cơ gọi là chất cản quang (photoresist), có tính chất nhạy quang (tức là tính chất bị thay đổi khi chiếu các bức xạ thích hợp), đồng thời lại bền trong các môi trường kiềm hay axit. Cản quang có vai trò bảo vệ các chi tiết của vật liệu khỏi bị ăn mòn dưới các tác dụng của ăn mòn hoặc tạo ra các khe rãnh có hình dạng của các chi tiết cần chế tạo. Cản quang thường được phủ lên bề mặt tấm bằng kỹ thuật quay phủ (spin-coating).

Page 9: Cong nghe vi mach dien tu

Cản quang được phân làm 2 loại

Cản quang dương: Là cản quang có tính chất biến đổi sau khi ánh sáng chiếu vào sẽ bị hòa tan trong các dung dịch tráng rửa.

Cản quang âm: Là cản quang có tính chất biến đổi sau khi ánh sáng chiếu vào thì không bị hòa tan trong các dung dịch tráng rửa.

Nguyên lý hệ quang khắc

Nguyên lý hệ quang khắcMột hệ quang khắc bao gồm một nguồn phát tia tử ngoại, chùm tia tử ngoại này được khuếch đại rồi sau đó chiếu qua một mặt nạ (photomask). Mặt nạ là một tấm chắn sáng được in trên đó các chi tiết cần tạo (che sáng) để che không cho ánh sáng chiếu vào vùng cảm quang, tạo ra hình ảnh của chi tiết cần tạo trên cảm quang biến đổi. Sau khi chiếu qua mặt nạ, bóng của chùm sáng sẽ có hình dạng của chi tiết cần tạo, sau đó nó được hội tụ trên bề mặt phiến đã phủ cảm quang nhờ một hệ thấu kính hội tụ.

Ứng dụng của quang khắcQuang khắc là kỹ thuật đã được phát triển từ đầu thế kỷ 20, và được sử dụng rộng rãi nhất trong công nghiệp bán dẫn để chế tạo các vi mạch điện tử trên các phiến Si. Ngoài ra, quang khắc được sử dụng trong ngành khoa học và công nghệ vật liệu để chế tạo các chi tiết vật liệu nhỏ, chế tạo các linh kiện vi cơ điện tử (MEMS). Hạn chế của quang khắc là do ánh sáng bị nhiễu xạ nên không thể hội tụ chùm sáng xuống kích cỡ quá nhỏ, vì thế nên không thể chế tạo các chi tiết có kích thước nano (độ phân giải của thiết bị quang khắc tốt nhất là 50 nm), do đó khi chế tạo các chi tiết nhỏ cấp nanomet, người ta phải thay bằng công nghệ quang khắc chùm điện tử (electron beam lithography).

Page 10: Cong nghe vi mach dien tu

Câu 9 : Khuếch tán là gì ? Trình bày vai trò của khuếch tán trong quá trình chế tạo IC ?

Khuếch tán là kỹ thuật được sử dụng trong công nghệ bán dẫn để chế tạo các vùng chuyển tiếp của transistor. Có nhiều phương pháp để khuếch tán tạo vùng chuyển tiếp P-N khác nhau như phương pháp khuếch tán ở nhiệt độ cao, phương pháp cấy ion… Tuỳ thuộc vào silicon và mục đích của việc pha tạp người làm công nghệ sẽ phải dùng hai loại tạp phổ biến nhất là Boron (B) hoặc phốtpho (P) cho quá trình này.Quá trình nhiệt được dùng rộng rãi trong chế tạo IC là khuếch tán. Khuếch tán là một quá trình mà qua đó những loại nguyên tử tạp chất đặc biệt có thể được đưa vào trong vật liệu silic.

Vai trò của khuếch tán trong quá trình chế tạo IC Quá trình khuếch tán pha tạp này làm thay đổi tính chất điện của silic và hình thành nên tiếp xúc p-n (Tiếp xúc p-n là thành phần cơ bản của thiết bị bán dẫn.) Miếng silic bị oxi hóa để hình thành đioxit silic và những cửa sổ nhỏ được mở trong oxit trong những vùng được chọn lựa dùng kĩ thuật quang khắc và ăn mòn .

Câu 10 : Trình bày vai trò của quy trình oxi hoá trong quá trình chế tạo IC ?

Quá trình oxy hóa (Oxidation) trong công nghệ chế tạo IC có vai trò:-Là quá trình oxide hóa để tạo thành các lớp cách điện ,để loại bỏ sự ảnh hưởng của các thành phần điện tử ở gần nhau : như giữa các mosfet ở gần nhau hay là giữa lớp đế với lớp poly silicon .

- Oxi hoá có tác dụng bảo vệ bề mặt các linh kiện bán dẫn dưới tác dụng của môi trường bên ngoài, che chắn bề mặt Si trong quá trình khuếch tán địn xứ các tạp chất như P và B.

Câu 11 : OxitSilic SiO2 có vai trò như thế nào trong công nghiệp chế tạo IC ?

- Lớp SiO2 được sử dụng làm cực (gate) cửa cho bóng bán dẫn (transistor). Lớp SiO2 này có hệ số dãn nở nhiệt gần bằng hệ số giãn nở nhiệt của Si, với hằng số điện môi.

-Lớp cách điện mỏng bằng Điôxít Silic (SiO2) đóng vai trò ngăn cách điện cực cổng của transistor khỏi cực máng, nơi sẽ có dòng điện chạy qua khi transistor được bật lên.

Câu 12 : Trình bày vai trò và phương pháp nuôi thỏi Silic đơn tinh thể ?

Vai trò nuôi thỏi Silic đơn tinh thể:- Để chuyển hoá cấu trúc đa tinh thể về đơn tinh thể.- Loại bỏ các tạp chất không mong muốn.- Trong quá trình nuôi các tạp chất có thể được đưa vào để tạo ra đơn tinh thể bán

dẫn loại n hoặc p.

Page 11: Cong nghe vi mach dien tu

Phương pháp nuôi thỏi Silic đơn tinh thể: Có 3 phương pháp nuôi từ Si EGS.

- PP Czochralski (Nuôi bằng cách đun)

Kĩ thuật thông thường để nuôi tinh thể được gọi là phương pháp Czochralski. Trong kĩ thuật này, một miếng nhỏ vật liệu bán dẫn được gọi là mầm được mang đến tiếp xúc với bề mặt của một vật liệu giống nó ở pha lỏng, và sau đó được kéo chậm từ thể lỏng. Khi mầm được kéo chậm, sự hóa rắn xuất hiện giữa lớp tiếp xúc lỏng-rắn. Thông thường tinh thể cũng được quay chậm khi nó đang được kéo để trộn lỏng, dẫn đến nhiệt độ đồng đều hơn. Những nguyên tử tạp chất, chẳng hạn như Bo hoặc Photpho có thể được thêm vào bán dẫn đang tan chảy.

Một hạt tinh thể mầm được nhúng trong Si EGS nóng chảy, và tinh thể mầm sẽ được kéo gradual sao cho thỏi đơn tinh thể có đường kính 15cm trong quá trình làm nguội.

- PP nóng chảy vùng: Một thỏi Si được đặt theo phương thẳng đứng được làm nóng chảy cục bộ từ dưới lên. Vùng nóng chảy được tái tinh thể hoá nhờ các tinh thể mầm.

- PP Bridgeman: Dùng chủ yếu cho GaAs. Trong đó vật liệu đa tinh thể được làm nóng chảy dọc theo thuyền hẹp và nhờ lò quét dọc và được làm nguội từ một phía có gắn với tinh thể mầm.

Câu 13 : Ý nghĩa của phòng sạch trong công nghệ chế tạo vi mạch điện tử ?

Một phòng sạch hay căn phòng sạch sẽ là một môi trường, thường được sử dụng trong sản xuất hoặc nghiên cứu khoa học, có trình độ thấp của môi trường ô nhiễm như bụi, không khí vi trùng , phun hạt và hơi hóa chất. Chính xác hơn, một phòng sạch có kiểm soát mức độ ô nhiễm được xác định bởi số lượng của các hạt trong một mét khối tại một kích thước hạt được chỉ định. Để cung cấp cho quan điểm, không khí xung quanh bên ngoài trong một môi trường đô thị điển hình chứa 35.000.000 hạt trong một mét khối trong phạm vi kích thước 0,5  mm và đường kính lớn hơn, tương ứng với một tiêu chuẩn ISO 9 phòng sạch, trong khi một tiêu chuẩn ISO 1 phòng sạch cho phép không có các hạt trong đó phạm vi kích thước và chỉ có 12 hạt trong một mét khối 0,3 mm và nhỏ hơn.

Page 12: Cong nghe vi mach dien tu

Ch t o microchipế ạ

Đinh nghĩa

 Sản xuất chip là một quá trình được thưc hiện trong những nhà máy trị giá hàng tỷ đô la để chuyển những hạt cát tầm thường thành các bộ vi xử lý, chip nhớ và mạch tích hợp dùng trong hầu hết mọi thứ. Đây một trong những ngành công nghiệp phức tạp nhất, đòi hỏi độ chính xác cao nhất và cung đắt tiền nhất. Tuy nhiên, các nhà sản xuất vẫn tiếp tục tăng gấp đôi khả năng của chip sau môi 18-24 tháng.

Silic là một chất bán dẫn tư nhiên. Trong một vài điều kiện, nó trở nên dẫn điện; trong một số điều kiện khác nó lại trở thành cách điện. Đặc tính dẫn điện của silic có thể thay đổi tuy theo việc bổ sung chất phụ gia (tạp chất), quá trình này được gọi là kích tạp. Những đặc điểm này làm cho nó trở thành vật liệu lý tưởng cho việc chế tạo transitor là những thiết bị đơn giản để khuyếch đại tín hiệu điện. Transitor cung có thể hoạt động như những bộ chuyển mạch - thiết bị đóng/mở dùng trong tổ hợp để biểu diễn toán tử luận lý Boole như “and”, “or”, “not”.

Quy TrinhCHIP ĐƯƠC CHÊ TAO trong những nhà máy thường được gọi bằng tiếng Anh là fab. Fab nấu chảy và tinh chế cát để sản xuất những thỏi silic tinh thể đơn tinh khiết đến mức 99,9999%. Những thỏi này được cưa mỏng thành những đĩa bán dẫn (wafer) với đường kính khoảng 5-7cm. Những đĩa này được làm sạch và đánh bóng, sau đó môi đĩa sẽ được dùng để chế tạo nhiều con chip. Những bước này và những bước tiếp theo được thưc hiện trong môi trường “sạch”, nơi có các yêu cầu về chống nhiễm bụi và các chất ngoại lai khác hết sức nghiêm ngặt.Một lớp không dẫn điện là silic dioxit được phủ lên bề mặt của đĩa silic này, lớp này lại được bao bằng một loại hoá chất cảm quang được gọi là quang trở.Lớp quang trở này sẽ được phơi sáng bằng cách chiếu tia cưc tím qua một tấm khuôn hay còn gọi là mặt nạ (mask) để làm cứng những phần lộ sáng. Những phần không lộ sáng sẽ được “đục bỏ” bằng khí nóng để làm lộ lớp nền silic dioxit bên dưới. Lớp nền và lớp silicon tiếp tục được khắc theo những độ sâu khác nhau.Lớp quang trở đã được làm cứng bằng quá trình in li tô này (tương tư như phơi bản kẽm ở nhà in) sau đó được loại bỏ, cho ta cấu truc 3 chiều trên chip - “sao y bản chính” của thiết kế mạch trên mặt nạ. Tính chất dẫn điện của một số thành phần trên chip có thể được biến đổi qua quá trình kích tạp bằng hóa chất trong điều kiện nhiệt và áp suất. Quá trình in li tô được tiến hành với nhiều mặt nạ khác nhau, sau đó thưc hiện nhiều quá trình khắc và kích tạp, có thể lặp lại hơn hàng trăm lần trên cùng một con chip, tạo ra mạch tích hợp ngày càng phức tạp hơn tại môi bước.Để tạo mạch dẫn giữa các thành phần được khắc vào chip, toàn bộ chip sẽ được phủ bằng một lớp kim loại mỏng - thường bằng nhôm - và quá trình in li tô, khắc lại được áp dụng một lần nữa để tạo các đường dẫn cần thiết. Nếu cần, người ta thiết kế thêm những lớp dẫn điện được tách biệt bằng những bộ cách điện thủy tinh.

Page 13: Cong nghe vi mach dien tu

Môi chip trên đĩa bán dẫn được kiểm tra chất lượng và sau đó được cưa tách ra. Những chip bảo đảm chất lượng được đóng gói theo phương thức riêng để có thể gắn vào các loại bo mạch, chip hỏng được đánh dấu và loại bỏ.Hiện nay các công ty sản xuất chip thường mua wafer làm sẵn. Wafer có nhiều kích cỡ khác nhau, thường thì có đường kính 5 inch, 8 inch và 12 inch. Wafer là một bản mạch mỏng hình tròn được làm từ chất bán dẫn (Silicon). Bằng các giai đoạn xử lý khác nhau trong phòng sạch (clean room) người ta tạo ra rất nhiều chip trên một wafer. Các quá trình xử lý waferTất cả được thực hiện trong môi trường siêu sạch (ultra clean room). 

Rửa (wet process): đây là bước làm sạch wafer bằng các dung dịch hóa học. Ví dụ APM (hỗn hợp NH4OH/H2O2/H2O) dùng để làm sạch các particle như bụi trong không khí, bụi từ người bay ra; HPM (hỗn hợp Cl/H2O2/H2O) dùng làm sạch các tạp chấp và kim loại hiếm (Cu, Au, Pt...); HPM (hỗn hợp H2SO4/H2O2) làm sạch các tạp chất hữu cơ (resist) và kim loại (Ze, Fe...); DHF (axit HF loãng) dùng để loại bỏ các phần SiO2 không cần thiết.

Ô-xi hóa (Oxidation): tạo SiO2 trên bề mặt wafer trong đó lớp SiO2 mỏng cỡ 1 tới 2 nanomet sẽ trở thành gate của transistor. Check: cấu tạo và nguyên lý hoạt động của MOSFET, ITRS (international technology roadmap for semiconductor), LOCOS (local oxidation of silicon), STI (swallow trench isolation)

CVD (Chemical Vapor Deposition): tạo các lớp film mỏng trên bề mặt wafer bằng phương pháp hóa học (SiO2, Si3N4. Poly-Si, WSi2). Ví dụ có thể dùng CVD ở áp suất thấp trong môi trường SiH4 và H2 để tạo ra lớp poly-Si (Si đa tinh thể) để làm điện cực cho transistor.

Cấy Ion (Ion implantation): Sử dụng các nguồn ion năng lượng cao (vài chục tới vài trăm keV, nồng độ cỡ 2E-15 cm-3) bắn trực tiếp lên bề mặt Si nhằm thay đổi nồng độ tạp chất trong Si. Ví dụ bắn các ion As để tạo ra vùng n+ để làm source và drain cho MOSFET.

Cắt (etching): loại bỏ các phần SiO2 không cần thiết. Có hai loại: wet-etching dùng axit HF loãng để hòa tan SiO2; dry-etching dùng plasma để cắt SiO2 khỏi bề mặt Si. Check: high-density plasma etching, RIE (Reactive Ion Etching), HF, etching

Photolithography: phương pháp xử lý quang học để transfer mask pattern lên bề mặt wafer. Wafer sẽ được phết một lớp dung dịch gọi là resist, độ dày của lớp này khoảng 0.5um. Ánh sáng sẽ được chiếu lên mask, phần ánh sáng đi qua sẽ làm mềm resist. Sau khi rửa bằng dung dịch đặc biệt (giống tráng ảnh), phần resist không bị ánh sáng chiếu vào sẽ tồn tại trên wafer như là mask. (trong trường hợp này resist là loại positive).

Sputtering: Là phương pháp phủ các nguyên tử kim loại (Al, Cu) lên bề mặt wafer. Ion Ar+ với năng lượng khoảng 1 keV trong môi trường plasma sẽ bắn phá các target kim loại (Al, W, Cu), các nguyên tử kim loại sẽ bật ra bám lên bề mặt wafer. Phần bị phủ sẽ trở thành dây dẫn nối các transistor với nhau.

Annealing: Xử lý nhiệt giúp cho các liên kết chưa hoàn chỉnh của Si (bị damaged bởi ion implantation etc.) sẽ tạo liên kết với H+. Việc này có tác dụng làm giảm các trap năng lượng tại bề mặt Si và SiO2. CMP (Chemical Mechanical Polishing): Làm phẳng bề mặt bằng phương pháp cơ-hóa. Đây là kỹ thuật mới được áp dụng vào semiconductor process. Có tác dụng hỗ trợ thêm cho các xử lý như photolithography, etching,...

Kiểm tra - Đóng gói - Xuất xưởngCác xử lý ở phần 3 sẽ được lặp đi lặp lại nhiều lần tùy thuộc vào mức độ phức tạp của chip. Cuối cùng chip sẽ được cắt rời (một tấm wafer 300mm có thể tạo được khoảng 90 con chip Pentium IV). Một loạt các xử lý khác như back grinding (mài mỏng phần mặt dưới của chip), bonding (nối ra các pins, dùng chì mạ vàng hoặc đồng), mold (phủ lớp cách điện), marking (ghi tên hãng sản xuất,...).

Page 14: Cong nghe vi mach dien tu

Ph n Bài T pầ ậ

Lý thuy t cho ph n bài t pế ầ ậChú ý: và (AND) nối tiếp, hoặc (OR) song song

0=pMOS, 1= nMOSCác hệ thức cơ bản và hệ quả trong đại số logicA + 0 = A; A+1= 1 A.1=A; A.0=0A + A = A ; A + B = B+A A.A= A; A.A =0; A.B=B.AA +AB = A A.(A + B) =AAB + AB = A (A + B).(A + B) =AĐịnh lý Demoorgan A+B=A.B ;AB = A + BMạch CMOS – Thiết kế và layout

Cấu trúc CMOS

Complementảy CMOS logic gates

nMOS pull-down network

pMOS pull0up network

a.k.a static CMOS

Serier and parallel

nMOS: 1=ON

pMOS: 0=ON

Series: both must be ON

Parallel: either can be ON

Độ mạnh yếu của tín hiệu:

Độ mạnh của tín hiệu: cho biết tín hiệu đó gần bằng hay cách xa các nguồn áp lý tưởng.

VDD và GND là các tín hiệu 1 và 0 mạnh nhất.

nMOS cho qua tín hiệu 0 mạnh nhưng làm yếu tín hiệu 1.

pMOS cho qua tín hiệu 1 mạnh nhưng làm yếu tín hiệu 0.

Do đó nMOS thích hợp nhất cho mạng kéo xuống (pull-down network)

Ứng dụng của transistor:Các transistor có thể dùng như các công tắc.Các cổng loại trên cho ra tín hiệu yếu.Các cổng sau cho tín hiệu tốt hơn.

Page 15: Cong nghe vi mach dien tu

Thiết kế vật lý cơ bản các cổng logic đơn giản Cổng NOT- ĐẢO Cổng NOR A+B Cổng NAND AB Cổng XOR A⊕B =A.B + A.B Cổng NAND 3 ngõ vào ABC Các cổng 3 trạng thái

Cổng 3 trạng thái hồi phục và không hồi phục Các mạch dồn kênh:

- MUX : Bộ MUX không hồi phục sử dụng 6 transistor.- Bộ MUX đảo: Sử dụng mạch tổ hợp AOI22 (Add-Or-Invert). Hoặc một cặp cổng 3 đảo trạng

thái. Là bộ dồn kênh không đảo ghép với cổng đảo. Bộ chọn kênh:

- Chọn 1 trong 4 ngõ vào dựa trên 2 đường điều khiển. - Gồm 2 tầng ghép kênh 2: 1 hoặc 4 cổng 3 trạng thái.

Tạo chốt D:- Còn gọi là chốt trong suốt (transparent latch) hay chốt nhạy mức (level-sensitive latch)- Khi CLK=1, chốt được gọi là trong suốt (transparent): D thong tới Q như một bộ đệm- Khi CLK=0, chốt được gọi là mờ đục (opaque): Q giữ nguyên giá trị của nó không phụ thuộc

vào D.- D-Flip-Flop:+ Khi CLK chuyển từ 0 lên 1, giá trị của D được chuyển cho Q.+ Ở các thời điểm khác, Q giữ nguyên giá trị cũ.+ Còn gọi là flip-flop kích canh lên, flip-flop chủ tớ.

Layout cổng logic- Chip được xác định bởi tập hợp các “mặt nạ”- Các kích thước tối thiếu của mặt nạ sẽ xác định được kích thước transistor (và do đó xác định

tốc độ, giá thành và công suất)- Kích thước đặc trưng f= khoảng cách giữa nguồn và máng- Được quy định bởi chiều rộng tối thiếu của polysilicon- Kích thước đặc trưng này được cải thiện 30% sau mỗi 3 năm

Các quy tắc thiết kế đơn giản hoá- Gate layout:

+ Layout có thể tốn rất nhiều thời gian+ Thiết kế các cổng sao cho vừa khít với nhau: xây dựng một thư viện các cell chuẩn, phương pháp thiết kế cell chuẩn+ VDD và GND là các biên giới (chiều cao chuẩn): Các cổng kề nhau phải tuân thủ các luật thiết kế+ nMOS ở dưới và pMOS ở trên+ Tất cả các cổng phải bao gồm các tiếp xúc nền và giàu

Page 16: Cong nghe vi mach dien tu

I) Vẽ mạch nguyên lý và layout cho mạch và hàm cho các bài tập dưới. Layout cho cổng đảo:

- Kích thước của transistor được định nghĩa bởi cặp thong số chiều rộng/ chiều dài- Kích thước tối thiểu là 4λ/2λ, còn gọi là kích thước đơn vị (unit)- Với quy trình có f=0,6μm, kích thước này bằng 1,2μm rộng, 0,6μm dài

II) Ước lượng diện tích cho thiết kế đó ? (tính theo λ)

Ước lượng diện tích bằng cách đếm số wiring track. Nhân cho 8 để biểu diễn theo λ.

III) Các bài tập:1) And, or 2 ngõ vào2) Nand, nor 2 ngõ vào3) And, nand 2 ngõ vào4) And, nand 3 ngõ vào

Cổng NAND 3: Các dải khuếch tán N- diffusion và p- diffusion nằm ngang.Các cổng polysilicon theo chiều dọc. Metal 1 VDD ở trên, Metal 1 GND ở dưới. Kích thước 32λ x40λ

5) Or, nor 3 ngõ vào6) Demux 2 vào 4 ra

7) Hàm f= ( A+B+C ) .DKích thước (5 tracks= 40λ) x (6 tracks =48λ)

8) Hàm f= AB+CD9) Hàm f= ABC+D

10) Hàm f= ( A+B ) .(C+D)11) Hàm f= AB+BC +A C12) Hàm f= AB+BC +A C13) Hàm f= A+BC14) Bài kiểm tra thứ nhất:

a) F= A+ BC

b) F= A+B+C+Dc) F= A B+BC + A C