closing the loop in interconnect analyses and optimization: cmp fill, lithography and timing

29
Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing Puneet Gupta 1 Andrew B. Kahng 1,2,3 O.S. Nakagawa 1 Kambiz Samadi 2 (1) Blaze DFM, Inc., Sunnyvale, CA ) ECE Department, University of California at San Diego ) CSE Department, University of California at San Diego

Upload: alden

Post on 04-Feb-2016

102 views

Category:

Documents


1 download

DESCRIPTION

Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing. Puneet Gupta 1 Andrew B. Kahng 1,2,3 O.S. Nakagawa 1 Kambiz Samadi 2. (1) Blaze DFM, Inc., Sunnyvale, CA. (2) ECE Department, University of California at San Diego. - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and

Timing Puneet Gupta1 Andrew B. Kahng1,2,3 O.S. Nakagawa1 Kambiz Samadi2

(1) Blaze DFM, Inc., Sunnyvale, CA

(2) ECE Department, University of California at San Diego(3) CSE Department, University of California at San Diego

Page 2: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Outline

• Introduction• Lithographic Considerations for Interconnect

• Topography-Aware Optical Proximity Correction• Post-Lithography Sign-off for Wires

• Manufacturing Non-Idealities and Interconnect Performance• Impact of CMP Fill on Interconnect Capacitance

• Impact of Floating and Grounded Fill• Intelligent Fill Synthesis

• Conclusions

Page 3: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Introduction

• Interactions among parasitic extraction, CMP fill, topography and lithography require explicit modeling

•Optical Proximity Correction (OPC) methods are oblivious to predictable nature of focus variation•OPC methods cannot perfectly correct for

lithographic and etch deviations•CMP fill needed for uniformity of post-CMP wafer topography, but has significant impact on coupling

and total capacitance•This talk: example elements of “closed-loop”

methodology for intelligent fill that unifies manufacturing-aware BEOL analyses and optimizations

Page 4: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Outline

• Introduction• Lithographic Considerations for Interconnect

• Topography-Aware Optical Proximity Correction• Post-Lithography Sign-off for Wires

• Manufacturing Non-Idealities and Interconnect Performance• Impact of CMP Fill on Interconnect Capacitance

• Impact of Floating and Grounded Fill• Intelligent Fill Synthesis

• Conclusions

Page 5: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Topography-Aware Optical Proximity Correction

• The depth-of-focus (DOF) variation corresponding to thickness variation severely affects the metal patterning of the subsequent upper layer

• Standard OPC (SOPC) assigns zero defocus for each layer which will lead to CD variation of the metal feature that will be placed on that layer

• Topography-aware OPC (TOPC) will compensate for thickness variation and will adjust the OPC and ORC (Optical Rule Check) accordingly

Page 6: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

TOPC Motivation

Post-CMPt1

(a)

(b)

Metal Layer

t2

(a) Side view showing thickness variation over regions with dense and sparse layout. (b) Top view showing CD variation when a line is patterned over a region with uneven wafer topography, i.e., under conditions of varying defocus.

Need modified OPC technique that is aware of post-CMP topography variation

Page 7: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

TOPC Methodology

CMPSimulation

DOFMarking Layer

Library & Technology

GDSII

Input GDSIIfor TOPC

TOPCed GDSII

DOF ModelDatabase

TOPC

SOPC

SOPCed GDSII

Standard OPC Flow

• A map of thickness variation from Chemical-mechanical planarization (CMP) simulation is converted to DML (Defocus Marking Layer) and then fed into GDSII for TOPC

• TOPC applies different DOF models to metal lines according to DML

Page 8: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

K-DML Assignment Problem

• Must assign each feature to DML partition according to its height used for OPC, and ORC calculations• However, assigning two features within the distance of R to different DML partition will result in Optical Error• Objective: Partition of all features into k DMLs to minimize the number of optical errorsDistance < R

Page 9: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

TOPC Results

width

height

delay

width

height

delay

%diff

width

height

delay

%diff

140 250 2.429

162 250 2.752

-11.8

118 250 2.206

9.2

140 350 2.415

162 350 2.749

-12.1

118 350 2.180

9.7

140 450 2.427

162 450 2.770

-12.4

118 450 2.187

9.9

Comparison of the timing delay using SOPC and TOPC; The units for width, height and delay are nm, nm, and ps, respectively.

TOPC SOPC

Testcase Reduction of EPE Count with +/- 6nm EPE range

(%)

Reduction of EPE Count with +/- 7nm EPE range

(%)

Benchmark 1 34.9 52.3

Benchmark 2 48.1 67.0

Comparison of edge placement error (EPE) count reductions with SOPC and TOPC.

Page 10: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Outline

• Introduction• Lithographic Considerations for Interconnect

• Topography-Aware Optical Proximity Correction• Post-Lithography Sign-off for Wires

• Manufacturing Non-Idealities and Interconnect Performance• Impact of CMP Fill on Interconnect Capacitance

• Impact of Floating and Grounded Fill• Intelligent Fill Synthesis

• Conclusions

Page 11: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Post Lithography Sign-off for Wires (PLSW)

• PLSW accounts for deviations between drawn and printed shapes

• As geometries keep on scaling, modeling process variations becomes important

• A methodology for estimating interconnect performance based on wafer shape contours of interconnect rather than drawn layout

Page 12: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

PLSW for Analysis

LithoSimulation

OriginalSPEF

ModifiedSPEF

ReshapeEngine

IncrementalRCX

OriginalGDSII

ModifiedGDSII

PLSW

RCX

Page 13: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

PLSW Results

0%

5%

10%

15%

20%

25%

-5~-

7%

-9~-

11%

-13~

-15%

-17~

-19%

Resistance Variation (%)%

of S

egm

ents

0.00%10.00%20.00%30.00%40.00%50.00%60.00%70.00%

-2~0

%0~

2%2~

4%4~

6%6~

8%

8~10

%

10~1

2%

Capacitance Variation (%)

% o

f Seg

men

ts

Resistance Impact

Capacitance Impact

OPC Print Image• 90nm technology• M2 Wires

(a) (b)

Page 14: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Outline

• Introduction• Lithographic Considerations for Interconnect

• Topography-Aware Optical Proximity Correction• Post-Lithography Sign-off for Wires

• Manufacturing Non-Idealities and Interconnect Capacitance• Impact of CMP Fill on Interconnect Capacitance

• Impact of Floating and Grounded Fill• Intelligent Fill Synthesis

• Conclusions

Page 15: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Interconnect Sidewall Angle

• Manufacturing non-idealities can occur along the sidewall of a wire due to etch• To accurately account for interconnect parasitics these geometric changes needs to be modeled

0

2

4

6

8

10

12

0.8 0.85 0.9 0.95 1 1.05

CNT/CT

The

ta (de

gree

s)

Total Interconnect Capacitance

• Sidewall angles can decrease the total capacitance by more than 10%

Min-width =( min spacing)

0.20 um

Metal thickness

0.35 um

ILD thickness

0.35 um

CNT: Capacitancewith non-zerosidewall angle

CT: Capacitancewith zero sidewall angle

Page 16: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Simple Equivalent-Width Methodology• Non-vertical sidewalls imply a capacitance between non-parallel (sidewall) plates

• Capacitance between non-parallel plates can be calculated according to the following equation:

rl

rl

h

rl

tantan

))dln())tan(tanhd(ln(l

tanxtanxd

ldxdCC

0

w w

Ideal RealComparison of ideal and real Interconnect cross-section.

(1)

d

Cond_a Cond_brlh

Page 17: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Interconnect Sidewall Angle

• We use the average of the top and bottom width of the wire as its new equivalent width

2

bottomtopeq

www

cC RightCleftC

1ML

ML1ML

-0.5

0

0.5

1

1.5

2

2.5

0 5000 10000 15000 20000

Configurations

% E

rror

Total Cap.% Error

-2

-1

0

1

2

3

0 5000 10000 15000 20000

Configurations

% E

rror Coupling

Cap. % Error

Simulation Configuration

Page 18: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Outline

• Introduction• Lithographic Considerations for Interconnect

• Topography-Aware Optical Proximity Correction• Post-Lithography Sign-off for Wires

• Manufacturing Non-Idealities and Interconnect Performance• Impact of CMP Fill on Interconnect Capacitance

• Impact of Floating and Grounded Fill • Intelligent Fill Synthesis

• Conclusions

Page 19: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Impact of CMP Fill on Interconnect Capacitance

• To enhance uniformity of post-CMP wafer topography, dummy fill is inserted

• In addition to improving feature density uniformity, dummy fill also changes coupling and total capacitance of functional interconnects

• Different fill/wire geometries have different impact on interconnect capacitance

Page 20: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Basic Simulation Configurations

wm

wf

lf

dko

sxsy

A A BB A B

yx

(1) (2) (3)

A

wm

wf

lf

dko

yx

Page 21: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Impact of Floating Fill on Interconnect Capacitance

0.0000E+00

1.0000E-17

2.0000E-17

3.0000E-17

4.0000E-17

5.0000E-17

6.0000E-17

7.0000E-17

8.0000E-17

0 0.5 1 1.5 2

wf (um)C

tot/l

(F/u

m)

w_m=0.2 , h_m=0.4

w_m=0.18 , h_m=0.32

w_m=0.12 , h_m=0.2

0.0000E+00

1.0000E-18

2.0000E-18

3.0000E-18

4.0000E-18

5.0000E-18

6.0000E-18

0 0.5 1 1.5 2

wf (um)

Cc/l

(F/u

m)

w_m=0.2 , h_m=0.4

w_m=0.18 , h_m=0.32

w_m=0.12 , h_m=0.2

• Change in coupling capacitance due to changes in fill width

• Change in total capacitance due to changes in fill width

Page 22: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Impact of Floating Fill on Interconnect Capacitance

0.0000E+00

1.0000E-17

2.0000E-17

3.0000E-17

4.0000E-17

5.0000E-17

6.0000E-17

7.0000E-17

8.0000E-17

0 0.5 1 1.5 2

lf (um)C

tot/l

(F/u

m)

w_m=0.2 , h_m=0.4

w_m=0.18 , h_m=0.32

w_m=0.12 , h_m=0.2

0.0000E+00

5.0000E-19

1.0000E-18

1.5000E-18

2.0000E-18

2.5000E-18

3.0000E-18

3.5000E-18

4.0000E-18

0 0.5 1 1.5 2

lf (um)

Cc/l

(F/u

m)

w_m=0.2 , h_m=0.4

w_m=0.18 , h_m=0.32

w_m=0.12 , h_m=0.2

• Change in coupling capacitance due to changes in fill length

• Change in total capacitance due to changes in fill length

Page 23: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Impact of Grounded Fill on Interconnect Capacitance

0.0000E+00

1.0000E-18

2.0000E-18

3.0000E-18

4.0000E-18

5.0000E-18

6.0000E-18

7.0000E-18

0 0.5 1 1.5 2

wf (um)dC

(F) w_m=0.2 , h_m=0.4

w_m=0.18 , h_m=0.32

w_m=0.12 , h_m=0.2

0.0000E+00

2.0000E-18

4.0000E-18

6.0000E-18

8.0000E-18

1.0000E-17

1.2000E-17

0 0.5 1 1.5 2

lf (um)

dC (F) w_m=0.2 , h_m=0.4

w_m=0.18 , h_m=0.32

w_m=0.12 , h_m=0.2

• Change in total capacitance due to changes in fill width

• Change in total capacitance due to changes in fill length

Page 24: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Impact of CMP Fill on Interconnect Capacitance

• Fill insertion can dramatically increase Cc and Ctot over their respective nominal values– G-M-G, 90nm, Intermediate: Cc 24X, Ctot 10%

• Useful fill pattern design guidelines may be possible, e.g.:– If the number of fill rows (M) is fixed, use as many fill

columns (N) as possible– If the number of fill columns (N) is fixed, use as few fill

rows (M) as possible

• Cf. VMIC-2004 invited paper (UCSD / UCLA)• Additional studies needed with tighter pitches,

more exhaustive analysis of fill patterns, etc.

Page 25: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Impact of Via Fill on Wire Capacitance

M

M+1

M+2

M -1

M -2Without Via Fill With Via Fill

Metal LayersVias

Case Capacitance (fF)

Without Via Fill 0.1509

With Via Fill 0.1541

Change 2.1%

• What is impact of via fill on total wire capacitance?

• Change in capacitance with and without via fill is insignificant

• Metals in M+1, M, and M+1 layers already create shielded wall any additional metals, such as vias, do not have any significant additional impact on capacitance

Page 26: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Intelligent Fill Synthesis• Traditional fill synthesis methods are reaching their limits of usefulness

• One indication: emergence of so-called “recommended rules”

• The impact of fill synthesis on timing continues to be a key for the designer

• A more sophisticated, dedicated CMP fill synthesis – • “intelligent fill synthesis” - can potentially reduce engineering effort and enhance manufacturability

Page 27: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Intelligent Fill Synthesis

We believe that intelligent fill synthesis must embody the following features:

• Multilayer Density Control• Perform concurrent minimization of density variation of multiple layers as well as each individual layer

• Model-Based Fill Synthesis• Identify the regions where planarity is important and attempt to minimize topography variation

• Timing-Driven Fill Synthesis • Assess impact of inserting fills on timing• Keep-out distances computed for each net to avoid wasteful “one size fits all” keep-out distance

Page 28: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Conclusions

• We have studied the impact of two manufacturing process variation sources – wafer topography and sidewall angle – on the design process

• We have also studied the impact of floating and grounded dummy fills on coupling and total interconnect capacitance

• Finally, we have described elements of “intelligent fill synthesis” and how it can be used in a timing-driven fill methodology

• Our ongoing research studies further aspects of the manufacturing flows for which intelligent fill is relevant

Page 29: Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing

Thanks for your attention