chemical vapor deposition (surface engineering series, v. 2)-asmi

476
SURFACE ENGINEERING SERIES Volume 2 Chemical Vapor Deposition © 2001 ASM International. All Rights Reserved. Chemical Vapor Deposition (#06682G) www.asminternational.org

Upload: 123vigen

Post on 24-Apr-2015

1.186 views

Category:

Documents


7 download

TRANSCRIPT

Page 1: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

SURFACE ENGINEERING SERIESVolume 2

Chemical VaporDeposition

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 2: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

SURFACE ENGINEERING SERIESVolume 2

Chemical VaporDeposition

Edited by

Jong-Hee ParkEnergy Technology DivisionArgonne National Laboratory

T.S. SudarshanMaterials Modification Inc.

ASM International®Materials Park, OH 44073-0002

www.asminternational.org

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 3: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Copyright © 2001by

ASM International®

All rights reserved

No part of this book may be reproduced, stored in a retrieval system, or transmitted, in any form orby any means, electronic, mechanical, photocopying, recording, or otherwise, without the writtenpermission of the copyright owner.

First printing, July 2001

Great care is taken in the compilation and production of this book, but it should be made clear thatNO WARRANTIES, EXPRESS OR IMPLIED, INCLUDING, WITHOUT LIMITATION,WARRANTIES OF MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE, AREGIVEN IN CONNECTION WITH THIS PUBLICATION. Although this information is believed to beaccurate by ASM, ASM cannot guarantee that favorable results will be obtained from the use of thispublication alone. This publication is intended for use by persons having technical skill, at theirsole discretion and risk. Since the conditions of product or material use are outside of ASM’scontrol, ASM assumes no liability or obligation in connection with any use of this information. Noclaim of any kind, whether as to products or information in this publication, and whether or notbased on negligence, shall be greater in amount than the purchase price of this product or publicationin respect of which damages are claimed. THE REMEDY HEREBY PROVIDED SHALL BE THEEXCLUSIVE AND SOLE REMEDY OF BUYER, AND IN NO EVENT SHALL EITHER PARTY BELIABLE FOR SPECIAL, INDIRECT OR CONSEQUENTIAL DAMAGES WHETHER OR NOTCAUSED BY OR RESULTING FROM THE NEGLIGENCE OF SUCH PARTY. As with any material,evaluation of the material under end-use conditions prior to specification is essential. Therefore,specific testing under actual conditions is recommended.

Nothing contained in this book shall be construed as a grant of any right of manufacture, sale, use,or reproduction, in connection with any method, process, apparatus, product, composition, orsystem, whether or not covered by letters patent, copyright, or trademark, and nothing contained inthis book shall be construed as a defense against any alleged infringement of letters patent, copyright,or trademark, or as a defense against liability for such infringement.

Comments, criticisms, and suggestions are invited, and should be forwarded to ASM International.

Library of Congress Cataloging-in-Publication Data

Chemical vapor deposition/edited by Jong-Hee Park, T.S. Sudarshan.p. cm. -- (Surface engineering series; v. 2)

1. Vapor-plating. 2. Refractory coating. I. Park, Jong-Hee, 1951-II. Sudarshan, T.S., 1955-

III. Series.TS695.C52 2001 671.7’35—dc21 2001022339

ISBN: 0-87170-731-4SAN: 204-7586

ASM International®

Materials Park, OH 44073-0002www.asminternational.org

Typeset in India by Emptek Inc., Scarborough, ON, Canada Printed in the United States of America

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 4: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Contents

Preface..................................................................................................................vii

Chapter 1

Introduction to Chemical Vapor Deposition (CVD) ........................................................................ 1J.R. Creighton and P. Ho

Chapter 2

Basic Principles of CVD Thermodynamics and Kinetics ............................................................. 23A.K. Pattanaik and V.K. Sarin

Chapter 3

Stresses and Mechanical Stability of CVD Thin Films ................................................................. 45M. Ignat

Chapter 4

Combustion Chemical Vapor Deposition (CCVD) ....................................................................... 81A.T. Hunt and Matthias Pohl

Chapter 5

Polarized Electrochemical Vapor Deposition ............................................................................. 103Eric Z. Tang, Thomas H. Etsell, and Douglas G. Ivey

Chapter 6

Chemical Vapor Infiltration: Optimization of Processing Conditions ....................................... 183S.K. Griffiths and Robert H. Nilson

Chapter 7

Metal-Organic Chemical Vapor Deposition of High Dielectric (Ba, Sr) TiO3

Thin Films for Dynamic Random Access Memory Applications ............................................... 205C.S. Hwang and H.-I. Yoo

v

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 5: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chapter 8

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications ................... 243R. Vedula, S. Kaza, and S.B. Desu

Chapter 9

CVD of Metals: The Case of Nickel ............................................................................................ 287Constantin Vahlas and Laurent Brissonneau

Chapter 10

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings ......................................... 331Priyadarshini Karve, J. Prabhjyot Pal, and S.B. Ogale

Chapter 11

CVD Diamond Films in Tribological Applications .................................................................... 379Ali Erdemir

Chapter 12

CVD Films for Electrical Insulation ............................................................................................ 401J.-H. Park and W.D. Cho

Chapter 13

CVD Films for Corrosion Protection Coatings ........................................................................... 421J.-H Park and W.D. Cho

Chapter 14

Heat treatment of CVD-Coated Tool Steels ................................................................................ 435O.H. Kessler

Subject Index....................................................................................................465

Author Index......................................................................................................481

Chemical Vapor Depositionvi

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 6: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Preface

Chemical vapor deposition (CVD) is used widely in materials processing technology. The

majority of its applications involve applying solid thin-film coatings to surfaces, but it is used also

to produce high-purity bulk materials and powders, as well as to fabricate composites. Chemical

vapor deposition has been used to deposit a wide range of materials in many different areas. The

purpose of this book on CVD technology is to provide practical reference information, “how-to”

guidelines, and application information on important surface engineering technologies for

engineering design, development, and manufacturing. This book is intended to be a broad-based

reference handbook for both experienced and novice users of the CVD process. It provides updated

information for practicing engineers and research and development technologists who are involved

in product design and/or development of new products or manufacturing systems. In addition, the

contents should be suitable as a textbook or survey course book for graduate or advanced

undergraduate engineering students. As editor of this volume, I thank all of the authors for their

contributions, and the reviewers for the comments on each chapter. I am especially grateful to Steve

Lampman of ASM International for his valuable assistance with this volume.

Jong-Hee Park, Ph.D.

Energy Technology Division

Argonne National Laboratory

Argonne, IL 60439

June 2001

vii

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 7: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chapter 1

Introduction to Chemical VaporDeposition (CVD)

J. R. Creighton and P. HoSandia National LaboratoriesP.O. Box 5800, MS0601Albuquerque, NM 87185-0601

Introduction

Chemical vapor deposition (CVD) is awidely used materials-processing technology.The majority of its applications involve applyingsolid thin-film coatings to surfaces, but it is alsoused to produce high-purity bulk materials andpowders, as well as fabricating compositematerials via infiltration techniques. It has beenused to deposit a very wide range of materials.As indicated by the shaded boxes in Figure 1,the majority of the elements in the periodic tablehave been deposited by CVD techniques, somein the form of the pure element, but more oftencombined to form compounds.

CVD has an extensive literature, includinga number of other books on the subject. Theclassic book by Powell, Oxley and Blocher1

covers much of the earlier work up to the mid1960s, while a bibliography by Hawkins2 listspapers in CVD for the 1960-1980 time period.

The handbook by Pierson3 contains a very usefuldiscussion of specific materials and CVDprocesses, as does the book by Morosanu.4 Thebooks by Hitchman and Jensen,5 and bySherman,6 concentrate more on siliconmicroelectronics applications, while the booksby Stringfellow7 and by Jones and O’Brien8

concentrate on compound semiconductorapplications. The book by Kodas and Hampden-Smith9 and the series of proceedings volumes,represented by Sandhu et al.10 focus on CVD ofmetals. A separate series of books on CVD arethe proceedings of the International Conferenceson CVD held every two to three years since circa1967, primarily sponsored by the Electro-chemical Society. These provide useful“snapshots” of the field at various times,11-13 area few of the more recent volumes in this series.Books by Vossen and Kern14 and Smith,15 coverCVD as parts of their larger treatments of thinfilm deposition.

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p1-22 DOI:10.1361/chvd2001p001

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 8: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Creighton and Ho2

PE

RIO

DIC

TA

BL

E

Fig

. 1:

Per

iodi

c ta

ble,

whe

re s

hade

d bo

xes

indi

cate

ele

men

ts th

at h

ave

been

dep

osite

d us

ing

CV

D.

IA

IIA

II

IA

IVA

V

A

VIA

V

IIA

V

III

IB

IIB

II

IB

IV

VA

V

IB

VII

B

O

1 H

1.00

8

2 He

4.00

3

3 Li

6.94

1

4 Be

9.01

2

5 B

10.8

1

6 C

12.0

1

7 N

14.0

1

8 O

16.0

0

9 F

19.0

0

10

Ne

20.1

79

11

Na

22.9

90

12

Mg

24.3

05

13

Al

26.9

8

14

Si

28.0

9

15

P

30.9

7

16

S 32

.07

17

CI

35.4

5

18

Ar

39.9

48

19

K

39.0

98

20

Ca

40.0

8

21

Sc

44.9

56

22

Ti

47.9

0

23

V

50.9

42

24

Cr

51.9

96

25

Mn

54.9

38

26

Fe

55.8

47

27

Co

58.9

33

28

Ni

58.7

0

29

Cu

63.5

46

30

Zn

65.3

9

31

Ga

69.7

2

32

Ge

72.6

1

33

As

74.9

2

34

Se

78.9

6

35

Br

79.9

0

36

Kr

83.8

0

37

Rb

85.4

68

38

Sr

87.6

2

39

Y

88.9

06

40

Zr

91.2

2

41

Nb

92.9

06

42

Mo

95.9

4

43

Tc

(99)

44

Ru

101.

07

45

Rh

102.

905

46

Pd

106.

4

47

Ag

107.

868

48

Cd

112.

4

49

In

114.

8

50

Sn

118.

7

51

Sb

121.

8

52

Te

127.

6

53

I 12

6.9

54

Xe

131.

30

55

Cs

132.

905

56

Ba

137.

33

57

La

138.

9

72

Hf

178.

49

73

Ta

180.

948

74

W

183.

85

75

Re

186.

2

76

Os

190.

2

77

Ir

192.

22

78

Pt

195.

09

79

Au

196.

966

80

Hg

200.

59

81

TI

204.

37

82

Pb

207.

2

83

Bi

209.

0

84

Po

(210

)

85

At

(210

)

86

Rn

(222

)

87

Fr

(223

)

88

Ra

(226

)

89

Ac

227.

0

LA

NT

HA

NID

ES

57

La

138.

9

58

Ce

140.

115

59

Pr

140.

1

60

Nd

144.

2

61

Pm

(1

45)

62

Sm

150.

4

63

Eu

152.

0

64

Gd

157.

2

65

Tb

158.

9

66

Dy

162.

5

67

Ho

164.

9

68

Er

167.

3

69

Tm

16

8.9

70

Yb

173.

0

71

Lu

174.

96

AC

TIN

IDE

S 89

Ac

227.

0

90

Th

232.

0

91

Pa

231.

0

92

U

238.

0

93

Np

237.

0

94

Pu

(244

)

95

Am

(2

43)

96

Cm

(2

47)

97

Bk

(247

)

98

Cf

(251

)

99

Es

(252

)

100

Fm

(2

57)

101

Md

(256

)

102

No

(259

)

103

Lr

(257

)

Page 9: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Introduction to Chemical Vapor Deposition 3

In its simplest incarnation, CVD involvesflowing a precursor gas or gases into a chambercontaining one or more heated objects to becoated. Chemical reactions occur on and nearthe hot surfaces, resulting in the deposition of athin film on the surface. This is accompanied bythe production of chemical by-products that areexhausted out of the chamber along withunreacted precursor gases. As would be expectedwith the large variety of materials deposited andthe wide range of applications, there are manyvariants of CVD. It is done in hot-wall reactorsand cold-wall reactors, at sub-torr total pressuresto above-atmospheric pressures, with andwithout carrier gases, and at temperaturestypically ranging from 200-1600°C. There arealso a variety of enhanced CVD processes,which involve the use of plasmas, ions, photons,lasers, hot filaments, or combustion reactions toincrease deposition rates and/or lower depositiontemperatures. There are also many derivativesof the CVD terminology, such as metal-organicchemical vapor deposition (MOCVD)16,17 or, lesscommonly, organo-metallic chemical vapordeposition (OMCVD), which are sometimesused to note the class of molecules used in thedeposition process. Some practitioners chose todifferentiate epitaxial film deposition frompolycrystalline or amorphous film deposition,so they introduced a variety of terms that include“epitaxy” in the acronym. Two of the morecommon variants are organometallic vapor phaseepitaxy (OMVPE)7 and metalorganic vaporphase epitaxy (MOVPE)18 which are often usedin the compound semiconductor epitaxyliterature.

CVD has a number of advantages as amethod for depositing thin films. One of theprimary advantages is that CVD films aregenerally quite conformal, i.e., that the filmthickness on the sidewalls of features iscomparable to the thickness on the top. Thismeans that films can be applied to elaboratelyshaped pieces, including the insides andundersides of features, and that high-aspect ratioholes and other features can be completely filled.In contrast, physical vapor deposition (PVD)techniques, such as sputtering or evaporation,generally require a line-of-sight between the

surface to be coated and the source. Anotheradvantage of CVD is that, in addition to the widevariety of materials that can be deposited, theycan be deposited with very high purity. Thisresults from the relative ease with whichimpurities are removed from gaseous precursorsusing distillation techniques. Other advantagesinclude relatively high deposition rates, and thefact that CVD often doesn’t require as high avacuum as PVD processes.

CVD also has a number of disadvantages.One of the primary disadvantages lies in theproperties of the precursors. Ideally, theprecursors need to be volatile at near-roomtemperatures. This is non-trivial for a numberof elements in the periodic table, although theuse of metal-organic precursors has eased thissituation. CVD precursors can also be highlytoxic (Ni(CO)

4), explosive (B

2H

6), or corrosive

(SiCl4). The byproducts of CVD reactions can

also be hazardous (CO, H2, or HF). Some of

these precursors, especially the metal-organicprecursors, can also be quite costly. The othermajor disadvantage is the fact that the films areusually deposited at elevated temperatures. Thisputs some restrictions on the kind of substratesthat can be coated. More importantly, it leads tostresses in films deposited on materials withdifferent thermal expansion coefficients, whichcan cause mechanical instabilities in thedeposited films.

CVD processes can be categorizedaccording to the type of:1. Application,2. Process and reactor used, or3. Precursor and chemical reaction used.

The next three sections of this chapter arearranged around such subdivisions. Thesesections are followed by a discussion of thefundamental processes underlying CVD, suchas mass transport, thermodynamics, andchemical kinetics. Finally, we present a briefanalysis of the historical and current status ofCVD R & D.

CVD Applications

One of the earliest examples of a large-scaleCVD application was a carbonyl process for

Page 10: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Creighton and Ho4

refining of nickel, as developed by Mond,Langer, and Quincke in 1890.19 Many of theearly applications involved refining orpurification of metals and a limited number ofnon-metals by carbonyl or halide processes.Other early applications involved deposition ofcoatings for wear and corrosion resistance, andthe fabrication of structural shapes andcomponents. Most of the earliest work, up tothe mid 1960s, is reviewed in the book byPowell, Oxley, and Blocher.1 Many high volumeapplications, such as refining and the productionof powders and pigments, are obviously stillimportant economically, but most of the recentCVD R & D effort is aimed at thin-filmdeposition.

There is a great deal of ongoing R & Dregarding CVD of thin films used primarily fortheir mechanical or chemical properties. Manyof these are discussed in detail in other chaptersof this book, so we only briefly mention themhere. Chapter 11 discusses the use of CVD filmsfor tribological applications, chapter 13discusses CVD films used for corrosionprotection, and chapter 14 discusses protectiveCVD coatings for tool steels. Chapter 10discusses the CVD of diamond, and diamond-like carbon (DLC), which has generated atremendous interest level in the past decade (seealso last section, this chapter), and has significantcommercial applications. Diamond films aremainly used for their hardness, but applicationsutilizing its high thermal conductivity, chemicalinertness, or electronic properties are alsoimportant.

A great deal of CVD R & D in recentdecades, however, is focussed on thesemiconductor revolution. We devote somewhatmore space here to these applications, as theyare not covered elsewhere in this book. CVDhas been a critical enabling technology insilicon-based microelectronics; it is even usedat the earliest stage during the refining andpurification of elemental silicon. Depending onthe device, CVD processes are used fordepositing thin films of the active semiconductormaterial (e.g. doped Si), conductiveinterconnects (e.g. tungsten), and/or insulatingdielectrics (e.g. SiO

2), (see Figure 2).

The communications revolution also relieson a diverse set of CVD technologies. Somecomponents are similar to those used in siliconmicroelectronics, but many are unique, involvingcomplex epitaxial heterostructures of SiGe orcompound semiconductor (e.g., AlGaAs) alloysthat are required to yield high frequency (1-100GHz) device operation.20 The communicationrevolution also relies on optoelectroniccomponents, such as solid state diode lasers(another complex heterostructure device), andthese devices are often grown by CVD.7,21 Eventhe fiberoptic cables that transmit the opticalcomponent of the communications network aremanufactured using a CVD technique to achievethe desired refractive index profile.22

Optoelectronic material grown by CVD hasmany applications outside of thecommunications industry. One example is forsolid state lighting using light emitting diodes(LEDs). Recently, Nichia Chemical was the firstcompany to commercialize high brightness blueand green LEDs based on group-III nitridealloys, e.g. InGaN, which are grown on sapphiresubstrates using CVD technology.23 Thiscompany also introduced the first long-life bluelaser diode, based on the same material andtechnology. In addition to the myriad of lightingand signage applications using colored LEDs,there is a growing interest in generating solidstate white light sources to replace incandescentand perhaps even fluorescent sources.24 A solid-state white light source may be achieved bycombining LEDs of different wavelengths, orby pumping a phosphor with an ultraviolet LED.

Another exciting technology utilizing CVDis the production of microelectromechanicalstructures, or MEMS.25 Much of the MEMStechnology is derived from the siliconmicroelectronics technology, so it is notsurprising that CVD plays a crucial role. MostMEMS devices are fabricated frompolycrystalline silicon (polysilicon) filmsdeposited on silicon wafers, with intermediatesacrificial SiO

2 layers that are later removed by

chemical etching. Figure 3 shows an exampleof such a device, in this case a set of interlockinggears that are ~50-200 microns in diameter. Boththe polysilicon and oxide are deposited using

Page 11: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Introduction to Chemical Vapor Deposition 5

CVD or PECVD. The CVD steps define thestructure of the device perpendicular to thesilicon substrate, while numerous lithographicand etching steps define the structure in the othertwo dimensions. CVD is sometimes used toapply coatings to reduce friction after the 3-Dstructure is created. Work is also being done tointegrate MEMS devices with siliconmicroelectronic devices on the same chip.

The field of nanotechnology has generateda lot of recent interest, and focused researchprograms have been initiated in almost allindustrialized countries in the last five years. In2000 the U.S. launched the National Nano-technology Initiative, with plans to nearly doublethe nanoscale R & D effort.26 Nano-technologyis an extremely diverse topic, but some of thebest examples of existing nanoscale R & D are inthe area of epitaxial heterostructures for laserdiodes and LEDs grown by CVD.7,23,27 Many of

these devices contain two dimensional (2-D)quantum wells or superlattices composed ofstrained epitaxial layers that are 1-10 nm thick.An example of a strained layer superlattice isshown in Figure 4. In addition to quantum wells,laser structures such as the vertical cavity surfaceemitting laser (VCSEL) contain mirror stackscomposed of a large number of alternating layersof semiconductor material that are typically50-100 nm thick. The thickness of each layeroften must be controlled with a precision betterthan 1 nm. Despite this requirement thesedevices can be grown routinely with relativelyhigh yield in finely tuned and calibrated OMVPEreactors.28 A related CVD technique thatautomatically yields subnanometer control isknown as atomic layer epitaxy (ALE).29

An extension of 2-D quantum well R & Dinvolves the generation of quantum wires (1-D)and quantum dots (0-D).27,30 Quantum dots are

Fig. 2: Cross section of a silicon microelectronic memory circuit showing several materialsdeposited by CVD; polycrystalline silicon, tungsten (W), SiO2, and Si3N4. Photo courtesyof Pat Shea, Sandia National Laboratories.

Page 12: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Creighton and Ho6

Fig. 4: Strained layer superlattice of InAsSb on InSb with 10 nm layer thickness. Photo courtesyof R. M. Biefeld, Sandia National Laboratories.

Fig. 3: Example of a silicon surface micromachined gear train. Courtesy of the IntelligentMicromachine Initiative, Sandia National Laboratories.

Page 13: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Introduction to Chemical Vapor Deposition 7

often considered to be artificial atoms, wherethe effect of quantum confinement significantlyperturbs the normal bulk electronic propertiesof the material. One method of growing quantumdots involves depositing one material on anotherwith a large lattice mismatch. The example inFigure 5 is InAs on GaAs(100) by CVD. Due tothe interfacial properties of this highly strainedlayer, InAs spontaneously forms islands on the10-nm scale with a relatively narrow sizedistribution. The electronic and opticalproperties of the InAs quantum dots aredramatically different from bulk InAs, allowingfor novel device fabrication.

In addition to the numerous electronic andoptoelectronic applications mentioned above,novel applications of CVD are also being usedto generate macroscopic components (10 cm asopposed to 1 nm). One interesting example isthe production of Ir/Re thrust chambers for liquidrocket motors (see Figure 6).31 For this structure

a thick Rhenium CVD coating is applied to asacrificial molybdenum mandrel, which is laterremoved by etching. Another example is theproduction of large-scale infrared optical materials(ZnSe and/or ZnS up to several feet across).32

CVD Reactor Types

As mentioned in the introduction, CVDencompasses a wide range of reactor and processtypes. The choice of process/reactor isdetermined by the application via therequirements for substrate material, coatingmaterial and morphology, film thickness anduniformity, availability of precursors, and cost.Here, we discuss the general types of reactorsused for CVD, and refer the reader to the otherchapters in this and other books for detailedinformation on specific systems.

Hot wall reactors represent one of the majorcategories of CVD reactors. In such systems,

Fig. 5: Indium arsenide quantum dots deposited on gallium arsenide by OMVPE. Photo courtesyof Jeff Cederberg and R. M. Biefeld, Sandia National Laboratories.

Page 14: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Creighton and Ho8

Fig. 6: Rhenium rocket thrust chamber fabricated using CVD. Photo courtesy of B. H. Tuffias,Ultramet.31

shown schematically in Figure 7, the chambercontaining the parts is surrounded by a furnacethat heats the system. The parts are loaded intothe system, it is heated to the desiredtemperature, then the reactive gases areintroduced. The reactor may be equipped withshelves for coating many parts at once, or besized for specific large parts. These systems areoften run at very high temperatures, limited onlyby the materials used in constructing the furnace,and at reduced pressures, on the order of Torr totens of Torr. Figure 8 shows a schematic for ahot-wall reactor that has been tailored to low-pressure CVD (LPCVD) batch processing in themicroelectronics industry. In this case, aspecialized support holds a large number (overa hundred) of closely-spaced silicon wafers forsimultaneous processing. In general, hot wallreactors have the advantages of being able toprocess large batches of substrates, and having

relatively uniform substrate temperatures andthus coating thicknesses. The primarydisadvantages are that the walls get heavilycoated, requiring frequent cleaning and causingparticle problems, and that it involves higherthermal loads and energy usage.

Cold wall reactors are the other majorcategory of CVD reactors. In such systems, thesubstrates are heated but the walls are cooled.Figure 9 shows an example of a cold wallrotating disk CVD reactor.28,33 This system haswater-cooled quartz walls, with a rotating holderfor (silicon or compound semiconductor) wafersthat is resistively heated from below. Othercommercial cold-wall reactors include lampheated single-wafer reactors that are widely usedin microelectronics fabrication, and inductivelyheated horizontal flow reactors. Cold-wallreactors are often run at relatively high pressures,several hundred torr to atmospheric total

Page 15: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Introduction to Chemical Vapor Deposition 9

Fig. 7: Schematic drawing of hot-wall CVD reactor used to coat multiple parts.

Fig. 8: Schematic drawing of LPCVD furnace for batch processing of multiple silicon wafers.

Gas Inlet

HeatingElements

Wafers

Exhaust to Pump

Gas Inlet

HeatingElements

PartsBeingCoated

Shelves

Exhaustto Pump

Page 16: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Creighton and Ho10

Fig. 9: Schematic diagram of a cold-wall rotating disk CVD reactor used for depositing thin filmson semiconductor wafers.

Window forPyrometry

Screens forStraighteningGas Flow

Gas Inlet

Water CooledQuartz Walls

Exhaustto Pump

StationaryHeater

RotatingWater Holder

pressure, and usually have the reactive precursorsdiluted in a carrier gas. Most compoundsemiconductor CVD processes use reactors ofthis type. Cold wall reactors have the advantagesof reduced deposition of material on the walls,which means less cleaning, lower thermal loadson the substrates because of faster heat-up andcool-down times, lower energy consumption, andthe avoidance of vacuum equipment. The primarydisadvantages are larger temperature non-uniformities on the substrate, which may lead tofilm thickness non-uniformities, the smaller batchsizes, and possible thermal stresses on thesubstrates if the heating/cooling is too rapid.

A specialized variation of a cold wall reactoris the continuous reactor shown schematicallyin Figure 10. In this system, the surface to becoated moves underneath a set of gas injectorsand is heated from below. In some cases, thesubstrates (wafers) are placed on a belt moving

over a set of rollers. In other cases, such as thelarge-scale application of optical coatings (i.e.low-E coatings) to glass, the moving belt couldbe the float-glass sheet itself. These systems areessentially open to atmosphere – the reactivegases are contained by “curtains” of inert gason either side of the deposition zone. Suchsystems have the advantage that they can do verylarge scale production, and avoid vacuumequipment. The disadvantages are a relativelyhigh rate of gas consumption, potential non-uniformities in film thickness, relatively lowoperating temperatures because of the highvolumes of gas involved, and relatively lowefficiency for precursor use.

Plasma-enhanced (PECVD) or plasma-assisted (PACVD) CVD, (see chapters inRefs. 5, 14, and 15), constitute a smaller categoryof CVD processes that also involves a variety ofreactor designs. In these systems, a plasma is

Page 17: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Introduction to Chemical Vapor Deposition 11

used to dissociate the CVD precursor gas intosmaller, more reactive, molecules. This allowsdeposition of a thin film to occur at significantlyhigher rates and/or lower temperatures. Thesubstrate may still be heated, but usually to onlya few hundred degrees. Plasma reactors aregenerally operated at pressures in the mTorr toseveral Torr range. Most PECVD systemsinvolve low-temperature, or non-equilibriumplasmas (glow discharges) in which the electrontemperatures are much higher than the neutraland ion temperatures. Such reactors have manyconfigurations. In capacitively-coupled plasmareactors, the wafers are generally placed on thepowered electrode. In inductively-coupledsystems, shown schematically in Figure 11, theplasma is powered via a coil placed against partof the chamber, with the substrates placed on asurface below the plasma. In other systems (i.e.,electron cyclotron resonance, ECR systems),magnetic fields are applied to confine and

intensify the plasma. In comparison with thermalCVD processes, PECVD has the advantage oflower substrate temperatures, which means thatfilms can be deposited on substrates that are notstable at high temperatures. The disadvantages,however, are often poorer film quality, in termsof rougher film morphology, higher impurityincorporation, and ion damage to the films andsubstrates. In addition to glow-dischargeprocesses, there are also thin-film depositionprocesses that use high-temperature, or thermalplasmas. These are generally termed “plasmaspray” processes rather than PECVD processes.In these systems, a high temperature plasma isused to dissociate precursors, which are thencondensed onto the desired substrate. Theseplasmas are much more intense than the low-temperature plasmas and can atomize solidprecursors. Thus they are more general thanother CVD processes, but are harsh processesthat can significantly heat or melt the surface.

Fig. 10: Schematic diagram of a continuous flow, atmospheric-pressure CVD reactor.

Exhaust Exhaust

Inert Gas

ReactiveGasesInert Gas

MovingSubstrate

HeatingElements

Page 18: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Creighton and Ho12

Fig. 11: Schematic diagram of an inductively-coupled plasma reactor.

rf

CoilsWindow

Wafer

Laser or photon assisted CVD is aspecialized, not very common, category of CVDprocesses. In these cases, light from either a laseror a high-intensity lamp is used to promote thethin-film deposition. The photons can either beincident on the surface, or interact with the gas-phase molecules only. In both cases, the lightcan influence the chemical reaction either bysimple heating of the gas/surface, or byelectronic excitation or the molecules or solid.One of the advantages of laser-assisted CVD isfor spatially-resolved deposition, where the laseris tightly focused and can be used to “draw lines”of deposited material. This is only practical in alimited number of cases involving very high-value materials, such as correcting design ormanufacturing errors in prototypes, especiallyintegrated circuits.

Hot filament CVD is another small categoryof CVD processes that has proven useful in

recent years for the deposition of diamond andrelated materials. In this case, a very hot filament(1500-2000°C) is used to dissociate some of theCVD precursor gases, generally at pressures of10-100 Torr, creating reactive radical species.The substrate is mounted nearby the filament inthe process chamber, and is heated to moremoderate temperatures (500-1000°C).Combustion CVD and chemical vaporinfiltration (CVI) processes are covered inchapters 4 and 6 of this book, respectively, sowe do not cover them here.

Types of CVD Precursorsand Reactions

A wide variety of materials can be depositedusing CVD techniques. As one would expect, acorrespondingly wide range of chemical

Page 19: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Introduction to Chemical Vapor Deposition 13

precursors and reactions are involved. For anygiven material, there are generally a number ofprecursors and processes that can be used todeposit it. The choice of a particular process isbased on the purity, morphology, and costrequirements for the product films, pluscompatibility with the substrate materials. Thissection includes a general discussion of the typesof precursors and reactions used in CVD. Werefer the reader to the other chapters in the bookfor detailed discussions on the various CVDmaterials and applications. Comprehensivelistings of precursors and reactions for specificmaterials can also be found in other books.1,3,4

Precursor molecules generally consist of theelement(s) of interest (metal, semiconductor, oxide,etc.) chemically bonded to a variety of other atomsor groups of atoms (functional groups or ligands).These other atoms/groups react away during theCVD process leaving the desired species behindon the surface. Although pure-elementprecursors are occasionally used, the simplerprecursors are generally small molecules wherethe central atom is bonded to other species suchas hydride, halide (F, Cl, less commonly Br orI), or small organic radicals such as methyl orethyl groups. Other precursors are more complexmetalorganic molecules involving largerfunctional groups such as tertiary-butyl groupsor ligands ranging from small carbonyl (CO)groups to large diketonates. Representativeexamples of these groups and ligands are shownin Figures 12 and 13, respectively.

The choice of a CVD precursor isdetermined by many factors in addition to therequirement that it contain the element(s) ofinterest. These considerations include thedecomposition temperature required, vaporpressure of the precursor species, cost, andsafety. Some of these chemicals are quitehazardous; the dangers range from high toxicity(i.e. Ni(CO)

4 or AsH

3), to pyrophoricity (i.e.

SiH4) or a tendency to explode (i.e. B

2H

6), to

corrosiveness (i.e. TiCl4 producing HCl

byproducts), to combinations of the above. Themetalorganic precursors often have lower vaporpressures, higher costs, and lower hazards thanthe hydrides and halides. The functional groupsor ligands in these molecules can also lead tocontamination in the material if they are

incompletely removed, so a high purityrequirement for the final product can countagainst a metalorganic precursor.

The chemical reactions used in CVD,though widely varied, can also be organized intoclasses, such as pyrolysis, reduction, oroxidation, which we discuss next. We write thechemical reactions very simply, showing onlythe overall conversion of reactants to products.Here we are not concerned with the details ofthe reaction kinetics, although detailedexperimental and modeling studies have beendone for both gas-phase and/or surface reactions,in some systems (see, for example, Refs. 34 and35, respectively). CVD processes involve atrade-off between homogeneous andheterogeneous chemistry. To get high materialpurity and good film morphology, one oftenprefers that the rate-limiting chemistry occur atthe surface rather than in the gas phase. However,this can lead to relatively low deposition rates.Increasing the deposition temperature for a givenchemical reaction can lead to higher depositionrates. But this can also result in more extensivegas-phase reactions, which in turn can lead togas-phase nucleation of particles or poor filmmorphology. Some applications, such as powderproduction, plasma assisted and combustionCVD processes, of course, involve substantialamounts of homogeneous chemistry by design.

Pyrolysis reactions are among the simplest,most straightforward CVD reactions. Forexample, silicon films are often deposited bythermally decomposing silane: SiH

4 → Si(s) +

2 H2. If the conditions are chosen correctly, such

as the presence of large amounts of H2 as a carrier

gas, the chemistry will occur primarily on thesurface, rather than having substantialdecomposition of the silane in the gas-phase. Forthese small, light molecules, the gas-phaseunimolecular decomposition reactions arestrongly pressure dependent, so the use of lowpressures (~Torr), can also shut down thehomogeneous reactions and allow theheterogeneous reactions to dominate. Otherpyrolysis reactions used in CVD include thedecomposition of methane to form diamond ordiamond-like carbon: CH

4 → C(s) + 2 H

2, and

the decomposition of nickel carbonyl to depositnickel metal: Ni(CO)

4 → Ni(s) + 4 CO.

Page 20: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Creighton and Ho14

Fig. 12: Examples of functional groups often used in CVD precursor molecules.

Fig. 13: Examples of ligands often used in CVD precursors.

Cyclopentadienyl,Cp, C

5H

5–

Vinyltrimethylsilyl,VTMS, SiC

5H

12

Acetylacetonate,Acac, C

5H

7O

2-

Methyl, Me, CH3

Ethyl, Et, C2H5 Tertiary-butyl,t-Bu, C

4H

9

Dimethylamino,DMA, N(CH

3)

2

Phenyl, Ph, C5H

6 Isoproproxide,i-PrO, C

3H

7O

Page 21: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Introduction to Chemical Vapor Deposition 15

Reduction reactions of halide precursors arevery commonly used in CVD technologies. Inmany cases, the use of a hydrogen carrier gasprovides the reducing agent in excess, as in thecases of tungsten deposition from thehexafluoride: WF

6 + 3 H

2 → W(s) + 6 HF, or the

deposition of silicon from a chlorosilane: SiCl4

+ 2 H2 → Si(s) + 4 HCl. In other cases, a separate

reducing agent is added, as in the case oftungsten hexafluoride reduction by silane: 2 WF

6

+ 3 SiH4 → 2 W(s) + 3 SiF

4 + 6 H

2. There are a

few cases of intramolecular reduction, whereboth the halide and reducing groups arecontained in the same molecule. An example ofthis is the deposition of silicon carbide frommethyltrichlorosilane: CH

3SiCl

3 → SiC(s) +

3 HCl. Metal vapors are used as a reducer incertain processes, such as the case of titaniumtetrachloride reduction by magnesium: TiCl

4 +

2 Mg → Ti(s) + 2 MgCl2. Alternatively, the solid

substrate can act as a reducer, as in the case oftungsten hexafluoride reduction by silicon:2 WF

6 + 3 Si(s) → 2 W(s) + 3 SiF

4. Such processes

can also be called displacement reactions. Inthese cases, however, the deposition rate andfinal thickness of the CVD film become limitedby interdiffusion of the reacting species throughthe solid, which can be quite slow.

Oxidation and hydrolysis reactions arewidely used for the deposition of oxide materials.In these systems, oxygen or water is added tothe system to oxidize the main precursor,removing hydrogen or halide atoms from theelement of interest. A classic example is theoxidation of silane: SiH

4 + 2 O

2 → SiO

2(s) +

2 H2O, a mixture which incidentally can combust

or explode. Ozone (O3) is also used to accelerate

oxidization, usually for cases of less-reactiveprecursors such tetraethoxysilane (TEOS). N

2O

and CO2 are also used as oxidizers, as in: SiH

2Cl

2

+ 2N2O → SiO

2(s) + 2 HCl + 2 N

2, or ZrCl

4 +

2 CO2 + 2 H

2 → ZrO

2(s) +2 CO + 4 HCl.

Hydrolysis reactions are often used with metalchloride precursors: 2 AlCl

3 + 3 H

2O → Al

2O

3(s)

+ 6 HCl, or TiCl4 + 2 H

2O → TiO

2(s) + 4 HCl.

Solid substrates can also be directly oxidized,as in the steam oxidation of silicon: Si(s) +2 H

2O → SiO

2(s) + 2 H

2. This gives a high-

quality oxide, but at a relatively slow growth rate.

Disproportionation reactions are those inwhich two similar molecules, or parts (groups)of a molecule, exchange parts to form non-identical products. Many, but not all,disproportionation CVD reactions are alsopyrolyses. The decomposition of the copperdiketonates is a sterling example of a CVDdisproportionation. Cu(hfac)VTMS representsa copper atom bonded to a hexa-fluoroacetylacetonate ligand (hfac) and avinyltrimethylsilane ligand (VTMS). Copper isin a formal +1 oxidation state in this moleculedue to the neutral VTMS ligand. Two of thesecopper containing molecules can react on thesurface, producing one deposited copper atomon the surface (0 oxidation state), a copper atombound to two hfac ligands (+2 oxidation state),and two free VTMS molecules:2 Cu(hfac)VTMS → Cu(s) + Cu(hfac)

2 + 2

VTMS. The pyrolysis of tetraethoxysilane(TEOS) to silicon dioxide: Si(OC

2H

5)

4 →

SiO2(s) + 2 C

2H

4 + 2 C

2H

5OH, also illustrates

disproportionation. Although the chemistry isactually much more complex,36 it can be viewedas having two of the ethoxy groups in the TEOSmolecule disproportionate, producing anethylene molecule (C

2H

4) and ethanol molecule

(C2H

5OH), while leaving one of the O atoms

behind, bonded to the Si atom. A different kindof disproportionation reaction is the case ofaluminum deposition via the monochloride. Inthis case, AlCl is created in one region by thereaction of HCl or Cl

2 with Al(s). The AlCl is

transported to the substrate, where itdisproportionates to form AlCl

3 in the gas and

Al(s) on the surface. This is representative of achemical vapor transport process, which can beconsidered as a subset of CVD.

The last class of CVD reaction is what wewill call co-deposition. This indicates depositionfrom a mixture of precursors, where atoms fromseveral species contribute to the deposited film.This approach is generally used for thedeposition of compound materials, where thedesired film is composed of several elements.Examples of this kind of CVD system includethe deposition of gallium arsenide fromtrimethylgallium (TMG) and arsine: Ga(CH

3)

3

+ AsH3 → GaAs + 3 CH

4, as well as the

deposition of silicon nitride from silicon

Page 22: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Creighton and Ho16

tetrafluoride and ammonia: 3 SiF4 + 4 NH

3 →

Si3N

4 + 12 HF. Most of the oxidation and

hydrolysis CVD reactions also fall into the co-deposition category.

Fundamental ProcessesUnderlying CVD

There is a variety of fundamental physical andchemical principles that can control the depositionrate and quality of a film resulting from a CVDprocess. We briefly introduce them here, but referthe reader to Chapter 2 and other books on CVDfor more detailed discussions. The basic processesunderlying CVD can be subdivided into masstransport effects and chemical effects, each ofwhich can occur in both the gas and solid phases.Chemical effects can be further subdivided intothermodynamic effects and kinetic effects. In somecases, a particular effect can be separated out asrate limiting, and a CVD process can be said to be“mass-transport controlled” or “surface-kineticscontrolled.” In reality, transport and chemicalreactions are closely coupled, with their relativeimportance varying with the details of the operatingconditions.

Mass transport processes in the vapor phasecarry the input CVD precursors, often in a carriergas, from the injection point to the surface beingcoated. In most cases, the gas is in thecontinuum-flow regime, and is generallylaminar, but occasionally has some turbulence.Mass transport effects are more likely to be ratelimiting in larger CVD reactors, at highertemperatures and/or higher pressures. Especiallyat lower pressures, diffusional transport can bemore important than convective transport. Masstransport has a much weaker dependence ontemperature than chemical reaction kinetics.Thus, production CVD systems are oftenarranged to operate in a transport limited regime,in order to be more robust and easily controlled.Deposition rates can also be supply-limited, i.e.,determined by the rate at which reactants arefed into the system, rather than the rate at whichthey are transported through the reactor to thesurface. This can be manifested as loadingeffects, where the deposition rate varies stronglywith the area of the surface being coated.

In addition to the reactor scale, which ismeasured in meters, vapor-phase mass transporteffects can also be important in CVD at a muchsmaller scale, one measured in micrometers.This is often referred to as the “feature scale”.On this scale, the gas is generally in the transitionor molecular flow regimes, rather thancontinuum flow. Mass transport on this scaleplays an important role in the CVI processesdiscussed in Chapter 6. These phenomena arealso important in CVD involving high-aspectratio features, which can occur unintentionallyin some growth morphologies and deliberatelyin microelectronics applications.

Mass transport on the surface or within thesolid can also affect CVD processes, primarilyin the morphology of the growing film. Diffusionof atoms on the surface plays an important rolein the initial steps of film nucleation, and, incompetition with the chemical reactionsdepositing the atoms on the surface, candetermine the film morphology and composition.Diffusion of atoms within the solid can alsoaffect the composition of the film, especially incases where the solid substrate comprises oneof the reactants.

Chemical reactions occurring in the gas-phase can be more or less important in CVD,depending on the system, and can often beanalyzed in detail.34 Gas-phase reactions aremore likely to be important with the use of hightemperatures and high total reactor pressures,but less likely to be important at low reactorpressures. Many CVD systems are operated inways that minimize gas-phase reactions in orderto avoid particle formation that could interferewith the desired film deposition. Note that theabsence of homogeneous nucleation of particlesis not synonymous with the absence of gas-phasechemical reactions. In contrast, other CVDsystems utilize gas-phase reactions to convertreactant molecules that are relatively unreactiveat the surface into more reactive species.Examples where this strategy is used include thecombustion CVD processes discussed inChapter 4 and plasma-enhanced CVD processes.

Chemical reactions occurring on the surfaceare obviously responsible for the actualdeposition of the desired film. The kinetics ofsuch reactions can also be studied in detail35,37,38

Page 23: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Introduction to Chemical Vapor Deposition 17

although they may or may not determine theoverall deposition rate. However, surfacereactions generally play an important role indetermining film composition, impurityincorporation, and, in concert with surfacediffusion processes, film morphology.

An analysis of the thermodynamics of aCVD system, discussed further in Chapter 2, canprovide valuable assistance in the choice ofreactant concentrations, pressures andtemperatures to use for a given chemical system.Such an analysis can also provide informationon the composition of the deposited material aswell as the maximum efficiency for use ofreactants. However, a thermodynamical analysisonly gives information on the theoretically-possible result, which may not actually beachievable. CVD systems are generally notoperated at chemical equilibrium, although somesystems, such as the deposition of silicon fromchlorosilanes, come close.

The free energy of a proposed CVD reactionprovides a feasibility indicator for a specifiedset of conditions. A negative change in freeenergy (ΔG = ΔH - TΔS) indicates that theprocess is likely to proceed in the desireddirection (film deposition), whereas a positivechange in free energy indicates that it is likelyto proceed in the inverse direction (film etching).The free energy contains the heat of reaction(reaction enthalpy), but also contains an entropyterm. Thus, an endothermic reaction (positiveheat of reaction) can be used in a CVD processif the entropy change is positive enough or thetemperature high enough. An example of this isthe reaction between WF

6 and H

2, where the heat

of reaction at 500 K is + 83 kJ/mol, but this canbe overcome by a large increase in entropy to yieldan overall free energy change of –84 kJ/mol. Anexothermic reaction (negative heat of reaction) ismore likely to be usable in a CVD process,regardless of the sign of the entropy change.

Thermodynamic analyses, in the form ofcalculations of chemical equilibrium state, havebeen done for many CVD systems. However,they require data on the enthalpy, entropy andheat capacity for all molecules to be considered,and such data are not always available, especiallyfor the newer CVD precursors. Constraints canbe imposed on equilibrium calculations as a way

of starting to incorporate kinetic limitations. Forexample, if nitrogen is used as a carrier gas andhas been experimentally shown not to participatein the process, species such as N atoms and solidnitride species would be omitted from theequilibrium calculation to account for the kineticinaccessibility of these species.

The kinetics of a chemical reaction mayprevent a reaction from occurring even thoughthe thermodynamics indicates that it can occur.For example, silane is thermodynamicallyunstable relative to silicon and hydrogen evenat room temperature, but is kinetically limitedenough to allow it to be manufactured andshipped in gas tanks. In such cases, knowledgeof the kinetics of a chemical reaction, either inthe gas or on the surface, can prove crucial tounderstanding the CVD system. Acquiringknowledge of all the chemical kinetics of a CVDprocess is quite a large undertaking. Such a taskrequires chemical kinetic data acquired underconditions where there are no mass-transportlimitations, which are often lacking for themolecules used as CVD precursors. Despite this,a number of detailed chemical reactionmechanisms have been developed for a CVDsystems in recent years.39 However, for aparticular CVD process, it is often sufficient toidentify a few reaction steps that are slow enoughto be rate determining.

In recent years, the use of computationalmodels that describe the details of thesechemically reacting flows has been steadilyincreasing. They are being used to assist in thedevelopment of and optimization for CVDequipment and processes. This trend results froma convolution of several factors. First, theincreasing complexity of CVD processes andmore stringent requirements being placed onthem means that the traditional Edisonianapproach no longer works well enough and fastenough. Second, the cost of developing andbuilding CVD equipment has notably increased,especially for the microelectronics andoptoelectronics industries where a production-level CVD reactor can represent a multi-milliondollar investment. Third, the rapidly decreasingcost of computational power has shifted therelative costs of cutting metal and numericalsimulations in favor of the latter. This kind of

Page 24: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Creighton and Ho18

Journal Articles

Global Patents

US Patents

10000

1000

100

10

Num

ber

of D

ocum

ents

1970 1975 1980 1985 1990 1995 2000Year

modeling lies outside the scope of this book, buthas been described elsewhere.40

Status of CVD Research andDevelopment

After the development of CVD and itsapplications in the early part of this century, thescientific and technological interest in CVDcontinued to grow rapidly in the latter half ofthe 20th century. Here, we explore twoquantitative metrics for the status of CVD R&D:publications/patents and government researchgrants.

Examining publications first, we note thatbetween 1960-1980, approximately 5400 CVD-related publications were generated and arecompiled in the bibliography by D. T. Hawkins.2

More recent years, indexed in electronicdatabases, exhibit much higher publication rates.For example, Figure 14 shows the number ofjournal articles, worldwide patents, and USpatents generated each year from 1970 to 1999,

based on data in the Chemical Abstracts,41 andUS Patent Office databases.42 These threemeasures exhibit similar trends, increasing morethan 100-fold over this time period. Between1970 and 1990 these publications wereincreasing at an annual rate of ~21% per year.This growth slowed somewhat in the 1990s,perhaps due to the maturity of the field or limitson funding and manpower. This deceleration ismost noticeable in the number of journal articles,which has stabilized at approximately 4000/yearduring the last 5 years. Two other databases, theINSPEC®43 and Science-Citation Index44 werealso examined and exhibited the same trend fornumber of journal articles published per year.

The number of grants awarded for CVD-related research is another measure for gaugingthe interest in or activity level of the topic. Ananalysis of the RaDiUs® database45 shown inFigure 15, indicates that between 1993 and 1999the U.S. federal government has funded anaverage of 240 research grants per year. (Thisnumber includes new starts and ongoing grants).The total number of grants has declined

Fig. 14: Annual publications and patents in CVD R & D for the last 30 years.

Page 25: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Introduction to Chemical Vapor Deposition 19

somewhat since 1995, which may be anindication of the maturity of CVD research, atleast in the United States. Some of the declinein government funding is due to a slightdownward trend in the number of NationalScience Foundation (NSF) grants, but the largestdecline is in the number of Department ofDefense (DOD) grants. The DOD wasexperiencing budget cuts during this time period,so the decline in CVD-related grants may simplybe a reflection of the decline in the DOD R & Dbudget rather than a declining level of interestin CVD.

For the 1993-1999 period approximately53% of the grants were awarded by the NSF,36% awarded by the DOD, with the remainingfraction awarded by the Department of Energy(DOE), the National Aeronautics and SpaceAdministration (NASA), and other federalagencies. While NSF primarily funds academicresearch, a significant amount of their CVDfunding goes to small companies in the form ofSmall Business Innovation Research (SBIR)

awards. The other agencies, such as DOD, maydirect considerable resources towards companiesin order to develop state-of-the-art products, aswell as funding fundamental academic research.The NSF has a well-developed Internetaccessible database back to 1989, so it isrelatively easy to examine funding levels andtrends. From 1990-2000 the NSF has averagedabout 40 new CVD-related grants starts per year,with no discernable trend upwards ordownwards. The total number of CVD grantsfunded by NSF ranges from 100-150 per yearand exhibits a slight downward trend (seeFigure 15), as mentioned above. Although theNSF grants are just one metric of CVD fundingin the U.S., it is likely that agencies in othercountries would show similar trends. Theobservation of a nearly constant NSF award ratecould partially explain the nearly constant rateof journal article publication (see Figure 14) inthe mid-1990s.

In addition to the number of grants, theactual funding level of CVD R & D would be

300

250

200

150

100

50

01993 1994 1995 1996 1997 1998 1999

Year

Num

ber

of R

& D

Gra

nts

Fig. 15: Number of research grants in CVD funded by the U.S. government.

123456123456123456

NSF

DOD

DOE

Other

Page 26: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Creighton and Ho20

GaNAllnGaN14%

OtherCompoundSemiconductors15%

Mox, MNx

9%

SiO2, Si3N4

8%

SiC, BN,other Ceramics6%

Si, Ge13%

Metals8%

Misc.3%

C, Diamond,Nanotubes24%

another interesting metric to examine.Unfortunately this information is difficult toobtain from most federal agencies, with theexception of NSF. The total integrated NSF CVDfunding from 1989-1999 was approximately$103 million (U.S), representing 0.2% of thetotal NSF budget.

A different dimension of the publicationrecord can be analyzed by examining the typesof materials currently under investigation. Figure16 shows a random sample of 750 journalarticles published in 1999 categorized accordingto the material studied. Not surprisingly theresults indicate a wide spectrum of interest,including semiconductors, metals,superconductors, insulators and ceramics. OneCVD material that saw a rapid increase ininterest in the 1990s is diamond and amorphoussp3-carbon. Even more recently there has beena growing interest in synthesizing carbonnanotubes and fibers with CVD. Altogether,CVD of various forms of carbon accounted for

about a quarter of all 1999 journal articles.Studies of elemental (Si, Ge) and compound(e.g. GaAs, GaN) semiconductors comprise alarge fraction (~42%) of the literature, due tothe numerous electronic and optoelectronicapplications of these materials. Interest in thegroup-III nitride based semiconductors (e.g.GaN) has grown rapidly in the last few yearsand now accounts for about half of thecompound semiconductor publications. Metals(e.g. W, Cu) account for 8% of the CVDpublications, and metal oxides and nitridesaccount for another 9%. Most of the remainingliterature deals with SiO

2, Si

3N

4, and a variety

of other insulators and ceramics.

Summary

Chemical vapor deposition is a widely usedtechnique for materials processing. The fieldencompasses a large variety of applications,reactor designs, materials and chemistries. CVD

Fig. 16: CVD publications in 1999, categorized by material being deposited. (MOx, MN

x are metal

oxides and nitrides).

Page 27: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Introduction to Chemical Vapor Deposition 21

R & D has grown rapidly over the last fewdecades and is a very active field today. However,a leveling-off of publications and government-funded research grants over the last few yearssuggest that the field may be maturing. Thiswould be an expected result of a transition fromgovernment-funded R & D whose aim ispublications, to privately-funded work inindustry whose aim is proprietary intellectualproperty. This is consistent with the continuedgrowth in patents in the late 1990s observed inFigure 14. CVD remains a critical enablingtechnology for many industries, including thecomputing and communications revolutions. Itshould continue to be an active field of R & Dwell into the 21st century, as new materials andapplications develop.

Acknowledgment

This work was performed at SandiaNational Laboratories, partially funded by theDivision of Materials Science of the Office ofBasic Energy Sciences. Sandia is a multiprogramlaboratory operated by Sandia Corporation, aLockheed Martin Company, for the UnitedStates Department of Energy under Contract DE-AC04-94AL85000. The authors also gratefullyacknowledge the helpful scientific discussionswith W.G. Breiland and M.E. Coltrin.

References

1. C.F. Powell, J.H. Oxley, and J.M. Blocher,Jr., eds, Vapor Deposition, John Wiley, NY,1966.

2. D.T. Hawkins, Chemical Vapor Deposition,A Bibliography, Plenum, New York, 1981.

3. H.O. Pierson, Handbook of Chemical VaporDeposition, Second Edition, NoyesPublications, Norwich, New York, 1999.

4. C.E. Morosanu, Thin Films by ChemicalVapor Deposition, Elsevier, Amsterdam,1990.

5. M.L. Hitchman and K.F. Jensen, eds.,Chemical Vapor Deposition, AcademicPress, San Diego, CA, 1993.

6. A. Sherman, Chemical Vapor Depositionfor Microelectronics: Principles,

Technology and Applications, NoyesPublications, Park Ridge, NJ, 1987.

7. G.B. Stringfellow, Organometallic Vapor-Phase Epitaxy: Theory And Practice,Academic Press, San Diego, 1999.

8. A.C. Jones and P. O’Brien, CVD ofCompound Semiconductors, VCHPublisher, NY, 1997.

9. T. Kodas and M. Hampden-Smith, eds., TheChemistry of Metal CVD, VCH Publishers,NY, 1994.

10. G.S. Sandhu, H. Koerner, M. Murakami,Y. Yasuda, and N. Kobayashi, eds.,Advanced Metallization Conference in1998, Materials Research Society,Warrendale, PA, 1999.

11. M.E. Allendorf and C. Bernard, eds.,Proceedings of the Fourteenth InternationalConference on Chemical Vapor Deposition,The Electrochemical Society, Pennington,NJ, 1997.

12. T.M. Besmann, M.D. Allendorf, McD.Robinson, R.K. Ulrich, eds., Proceedingsof the Thirteenth International Conferenceon Chemical Vapor Deposition, TheElectrochemical Society, Pennington, NJ.,1996.

13. K.F. Jensen and G.W. Cullen, eds.,Proceedings of the Twelfth InternationalConference on Chemical Vapor Deposition,The Electrochemical Society, Pennington,NJ, 1993.

14. J.L. Vossen and W. Kern, Thin FilmProcesses II, Academic Press, San Diego,CA, 1991.

15. D.L. Smith, Thin-Film Deposition,McGraw-Hill, New York, 1995.

16. P.D. Dapkus, Metalorganic Chemical VaporDeposition, Ann. Rev. Mater. Sci., Vol.12,1982, p.243.

17. S.P. DenBaars and S. Keller, MetalorganicChemical Vapor Deposition (MOCVD) ofGroup III Nitrides, Semiconductors andSemimetals, Vol.50, 1998, p.11.

18. T.F. Kuech, Metal-Organic Vapor PhaseEpitaxy of Compound Semiconductors,Mater. Sci. Rep., Vol.2, 1987, p.1.

19. L. Mond, C. Langer, and F. Quincke, Actionof Carbon Monoxide on Nickel, J. Chem.Soc., Vol.57, 1890, p.749.

Page 28: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Creighton and Ho22

20. R.A. Kiehl and T.C.L.G. Sollner, eds., HighSpeed Heterostructure Devices, AcademicPress, Boston, 1994.

21. P.S. Zory, Jr., ed., Quantum Well Lasers,Academic Press, San Diego, 1993.

22. C. Yeh, Handbook of Fiber Optics, AcademicPress, San Diego, 1990, pp.24-28.

23. S. Nakamura and G. Fasol, The Blue LaserDiode: GaN Based Light Emitters andLasers, Springer, Heidelberg, 1997.

24. R. Haitz, F. Kish, J. Tsao, and J. Nelson,Another Semiconductor Revolution: ThisTime It’s Lighting!, CompoundSemiconductor, Vol.6, No.2, p.34-37.

25. J.J. Sniegowski and M.P. de Boer, IC-Compatible Polysilicon SurfaceMicromachining, Annu. Rev. Mater. Sci.,Vol.30, 2000, pp. 299-333.

26. http://www.nano.gov.27. Zh.I. Alferov, The History and Future of

Semiconductor Heterostructures,Semiconductors, Vol. 2, 1998, pp.1-14.

28. W.G. Breiland, M.E. Coltrin, J.R.Creighton, H.Q. Hou, H.K. Moffat, andJ.Y. Tsao, Organometallic Vapor PhaseEpitaxy, Mater. Sci. Eng., Vol.R24, 1999,pp.241-274.

29. T. Suntola, Atomic Layer Epitaxy,Handbook of Crystal Growth 3: Thin Filmsand Epitaxy, Part B: Growth Mechanismsand Dynamics, D.T.J. Hurle, ed., Elsevier,Amsterdam, 1994, p.605.

30. M. Grundmann, The Present Status ofQuantum Dot Lasers, Physica E, Vol.5,2000, pp.167-184.

31. Ultramet, Pacoima, CA. www.ultramet.com.32. Rohm and Haas Company, Advanced

Materials Division, Woburn, Massachusetts.www.cvdmaterials.com.

33. W.G. Breiland and G.H. Evans, Design andVerification of Nearly Ideal Flow and HeatTransfer in a Rotating Disk Chemical VaporDeposition Reactor, J. Electrochem. Soc.,Vol.138, 1991, pp.1806-1816.

34. P. Ho, M.E. Coltrin, and W.G. Breiland,Laser-Induced Fluorescence Measurementsand Kinetic Analysis of Si Atom Formationin a Rotating Disk Chemical VaporDeposition Reactor, J. Phys. Chem., Vol.98,1994, pp.10138-10147.

35. J.R. Creighton and J.E. Parmeter, MetalCVD for Microelectronic Applications: AnExamination of Surface Chemistry andKinetics, Critical Reviews in Solid State andMaterials Sciences, Vol 18, 1993, pp.175-238.

36. M.E. Coltrin, P. Ho, H.K. Moffat, andR.J. Buss, Chemical Kinetics in ChemicalVapor Deposition: Growth of Silicon Dioxidefrom Tetraethoxysilane (TEOS), Thin SolidFilms, Vol.365, 2000, pp.251-263.

37. S.M. Gates, Surface Chemistry in theChemical Vapor Deposition of ElectronicMaterials, Chem. Rev., Vol.96, 1996,p.1519.

38. J.G. Ekerdt, Y.M. Sun, A. Szabo,G.J. Szulczewski, and J.M. White, Role ofSurface Chemistry in Semiconductor ThinFilm Processing, Chem. Rev., Vol.96, 1996,p.1499.

39. C.R. Kleijn, Computational Modeling ofTransport Phenomena and DetailedChemistry in Chemical Vapor Deposition -A Benchmark Case, Thin Solid Films,Vol.365, 2000, pp. 294-306, and referencestherein.

40. M. Meyyappan, ed., ComputationalModeling in Semiconductor Processing,Artech House, Norwood, MA, 1995.

41. SciFinder5, Chemical Abstracts Service(CAS), 2540 Olentangy River road,P.O. Box 3012, Columbus, Ohio, 43210,www.cas.org.

42. United States Patent and Trademark Office,Crystal Park 3, Suite 461, Washington,DC 20231, www.uspto.gov.

43. Information Service in Physics,Electrotechnology and Control (INSPEC),The Institution of Electrical Engineers,Michael Faraday House, Stevenage, Herts,SG1 2AY, U.K., www/iee.org.uk.

44. SciSearch® Database of the Institute forScientific Information®, Inc., (ISI®),Philadelphia, Pennsylvania, USA,www.isinet.com.

45. Research and Development in the UnitedStates (RaDiUs®), database developed byRAND and the NSF; RAND, 1700 MainStreet, P.O. Box 2138, Santa Monica,California 90407-2138, www.rand.org.

Page 29: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chapter 2

Basic Principles of CVDThermodynamics and Kinetics

A.K. Pattanaik and V.K. SarinCollege of EngineeringBoston UniversityBoston, MA 02215

Introduction

Surface modification, in general, providesunique ways to change the surface and nearsurface regions of a material. In structuralapplications, it is typically used to improvedurability, enhance resistance to tribologicaleffects such as friction and wear, and increaseresistance to chemical effects such as oxidationand corrosion. As the requirements becomeincreasingly complex and technicallydemanding, a wide spectrum of properties arebeing desired from coating systems. There is,thus, a critical demand for systematicallydesigned and controlled surface modificationprocesses based on a fundamentally soundunderstanding of the coating system dynamicsand process kinetics.

Chemical vapor deposition (CVD) is anatomistic surface modification process where athin solid coating is deposited on an underlyingheated substrate via a chemical reaction fromthe vapor or gas phase. The occurrence of thischemical reaction is an essential characteristicof the CVD method. The chemical reaction isgenerally activated thermally by resistance heat,RF, plasma and laser.1 Furthermore, the effectsof the process variables such as temperature,pressure, flow rates, and input concentrations onthese reactions must be understood. With properselection of process parameters, the coatingstructure/properties such as hardness, toughness,elastic modulus, adhesion, thermal shockresistance and corrosion, wear and oxidationresistance can be controlled or tailored for avariety of applications. The optimumexperimental parameters and the level to which

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p23-43 DOI:10.1361/chvd2001p023

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 30: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Pattanaik and Sarin24

they must be controlled, in order to obtainreproducible results, requires a detailunderstanding of each individual system.However, the process can be controlled throughthe knowledge of the gas flow dynamics, masstransport, equilibrium thermodynamic yields,and possible chemical kinetic rate-limitingmechanisms of the system.

The logical approach to the development ofa CVD coating is to first determine the feasibilityof a particular coating system. The process itselfis basically governed by the following twoimportant mechanisms:• Thermodynamics which determines driving

force and• Kinetics which determines the rate control

of the chemical reactions.This chapter discusses the basic principles

of CVD thermodynamics and kinetics with a

brief review of the CVD process. Variousfundamental aspects involved in a chemicalvapor deposition process are shown in Figure 1.

CVD Process

Chemical vapor deposition is a verycomplex process. There are numerous factorssuch as type, shape, and size of reactor, gas flowrate and arrangement that can affect theproperties of the coating. Therefore, it isnecessary to review briefly the process itselfwhich includes reactor, reaction zones,temperature, pressure, precursors, and gas flowdynamics before discussing the thermodynamicsand kinetics of the CVD.

In general, CVD process is classified andcategorized in various ways such as low and hightemperature, low and high pressure, cold and hot

Chemical Vapor Deposition

Thermodynamics Kinetics

Chemical reactions Identification of condensed phases Partial pressures of all gaseous species Equilibrium deposition rates Optimization of process parameters

Mass Transport Surface Kinetics

Boundary Layer Diffusion Growth rate

Nucleation Growth

Adsorption of reactants Desorption of reactants Surface diffusion Two-dimensional Three–dimensional step sources

Adsorption of reactants Desorption of reactants Surface diffusion Reaction at steps Desorption of products

Fig. 1: Various fundamental aspects involved in a chemical vapor deposition process.

Page 31: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Basic Principles of CVD Thermodynamics and Kinetics 25

wall, close and open system. Irrespective of type,a CVD process must have the basic threecapabilities,i. A process controller to deliver and control

all precursors into the chamber,ii. An energy source to drive the chemical

reaction, andiii. An exhaust system to remove the by-

product and depleted gases from thechamber. Most commercial CVD reactorsare either hot-wall or cold-wall.2

Hot-wall reactor is a high temperature chamberin which the substrate is placed for coating. Inthis reactor, including the substrate, all otherparts (inlet and outlet tubes) inside the chamberget coated. On the other hand, in a cold-wallreactor only the substrate is heated, eitherresistively or inductively. Although the cold-wallreactor is more complex, it allows greater controlover the deposition process. However, severenatural convection can occur due to the steeptemperature gradient around the substrate.Depending upon the reactor geometry, the gasflow pattern can be quite complicated. In mostcases, laminar gas flow is desirable but somelocal areas of turbulent flow may exist. Thenature of the gas flow patterns is influenced bythe kinetics of the various chemical reactionswhich may occur in the bulk gas or on the heatedsurfaces inside the reaction chamber.

For most coatings used in structuralapplications, the precursor gases frequentlyinclude inert gases such as argon and nitrogen,reducing gases such as hydrogen, and a varietyof reactive gases such as methane, carbondioxide, water vapor, ammonia, chlorine, arsine,boron trichloride, hydrogen chloride, hydrogenfloride, tungsten hexafloride. Some of the highvapor pressure liquids e.g. titanium tetrachloride(TiCl

4), silicon tetrachloride (SiCl

4) are heated

to a relatively moderate temperature (about60°C), so that their vapor can be carried into thereaction chamber by bubbling a carrier gas(hydrogen or argon) through the liquid. Someprecursors are formed by converting a solidmetal or a compound into a vapor by a reaction,for example, between aluminum metal withchlorine or hydrochloric acid to form aluminumchloride (AlCl

3). Typically, for these gas

mixtures, process temperatures range from about

600°C to about 1500°C, depending upon thecoating/substrate combination. Furthermore,simultaneous deposition of more than onematerial to obtain composite (i.e. two-phase)coatings can be effectively used to tailor thestructure and therefore the properties of suchcoating.3-6 These coatings are found in numerousapplications which includes microelectronics,decorative, corrosion and oxidation resistance,metal cutting, and nuclear. Widecommercialization of the CVD process is dueto its ability to produce a large variety of coatingsof pure and multi-component compounds.

Depending upon the applications, manyvariations of CVD processing are available.7 Oneof the variants includes moderate-temperatureor metal-organic CVD (MTCVD / MOCVD) inwhich reaction temperature fall in the range of500 to 850°C. This is usually achieved by usingmetal-organic precursors, which decompose atrelatively lower temperature.1 Other variantsinclude plasma-assisted (or -enhanced) CVD(PACVD or PECVD) and laser CVD (LCVD).Basically, the chemical reactions in the vapor phaseare activated by the creation of a plasma in the gasphase, or by directing a laser beam into the gasmixture. This process facilitates more energeticactivation of the vapor phase reactions and canlower the reaction temperature further.

Deposition reactions generally involvecomplicated chemical reaction schemes,however overall CVD reactions can be classifiedto include pyrolysis, reduction, oxidation,hydrolysis, disproportionation, or combinationsof these.8 Additionally, in certain cases thesubstrate may be a part of the reaction or mayact as a catalyst. Coatings are generally grownat sub-atmospheric pressures, although highgrowth rate depositions have been done at (orclose to) atmospheric pressures.

A sequence of physico-chemical processsteps take place1, 9-13 in a CVD process. First thereagents have to be supplied to the surface beingcoated. The zone between the bulk of the flowinggas and the substrate surface is the location ofthe first kinetic barrier, which has to be crossedby diffusion of gaseous species. Next the speciesare adsorbed on the substrate surface, andmigrate, react and the product to be deposited isformed to form nuclei.

Page 32: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Pattanaik and Sarin26

In the case of laminar flow which istypically assumed in all models and calculations,the gas velocity is zero at the substrate surfaceand increases to a constant value (the bulk gasflow velocity) at some distance from thesubstrate. Boundary Layer Theory (BLT)provides the key to understanding the dynamicsof these surface reactions.1,2,9,12 It can help couplethe chemical and mass transport processesoccurring near or on the heated substrate surfaceas gas flows over it. For fluid dynamic reasons amore or less stagnant boundary layer occurs inthe vapor adjacent to the substrate/coatinginterface. During the coating process, thegaseous reactants and products are transportedacross reaction zone 1 (Figure 2) as well as inthe main gas stream, where homogeneousnucleation reactions in the vapor can occur.These reactions are usually undesirable, and canlead to flaky and/or non-adherent coatings.Heterogeneous reactions, which in manysystems determine growth rate, occur at theinterface between zones 1 and 2 (vapor/coating).The typically high temperatures used in CVDcan and do lead to various solid state reactions(i.e. phase transformations, grain growth) duringthe deposition process in zones 2 and 3 (coatingand the substrate). At the interface between these

zones (2 and 3) diffusion can result in theformation of various intermediate phases, whichare of importance to the adherencecharacteristics of the coating.

Thermodynamics of CVD

Significant progress has been made inunderstanding and predicting the thermodynamicbehavior of the CVD process.14-22 Thermodynamiccalculations based on minimization of the Gibbsfree energy of the gas-solid system are useful inpredicting the influence of process variables suchas temperature, pressure, inlet gas concentrationson the phase assemblage, the equilibriumdeposition efficiency, and the concentration andthe molecular species in the exhaust gas. Thethermodynamic equilibrium state of the CVDsystem can be calculated fori. Partial pressures of all the gaseous species,ii. The identification of the condensed phase,iii. Equilibrium deposition rates possible, andiv. Their theoretical efficiencies.

Such calculations provide limiting criteria,and aid in establishing rate limiting gaseousspecies. The reliability of these calculations, isof course, dependent on the availability andaccuracy of thermochemical data as well as the

Flow of Reactants

Boundary Layer Zone 1

Coating Zone 2

Substrate Zone 3

Interface1 & 2

Interface2 & 3

Fig. 2: A schematic diagram of the boundary layer model showing the reaction zones for Al2O

3

coating.

Page 33: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Basic Principles of CVD Thermodynamics and Kinetics 27

identification of all the critical vapor species andcondensed phases. Additionally, it should benoted that chemical equilibrium is rarelyachieved under most CVD flow conditions.1

Hence the thermodynamic calculations work asa guidance for the CVD process.

The evaluation of the equilibriumconcentrations from the equilibrium constantmight involve some trial and error since thenumber of gas species could be greater than two,the number of independent relations. One ofthese relations is the expression for theequilibrium constant in terms of the standard freeenergy of the reaction and the temperature andthe other results from the condition that thesystem pressure is the sum of partial pressures.Using computer programs, calculations of theconcentrations of gas and condense phasespecies are made to minimize the overall Gibb'sfree energy for a given system at a fixedtemperature and pressure. The Gibbs free energy,G, of a system is given by,

G = H – TS (1)

where H is the enthalpy, S is the entropy, and Tis the temperature of the system. The free energyof formation of all vapor and condensedconstituents of the system are the most usefuldata. The free energy of a chemical reaction(ΔGo

r) can be calculated from the values of ΔGo

f

and ΔGof according to the following equation.

ΔGor = ∑ ΔGo

r products – ∑ ΔGo

r reactants (2)

where ΔGor is related to the equilibrium constant

kp,

ΔGor = 2.3 RT log k

p(3)

the equilibrium constant is related to the partialpressure in the system as,

tstanreacP

productsP

pi1i

n

i1i

n

k=

=

Π

Π= (4)

CVD normally involves a multi-componentand a multi-phase system. There are variousways to calculate thermodynamic equilibriumin multicomponent systems.23 The following isa brief discussion of the optimization methodwhere the minimization of Gibbs free energy canbe achieved. The free energy G of a systemconsisting of m gaseous species and s solidphases can be described by,

G n Gn

Nn Gi

g

figi n

mig

g

i

s

i

s

fis

i

= + + += =∑ ∑( )Δ Δ� �RTlnP Tln2

1(5)

where ngi

number of moles of gaseousspecies,

nsi

number of moles of solid speciesN

gtotal number of moles of gaseousspecies,

P total pressure,ΔGo

figFree energy of formation at CVD,temperature of gaseous species,

ΔGofis

Free energy of formation at CVD,temperature of solid species.

The objective of optimization calculationsis to determine the set of (n

i) which minimizes

G. The solution to the above equation can bemade using computer based programs such asSOLGAGMIX-PV24,25 and FACT.26 Theaccuracy and usefulness of the thermodynamiccalculations depends strongly on the accuracyof the thermochemical data used. The databasefor these computer based programs are derivedfrom various sources27-29 and JANAF tables27

which consists of thermal and formationfunctions. Both these functions are temperaturedependent. Thermal functions are heat capacity,enthalpy increments, entropy, and Gibbs energyfunction. The formation functions consists ofenthalpy of formation, Gibbs energy offormation, and the logarithm of the equilibriumconstant of formation.

Δ Δf f compound

elements

H T H K H T H K

H T H K

� � � �

� �

( ) ( . ) [ ( ) ( . )]

[ ( ) ( . )]

= + −

− −∑29815 29815

29815 (6)

The Gibbs energy of formation is readilycalculated from the enthalpy of formation whenthe entropies of the elememts are known. Thus,

Δ Δf f compound elementsG T H T T S T S T� � � �( ) ( ) { ( ) ( ) }= − − ∑ (7)

The logarithm of the equlibrium constantof formation is thus found from the relation,

ΔfGo(T) = -RT ln k

f(8)

Figure 3 shows a typical JANAFthermochemical table for Al

2O

3.27 This type of

data is made available in the computer database.With specified temperature, pressure and inputconcentrations, the equilibrium compositions ofgaseous and solid phases can be obtained. Thesecalculations can then be used to determine the

Page 34: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Pattanaik and Sarin28

range of input conditions which will produce aspecific condensed phase(s) at equilibrium.30-35

This type of information can be represented bywhat has been known as "CVD PhaseDiagrams".19,20,36-38 These phase diagrams aretherefore very useful in providing the range ofprocess parameters.

For better understanding of the CVD phasediagrams, examples based on single componentsystem such as tungsten carbide coating andmulti-component system such as mullite coatingare discussed below for various processconditions.

Tungsten carbide (WC) is known asrefractory carbide and is widely used in cuttingtool industry. Deposition of WC is consideredaccording to the following chemical reactionusing the WF

6-H

2-CH

4-Ar system.

WF H CH WC HFg g g s g6 2 4 6( ) ( ) ( ) ( ) ( )+ + → + (9)

The thermodynamic calculations were madeat temperatures from 500-1200°C and totalpressure from 20-200 torr.19 The CVD phasediagrams (Figure 4) is a plot of the equilibriumcondensed phases which are based on the WF

6

and CH4 concentrations. It is observed that as

the temperature decreases, the WC phase regionexpands. As the pressure increases, the WCphase region shifts toward a higher concentrationof CH

4. Thermodynamic calculations predict

that at a particular CH4 concentration, WC or

WC + C will form. The line delineating the W2C

+ WC or the W2C region from the WC region shows

a linear relation to the WF6 concentration at higherW concentration. This can be expressed as,

X a bXCH WF4 6= + (10)

Fig. 3: A typical JANAF thermochemical table for Al2O

3.27

Aluminum Oxide, Alpha (Al2O3) Al2O3(Cr)

Enthalpy Reference Temperature = Tr = 298.15 K Standad State Pressure = p° = 0.1 MPa

0 0 0 Infinite -10.020 -1663.608 -1663.608 Infinite 100 12.885 4.295 101.230 -9.693 -1668.606 -1641.642 857.506 200 51.120 24.880 57.381 -6.500 -1673.383 -1612.656 421.183

298.15 79.015 50.950 50.950 0 -1675.692 1582.275 277.208 300 79.416 51.440 50.951 0.147 -1675.717 -1581.698 275.398 400 96.088 76.779 54.293 8.995 -1676.342 -1550.226 202.439 500 106.131 99.388 61.098 19.145 -1676.045 -1518.718 158.659 600 112.545 119.345 69.177 30.101 -1675.300 -1487.319 129.483 700 116.926 137.041 77.632 41.586 -1674.391 -1456.059 108.652 800 120.135 152.873 96.065 53.447 -1673.498 -1424.931 93.038 900 122.662 167.174 94.296 65.591 -1672.744 -1393.908 80.900 1000 124.771 180.210 102.245 77.965 -1693.394 -1361.437 71.114 1100 126.608 192.189 109.884 90.535 -1692.437 -1328.286 63.075 1200 126.252 203.277 117.211 103.280 -1691.366 -1295.228 56.380 1300 129.737 213.602 124.233 116.180 -1690.190 -1262.264 50.718 1400 131.081 223.267 130.965 129.222 -1688.918 -1229.393 45.869 1500 132.290 232.353 137.425 142.392 -1687.561 -1196.917 41.670 1600 133.361 240.925 143.628 155.675 -1686.128 -1163.934 37.999 1700 134.306 249.039 149.592 169.060 -1684.632 -1131.342 34.762 1800 135.145 256.740 155.333 182.533 -1683.082 -1098.841 31.888 1900 135.896 264.067 160.864 196.085 -1681.489 -1066.426 29.318 2000 136.608 271.056 166.201 209.710 -1679.858 -1034.096 27.008 2100 137.319 277.738 171.354 223.407 -1678.190 -1001.849 24.920 2200 138.030 284.143 176.336 237.174 -1676.485 -969.681 23.023 2300 138.741 290.294 181.158 251.013 -1674.743 -937.593 21.293

2327.000 138.934 291.914 182.434 254.761 -----Alpha ↔ Liquid------- 2500 140.206 301.922 190.360 278.905 -1671.142 -873.645 18.254 2600 140.959 307.435 194.757 292.963 -1669.279 -841.781 16.912 2700 141754 312.770 199.030 307.098 -1667.369 -809.990 15.670 2800 142.591 317.940 203.185 321.315 -2253.212 -776.335 14.483 2900 143.511 322.960 207.229 335.620 -2249.002 -723.665 13.035 3000 144.474 327.841 211.166 350.019 -2244.729 -671.139 11.686

––––––––JK-1mol-1–––––––– ––––––––––kJ mol-1–––––––––––Cp° S° -[G°-H°(Tr)]/T H°-H°(T

r) Δ

fH° Δ

fG° Log Kf

T/K

Page 35: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Basic Principles of CVD Thermodynamics and Kinetics 29

where a = 0.000511, and b = 1.15 for theconditions 900°C and 20 torr. Using the CVDphase diagrams, the initial experimentalconditions were established. Experiments werecarried out using WF

6 concentration of 0.00165

and CH4 concentration of 0.0110 at 20 torr and

at various temperatures. At a temperature of850°C a mixture of W

2C and WC was obtained,

monolithic hexagonal WC was obtained attemperature greater than 950°C. As expected theexperimental results were not in total agreement

with the phase diagrams. Monolithic WC couldnot be deposited below 900°C, rather, W

2C and

W3C are obtained. This is due to the slow

decomposition rate of the CH4 and the increased

stability of hydrocarbons in the gas phase atlower temperatures. A typical SEM micrographof the cross-section of the WC coating on aWC/Co substrate using the WF

6-H

2-CH

4-Ar

system is shown in Figure 5.Similarly, CVD phase diagrams for the

mullite coatings from the AlCl3-SiCl

4-CO

2-H

2

Fig. 4: CVD phase diagrams of the WF6-H2-CH4-Ar system at 1000°C (a) 20 torr and(b) 200 torr.19

Mol

e F

ract

ion

CH

4

Mole Fraction WF6

WC+C

W2C+WC

WC

W2CW+W2C

1

0.1

0.01

0.001

0.0001

0.000010.00001 0.0001 0.001 0.01 0.1 1

(a) 1000°C, 20 torr

Mol

e F

ract

ion

CH

4

Mole Fraction WF6

WC+C

W2C+WC

WC

W2C

W+W2C

1

0.1

0.01

0.001

0.0001

0.000010.00001 0.0001 0.001 0.01 0.1 1

(b) 1000°C, 200 torr

Page 36: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Pattanaik and Sarin30

system at various conditions are illustrated inFigure 6.20 Mullite has been targeted as one ofthe prime protective coating materials for siliconbased ceramics such as SiC and Si

3N

4 for

elevated temperature applications. The overallchemical reaction for mullite coating using theAlCl

3-SiCl

4-CO

2-H

2 system is,

6AlCl 2SiCl 13CO 13H3 4 2 2g g g g( ) ( ) ( ) ( )+ + + →

3Al O 2SiO 13CO 26HCl2 3 2 s g g( ) ( ) ( )+ +• (11)

Figure 6 shows a ternary CVD phasediagram of the AlCl

3-SiCl

4-CO

2-H

2 system at

1000°C and 75 torr. As is evident, Al2O

3-based

products are formed along the AlCl3-CO

2 line

and SiO2-based products along the SiCl

4-CO

2

line. Pure oxides are predicted to form at highCO

2 concentrations, oxides + carbon in the

medium CO2 concentration, and oxides +

carbides in the low CO2 concentration. Excess

carbon in the low CO2 portion of the diagram

can be attributed to the lack of availability of O2

in the reaction to form the gaseous by-productCO. The calculations were made keeping themolar ratio of H

2:(AlCl

3-SiCl

4-CO

2) at 5:1.

According to the phase diagram, pure mullitecan be obtained only as a line compound,

between the mullite + Al2O

3 and mullite + SiO

2

regions. Analysis of the phase diagrams revealedthat mullite has higher Gibbs free energy offormation (ΔG = -1470.52 kcal/mole) than bothAl

2O

3 (ΔG = -327.48 kcal/mole) and SiO

2

(ΔG = -248.38 kcal/mole). Thus thermo-dynamics predicted that all the oxygen availablemight be used to first form mullite, then Al

2O

3,

and finally SiO2. However, due to kinetic

limitations this sequence is not observedexperimentally. It is therefore projected thatmullite can be obtained with these startingreactants if the stoichiometry of AlCl

3:SiCl

4 is

maintained at the same level as that of mullite(Al:Si = 3:1). Similarly, thermodynamiccalculations made at lower temperature, 800°C,predicted the formation of sillimanite (Al

2O

3 .

SiO2) instead of mullite. Lower pressures are

preferred for obtaining carbon-free mullitedeposits as carbon has a higher affinity to formgaseous products such as CH

4 at lower pressure

than forming a solid deposit. In order to optimizethe process parameters, concentration anddeposition efficiency curves (Figure 7) can beconstructed, for example along the regionmarked by section AA of the phase diagram

WC

WC-Co

WC

WC-Co

Fig. 5: Scanning electron micrograph of the cross-section of a typical WC coating on WC-Cousing WF

6-H

2-CH

4-Ar system.19

5 μm

Page 37: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Basic Principles of CVD Thermodynamics and Kinetics 31

Fig. 6: CVD ternary phase diagram of the AlCl3-SiCl

4-CO

2-H

2 system at 1000°C and 75 torr.20

(Figure 6). Accordingly, the initial processparameters were set CO

2 concentration at 90

moles and total chloride (AlCl3 + SiCl

4)

concentration at 10. A cross-section of a typicalmullite coating on SiC at 950°C and 75 torr isshown in Figure 8. Figure 9 shows the cross-sectional TEM and electron diffraction patternof a typical mullite coating on SiC. Initially atthe substrate/coating interface a nano-crystallinezone is observed which converts to crystallinemullite when Al:Si = 3:1 in the coating. Variousstudies on CVD mullite coatings can be foundfrom previous publications.6,20,39-44

CVD phase diagrams constructed using theSOLGAS-MIX-PV/FACT program have beenshown to be powerful tools in the systematicdevelopment of CVD coatings. Their primarybenefit is to initially establish the feasibility ofa particular system and establish initial processparameters to obtain a particular deposit. Sinceequilibrium thermodynamics is used to generateCVD phase diagrams, they can only be used toestablish trends because CVD process aretypically non-equilibrium. With sufficient

calculations the complete range of depositionparameters (temperature, pressure, starting gascomposition) over which the CVD reaction isthermodynamically possible can be ascertained.

Kinetics of the CVD

Numerous studies on the kinetics andmechanisms of CVD reactions have beenmade.1,12,39,45-48 These studies provide usefulinformation such as activation energy andlimiting steps of deposition reactions which areimportant for the understanding of depositionprocesses. The main problem in the CVDkinetics studies is the complexity of thedeposition process. The difficulty arises not onlyfrom the various steps of the CVD process butalso from the temperature and concentrationgradient, geometric effects, and gas flow patternsin the reaction zones. Exact kinetic analysis istherefore usually not possible as the kinetic dataare reactor dependent. There are several possiblerate-limiting factors but mass transport andsurface kinetics control are the most

Al2O3+SiC

Mullite+SiC

SiC+SiO2

SiC+SiO2+Si

Al2O3+C

Mullite+SiC+C

Mullite+Al2O3+C

Mullite+Al2O3A

20

40

60

80

100

80

60

40

20

20 40 60 80 100SiC+SiO2+C A CO2

SiCl4

100AlCl3

Temperature.......................................

1000°C

Pressure.....................................

75 torr

H2:(AlCl3+SiCl4+CO2)........................................

5:1

H2 Concentration.......................................

500 moles

Mullite+SiO2+C

Mullite+SiO2SiO2+C

SiO2

Page 38: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Pattanaik and Sarin32

predominant.1,12,47,48 Mass transport control existsif the transport of the reactants/reaction productsacross the boundary layer determines thedeposition rate. If the mass transport though theboundary layer is sufficiently large the systemis controlled by surface kinetic reactions.

The reaction kinetics determine the rate atwhich a phase will form and whether itsformation is limited by any step in the process.Figure 10 shows the seven mechanistic steps thathave been hypothesized to occur during a vapor

deposition process.1,10,49-51 These steps include:1. Transport of reactant gases into the reaction

chamber,2. Intermediate reactants form from reactant

gases,3. Diffusion of reactant gases through the

gaseous boundary layer to the substrate,4. Absorption of gases onto the substrate

surface,5. Single or multi-step reactions at the

substrate surface,

Fig. 7: (a) Concentration and (b) efficiency curves generated at section AA in Figure 6.20

Dep

osit

Con

cent

ratio

n (m

oles

)

AlCl3 Concentration (moles)

SiO2

Al2O3

Mullite

10

9

8

7

6

5

4

3

2

1

00 1 2 3 4 5 6 7 8 9 10

Dep

ositi

on E

ffici

ency

AlCl3 Concentration (moles)

Al2O3

Mullite

1.0

0.9

0.8

0.7

0.6

0.5

0.4

0.3

0.2

0.1

0.00 1 2 3 4 5 6 7 8 9 10

(a)

(b)

Page 39: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Basic Principles of CVD Thermodynamics and Kinetics 33

Mullite

Si 5μ

Fig. 8: Scanning electron micrograph of the cross-section of a typical mullite coating on SiCusing AlCl3-SiCl4-CO2-H2 system at 950°C and 75 torr.

a

c

b

Fig. 9: (a) Cross-sectional TEM micrograph of CVD mullite coating on SiC with diffraction patternsfrom the two regions of the coating, (b) crystalline mullite, and (c) vitreous nano-crystallinelayer.

Page 40: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Pattanaik and Sarin34

6. Desorption of product gases from thesubstrate surface, and

7. Forced exit of product gases from thesystem.In this model, the steps can be classified into

two categories, mass transport1,3 and 7 and surfacereaction steps.2,4,5, and 6 The slowest of these stepsdetermines if the process is mass transport orsurface reaction limited. At lower temperaturesthe deposition rate is generally surface reactionlimited. As the temperature increases, the surfacereaction rate rises exponentially, resulting in amass transport limited because transportbecomes the slowest step in the series ofdeposition steps. Reaction resistances are oftenused to predict rate-limiting steps in CVDprocess.

If the process is mass transport limited, atypical rate limiting step can be diffusion ofreactant species through the boundary layer.According to Fick's law, the reactant flux canbe written as,

JD

RT

dc

dxAAB A= − (12)

where JA is the diffusion flux of specie A, D

AB is

the diffusivity of the reactants, CA is the

concentration of specie A, x is the directionperpendicular to the substrate surface, R is thegas constant, and T is the absolute temperature.An approximation for the concentration gradientis,

dc

dx

c

x

c cA A A AB s≈ =

−ΔΔ δ

(13)

where CAB

is the bulk stream concentration ofspecie A and C

AS is the surface concentration of

specie A, and δ is the boundary layer thickness.Thus, the reactant diffusion through theboundary layer is described as,

⎟⎟⎠

⎞⎜⎜⎝

⎛δ−

−= SB AAABA

CC

RT

DJ (14)

2

3

7

1

δ 6

4 5

-------------------------------------------------------------------------------

Bulk Gas

BoundaryLayer

Coating

Substrate

Fig. 10: Schematic diagram of the mechanistic steps that occur during the CVD process.

Page 41: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Basic Principles of CVD Thermodynamics and Kinetics 35

The binary diffusivity, DAB

, of the reactantsin which the Leonard-Jones parameters (σ, Ω)are available, is calculated through theChapman-Enskog theory52 by,

D

TM M

pAB

A B

AB D AB

=+

0 0018583

1 13

2.

( )

,σ Ω(15)

where T is the absolute temperature, M is themolecular weight, p is the pressure, σ

AB is the

collision diameter, and ΩD,AB

is the collisionintegral, a dimensionless function of temperatureand intermolecular potential. Multi-componentsystems are handled by considering an effectivebinary diffusivity for each species through thegas mixture. The reactant diffusivity in gasmixture is determined from a mass fraction as,

1 x

D

x

D1

im

j

ijj 2

n− ==

∑ (16)

where xi is the mole fraction of the ith species,

Dim

is the effective binary diffusivity for thediffusion of i in a mixture, and D

ij is the binary

diffusivity for the diffusion of i in j.In laminar gas flow, the reactant

concentrations and gas velocity are zero at thesubstrate surface and increase to the bulkconcentration and bulk stream velocity at somedistance, δ, from the substrate surface and isgiven by,53

δx

5.00

Re1

2

= (17)

The average boundary layer thickness, δ–, isthen calculated by integrating the aboveexpression17 over the length of the substrate anddividing the result by the length of the substrateas,

δ μρ

= 10

3mixL

U (18)

where L is the length of the substrate, ρ is thegas density, μ

mix is the viscosity of the gas

mixture, and U is the free stream velocity. If itis assumed that the gas is well mixed, and thereare minimal temperature gradients, then thediffusion path is determined by the concentrationboundary layer, δ

c, which is related to the

momentum boundary layer and is characterizedby a Schmidt number of unity as,

Scn

c

= =δδ

1 (19)

The viscosity of a gas mixture, μmix

, iscalculated by,

μ μmix

i i

i ijj

ni

n x

x=

== ∑∑

Φ1

1(20)

in which

μσ μ

i

MT= × −2 6693 10 5

2.

Ω (21)

where M is the molecular weight, T is theabsolute temperature, s is the collision diameterand Ωμ is the collision integral for viscosity and

2

i

j

j

i

j

iij

41

21

21

M

M1

M

M1

8

1⎥⎥

⎢⎢

⎡⎟⎟⎠

⎞⎜⎜⎝

⎛⎟⎟⎠

⎞⎜⎜⎝

⎛μμ+⎟

⎟⎠

⎞⎜⎜⎝

⎛+=Φ

(22)

where xi and x

j are the mole fractions of species

i and j, n is the number of chemical species, μi

and μj are the viscosities, and M

i and M

i are the

molecular weights of i and j respectively.The kinetics of coating growth is basically

dependent on temperatures. A CVD reaction isdivided into either surface kinetic or masstransport control. Figure 11 shows a model ashow the growth process depends on the surfacekinetics and mass control regimes.54 C

g is the

concentration of the bulk gas and Cs is the

concentration at the substrate interface. Theconcentration of the reactants drops from thebulk to the substrate surface and thecorresponding mass flux is given by,

Jgs

= hg(C

g - C

s) (23)

where hg is the gas mass transfer coefficient and

is insensitive to variations in temperature. Theflux consumed at the surface of the coatinggrowth and can be approximated as first orderby,

Js = k

sC

s(24)

where ks is the rate constant for the slowest

surface reaction. In a steady state conditions,J

gs = J

s, so,

CC

k

h

s

g

s

g

=+1 (25)

Page 42: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Pattanaik and Sarin36

If ks >> h

g, the system is mass controlled where

low gas transport rate through the boundary layerlimits the rapid surface reaction. Surface reactioncontrol dominates when h

g >> k

s (C

s approaches

Cg), the surface reaction is slow even through

sufficient reactant gas is available. Additionally,h

g increases with increasing pressure and

decreasing temperature, and ks follows the

Arrhenius equation. Thus surface reactionresistance increases more readily than h

g with

decreasing temperature. Therefore surfacekinetics control is readily achieved at lowtemperature, low pressure, low concentration ofreactants, and high gas flow rates. Figure 12show the schematic diagram illustrating theeffect of temperature, pressure, and kinetics onthe growth rate.55

Using these simplified models, processvariables can be varied so that the depositionprocess is either limited by gas-phase diffusionto the substrate surface or by reaction at thesubstrate. Such control of the process is valuablebecause, for example, geometric surfaceirregularities in the substrate (grooves andcorners) are coated uniformly in a kineticallycontrolled process, but in a process controlledby diffusion a protrusion receives a thickercoating while a depression is thinly coated.

The most important aspects of the depositionprocess are nucleation and growth.56-59 Thisphenomena can be understood in terms of thekinetics of formation of the nuclei of a solidphase by the clustering of atoms in a gas phase,followed by the interaction of further vaporatoms with the growing surface. Classicalnucleation involves the formation of a criticalradius (balancing of Gibbs free energy involvedin making the nucleus, and increase in thesurface area of the cluster) whereas the criticalradius is of atomic dimensions in most CVDreactions because of the high supersaturation.57,

58, 60 The various steps during the heterogeneousnucleation of an element A on a substrate isschematically shown in Figure 13.55 Due toreaction between hydrogen and AX, the A atomsformed are absorbed on the surface of thesubstrate. Surface diffusion and possibly directimpingement of A atoms from the vapor leadsto nucleation. Lateral growth and coalescencesresults in the formation of an adherent coating.Several models have been developed to treat boththe two and three dimensional cases.61-63

The rate of the nucleation can be calculatedfrom the knowledge of free energy of formationof adatom of size i for individual molecules. The

Fig. 11: Schematic diagram of the growth process model.54

Coating

Js

Gas

δC

g

Cs

Jgs

Page 43: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Basic Principles of CVD Thermodynamics and Kinetics 37

1

T

DepositionRate

Mass TransportControlks >> hg

P1

P2

P3

P1 < P2 < P3

Surface KineticsControlhg >> ks

Fig. 12: Schematic diagram illustrating the effect of temperature, pressure and kinetics on thegrowth rate.55

Fig. 13: Schematic representation of the various mechanistic pathways that can occur during thenucleation of A on a substrate during H

2 reduction of AX.55

Vapour

Adsorption

Nucleus

Vapour

Nucleus

Substrate

H(g) + 2 A X(g) 2 A(g) + 2 H X(g)

H2,ads + 2 A Xads2 Aads + 2 H Xads

A(s)

Adsorption

SurfaceDiffusion

Desorption

BulkDiffusion

SubstrateDiffusion

Page 44: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Pattanaik and Sarin38

nucleation rate is nothing but the rate at whichsingle molecule collide with cluster of size i,

J = L* wn*i

(26)

where L* is the perimeter length of the clusterand is the surface diffusion related impingementrate and is given by,

( )RTG

0asdexpan Δ−ν=ω (27)

ν0 surface vibrational frequencya jump distance for surface diffusion

and

⎟⎟⎠

⎞⎜⎜⎝

⎛ Δ−=RT

Gexpnn

*i

1*

where n* is the concentration of critical sizenuclei.

Thus, the nucleation rate for heterogeneousnucleation is,

⎟⎟⎠

⎞⎜⎜⎝

⎛ Δ+Δ−ν=RT

GGexpnaLJ

*isd2

a0*

(28)

Based on the TLK (terrace, ledge and kink)model, growth on a perfect vicinal and singularsurface proceed by a sequence of steps involvingadsorption from vapor to form a surface adatom.This adatom diffuses to a kink site of the surfaceand incorporate into the crystal at the kink site.In order to determine the growth rate, the rate offormation of stable cluster must be determined.This is the rate at which cluster of radius r* growby the addition of one incremental atom fromthe adlayer. The rate is given by,

⎟⎟⎠

⎞⎜⎜⎝

⎛ Δ−⎟⎠⎞⎜

⎝⎛ Δ−νπ=

RT

Gexp

RT

Gexpan)r2(ZJ

*sd

d2a

*(29)

where Z is the non-equilibrium factor. It is foundin practice that the pre-exponential part of theexpression does not differ greatly from systemto system and is on the order of e65. The factorthat influences J with changing P and T is ΔG*,within the relation,

⎟⎟⎠

⎞⎜⎜⎝

⎛Ω

−=Δ0

*

P

Pln

RTG (30)

where ν

=ΩAN

Vis the volume per atom

Thus, J in terms of (P/P0)

crit, where J = 1 per

cm2 per sec lies in the range of (P/P0), is given by,

⎟⎟⎠

⎞⎜⎜⎝

⎛ γΩπ=22

2

crit0 TR65

hexp)P/P( (31)

where ,h

ε=γ

ε is the edge surface energy per centimeter ofperimeter and h is the height of mono-atomiccluster. Thus, (P/P

0)

crit is strongly dependent on

both γ and T, making the critical factor of thegrowth of two-dimensional nuclei rate as,

x

λ (32)

where x– is the mean free path for adatomdiffusion on the surface and λ is the ledgespacing. This holds when an atom strikes thesurface of the same substrate material. If λ >> x–,most adatom will desorb before reaching theledges and the growth rate will be low. Whenx– >> λ, almost all adatom will reach the kinksites and growth rate will approach the idealgrowth rate. Below (P/P

0)

crit, the growth rate will

be very low and will rise to ideal rate withincreasing (P/P

0)

crit. When the surface is

imperfect, the imperfection allows growth atsupersaturations much lower than (P/P

0)

crit.

The three basic growth modes are shown inFigure 14. Voller-weber or island mode(Figure 14a) is formed when the smallest stableclusters nucleate on the substrate and grow in athree dimensional way. This type of growth takesplace when atoms or molecules in the depositare bound to each other than to the substrate.The layer type of growth (Figure 14b) formswhen the atoms or molecules in the depositattract more strongly to the substrate than to eachother. The intermediate mechanism follows withlayer + island type growth (Figure 14c). Thetransition from two to three dimensional growthis not completely understood. This mode couldbe formed either due to the film substrate latticemismatch or release of high energy at thedeposit-intermediate layer interface. Thus, thecomposition and structure of the substrate canhave a tremendous effect on the initial nucleationand growth of a coating. Figure 15 shows thesurface morphologies of the mullite coatingsgrowing under identical conditions on various

Page 45: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Basic Principles of CVD Thermodynamics and Kinetics 39

substrates.64 As is evident, the nucleation and thegrowth rate on each of them is very different.

Supersaturation and deposition temperature(diffusion) are the two critical factors that canbe effectively used to control the morphologyof the coating65 as can be seen in Figure 16a.The effect of changes in the supersaturation ofthe reactive gases combined with thermo-dynamic phase equilibria calculations can beeffectively used to understand and thereforecontrol the morphology of the deposit(Figure 16b). It was established that theformation of whiskers or polycrystalline TiC isdependent on the level of free carbon present inthe TiCl

4-CH

4-H

2 gas mixture. A typical cross-

section of a TiC coating and surface morphologyof the TiC whiskers are shown in Figure 16.Control of the coatings microstructure is, ofcourse, imperative to optimize its mechanicalproperties.65-69 The most desirable coatings forstructural applications (mechanical strength andfracture toughness) consist of very fine, equiaxedgrains. Epitaxial deposits result from conditionsof low reactant concentrations and sufficientlyrapid surface diffusion. Deposits consisting ofrandomly oriented fine grains near the substratesurface which grow into larger columnar grainsof preferred orientation at higher reactantconcentrations and more limited diffusion.70 Thismicrostructure can typically be produced at still

a b c

Island Layer Layer + Island

Voller-Weber Frank-van-der Merwe Stranski-Krastanov

Fig. 14: Schematic diagrams of the three different modes of the thin film growth: (a) island,(b) layer, and (c) layer + island.

Al2O3 SiC Mullite

Fig. 15: The effect of substrate on the nucleation and growth of mullite coatings using a the gasmixture of AlCl

3-SiCl

4-CO

2-H

2.63

Page 46: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Pattanaik and Sarin40

Gas Phase NucleationAmorphous DepositsFine Grained DepositsPolycrystalsDendritesWhiskersPlateletsEpitaxial Growth

IncreasedTemperature

IncreasedSupersaturation

100μm

(2)

(1)

WC- 5

TiC

Fig. 16: (a) Effect of supersaturation-temperature-structure relationship on the vapor depositedcoatings,65 (b) the effect of free carbon on the morphology of the TiC deposition for a gasmixture of TiCl

4, CH

4 and H

2, (1) cross-section of the TiC coating on WC-Co, and (2)

surface morphology of the TiC whiskers.

higher concentrations and conditions underwhich surface diffusion is limited.

Conclusions

This chapter discusses the thermodynamicand kinetics of the CVD process with particular

emphasis on structural applications.Thermodynamic calculations can be used toguide and find the range of initial processparameters. Kinetically the CVD process is verycomplex and most established mechanisms arestill some what controversial. Nevertheless, ainsight into surface kinetic reactions, mass

CH4/TiCl4 Ratio

Mol

e F

ract

ion

Fre

e C

arbo

n (x

10-2)

3

2

1

00 1 2 3 4 5 6 7 8 9 10 11 12

(b)T = 1080°CP = 1 atm

Whiskers+

Agglomerates

Coating(1)

Whiskers(2)

(a)

HomogeneousNucleations

Gas PhaseDiffusionVariations

SurfaceKineticsVariations

Page 47: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Basic Principles of CVD Thermodynamics and Kinetics 41

transport phenomena, nucleation, and growth ofthe coating is necessary and helpful in thedevelopment of new or improved coatings.Knowledge of these basic principles involvedin the CVD process are deemed necessary bothfor systematic development of coatings and forthe pragmatic utilization of the CVD processitself.

References

1. K.F. Jensen, Microelectronics Processing:Chemical Engineering Aspects, D.W. Hessand K.F. Jensen, eds., American ChemicalSociety, Washington, DC, 1989, p.199.

2. K.H. Habig, Journal of Vaccum ScienceTechnology A, Vol.4, No.6, 1986, p.2932.

3. V.K. Sarin, H.E. Hintermann andG. Gindraux, US Patent 4,745,010, 1988.

4. V.K. Sarin, H.E. Hintermann andG. Gindraux, US Patent 4,751,109, 1988.

5. W.A. Bryant, Journal of Materials Science,Vol.12, 1977, p.1285.

6. M.L. Auger and V.K.Sarin, Proceedings ofthe 14th International Confernce onChemical Vapor Deposition, Paris, France,The Electrochemical Society, Pennington,NJ, 1997, p.302.

7. H.O. Pierson, Proceeding of AdvancedMaterials Manufacture, Vol.3, No.1, 1988,p.107.

8. K.K. Yee, Int. Met. Rev., Vol.1, 1978, p.19.9. M.L. Hammond, Solid State Technol.,

Vol.11, 1979, p.61.10. J.O. Carlsson, Less-Common Met., Vol.71,

1980, p.15.11. J. Korec and M. Heyen, Journal of Cryst.

Growth, Vol,60, 1982, p.286.12. K.E. Spear, Journal of Pure Appl. Chem.,

Vol.54, No.7, 1982, p.1297.13. W.L. Holstein, J.L. Fitzjohn, E.J. Fahy,

P.W. Gilmour, and E.R. Schmelzer, Journalof Cryst. Growth, Vol.94, 1989, p.131.

14. W.B. White, W.M. Johnsson andG.B. Dantzig, Journal of Chem. Phys.,Vol.28, 1958, p.751.

15. G. Eriksson, Acta Chem. Scand., Vol.25,1971, p.2651.

16. C. Bernard, Proceedings of the 8th

International Conference on CVD,Gouvieux, France, The ElecrrochemicalSociety, Pennington, NJ,1981, p.3.

17. P. Sourdiaucourt, A. Derre, P. David,P. Delhaes, Proceedings of the 14thInternational Confernce on Chemical VaporDeposition, Paris, France, The Electro-chemical Society, Pennington, NJ, 1997,p.31.

18. E.Blaquet, A.M.Dutron, C.Bernard,G.Llauro and R.Hillel, Proceedings of the14th International Confernce on ChemicalVapor Deposition, Paris, France, TheElectrochemical Society, Pennington, NJ,1997, p.23.

19. Mark Fitzsimmons and Vinod K Sarin,Surface and Coating Technology, Vol.76-77,1995, p.250.

20. R.P. Mulpuri and V.K. Sarin, Journal ofMaterials Research, Vol.11, 1996, p.1315.

21. John L. Vossen and Werner Kern, Thin FilmProcesses, Academic Press, New York,1978, p.257.

22. C. Bernard, Proceedings of the EighthInternational Conference on ChemicalVapor Deposition, J.M. Blocher,G.E. Vuillard, G. Wahl eds., ElectrochemicalSociety, New York, 1981, p.3.

23. F. Van Zeggeren and S.H.Storey, TheComputation of Chemical Equilibria,Cambridge University Press, London andNew York, 1970.

24. G. Eriksson, Chem. Sc., Vol.8, 1975, p.100.25. T.M. Besmann, Rep. ORNL/TM-5775, Oak

Ridge National Laboratory.26. C.W. Bale, A.D. Pelton, and W.T. Thompson,

F*A*C*T 2.1, Ecole Polytechnique deMontreal/Royal Military College, Canada,1996.

27. M.W. Chase, Jr., C.A. Davies, J.R. Downey,Jr., D.J. Frurip, R.A. McDonald, andA.N. Syverud, JANAF ThermochemicalTables, 3rd Edn., National Bureau ofStandards, NSRDS, 1985.

28. A.D. Mah, Bureau of Mines Report ofInvestigation BM-RI-6337, 1963.

29. I.Barin and O.Knacke, ThermochemicalProperties of Inorganic Substances,Springer, Berlin, 1973.

Page 48: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Pattanaik and Sarin42

30. L. Vandenbulcke, Journal of Electrochem.Society, Vol.128, 1981, p.1584.

31. F. Teyssandier, M. Ducarrior andC. Bernard, Journal of Less-Common Met.,Vol.78, 1981, p.269.

32. A.I. Kingon, L.J. Lutz and R.F. Davis,Journal of American Ceramic Society,Vol.66, No.8, 1983, p.551.

33. A.I. Kingon, L.J. Lutz, P. Liaw andR.F. Davis, Journal of American CeramicSociety, Vol.66, No.8, 1983, p.558.

34. F. Teyssandier, M. Ducarrior andC. Bernard, Journal of Less-Common Met.,Vol.78, 1981, p.269.

35. T.M. Besmann and K.E. Spear, Journal ofElectrochem. Soc., Vol.124, 1977, p.786.

36. K.E. Spear, Proceedings of the 7thInternational Conference on CVD,T.O. Sedgwick and H. Lydtin, eds.,Electrochemical Society, Pennington, NJ,1979, p.1.

37. E. Randich and T.M. Gerlach, Thin SolidFilms, Vol.75, 1981, p.271.

38. H. Hannache, R. Naslain, and C. Bernard,Journal of Less-Common Met., Vol.95,1983, p.221.

39. V.K. Sarin and R.P. Mulpuri, U.S. PatentNo.576008, 1998.

40. M.L. Auger and V.K. Sarin, Surface andCoatings Tech., Vol.94-95, 1997, p.46.

41. A.K. Pattanaik and V.K. Sarin, SurfaceModification Technologies,T.S. Sudarshan, K.A. Khor, and M. Jeandin,eds., ASM International, Materials Park,Ohio, Vol.12, 1998, p.91.

42. S.N. Basu, A.K. Pattanaik, and V.K. Sarin,Emerging Trends in Corrosion Control,A.S.Khanna, K.S.Sharma and A.K.Sinha,eds., NACE International (India Section),Academia Books International, New Delhi,India, Vol.2, 1999, p.1016.

43. Ping Hou, S.N. Basu and V.K. Sarin,Journal of Mater.Res., Vol.14, No.7, 1999,p.2952.

44. S.N. Basu, Ping Hou, and V.K. Sarin,International Journal of Refractory Metalsand Hard Materials, Vol.16, 1998, p.343.

45. J. Arndt and G. Wahl, Proceedings of the14th International Confernce on ChemicalVapor Deposition, Paris, France, The

Electrochemical Society, Pennington, NJ,1997, p.147.

46. Francis Teyssandier and Mark D. Allendorf,Proceedings of the 14th InternationalConfernce on Chemical Vapor Deposition,Paris, France, The Electrochemical Society,Pennington, NJ, 1997, p.15.

47. C.H.J. van den Breckel, Acta Electron.,Vol.21, 1978, p.209.

48. W.A. Bryant, Surface ModificationEngineering, R. Kossowsky, ed., CRCPress, Boca Raton, FL, Vol.1, 1989, p.199.

49. V.K. Sarin, Surface and CoatingTechnology, Vol.73, 1995, p.23.

50. K.E. Spear, Proceedings of 5th EurpeanConference on CVD, J.O. Carlsson andJ. Lindstom, eds., Uppsala, Sweden, 1985.

51. M.E. Jones and D.W. Shaw, Treatise onSolid State Chemistry, N.B. Hannay,Plenum Press, NY, Vol.5, 1975, p.283.

52. R.B. Bird, W.E. Stewart, andE.N. Lightfoot, Transport Phenomena,Wiley, NewYork, 1960, p.495.

53. F.M. White, Fluid Mechanics, McGraw HillBook Company, New York, 2nd edn., 1986.

54. Milton Ohring, The Material Science of ThinFilms, Academic Press, San Diego, 1992.

55. V.K. Sarin, Journal of Hard Materials,Vol.2, No.1-2, 1991, p.115.

56. J.H. Oxley, Transport Processes in VaporDeposition, C.F. Powell, J.H. Oxley, andJ.M. Blocher, Jr, eds., John Wiley & Sons,New York, 1966.

57. J.P. Hirth, Am. NYAcad. Science, Vol.101,1963, p.805.

58. D. Walton, Journal of Chem. Phys., Vol.37,1962, p.2182.

59. J.P. Hirth and G.M. Pound, Prog. Mater.Science, Vol.2, 1963, p.41.

60. J. Bloem and W.A.P. Claassen, Philips Tech.Rev., Vol.41, 1983, p.60.

61. J.B. Hudson, Surface Science: AnIntroduction, Butterworth-Heinemann,Stoneham, MA, 1992.

62. C.E. Neugebauer, Handbook of Thin FilmTechnology, L.I. Maissel and R. Glang, eds.,McGraw-Hill, New York, 1970.

63. J.A. Venables, G.D.T. Spiller, andM. Hanbrucka, Rep. Prog. Phys., Vol.47,1988, p.399.

Page 49: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

45

Chapter 3

Stresses and Mechanical Stability ofCVD Thin Films

M. IgnatL.T.P.C.M. - E.N.S.E.E.G. BP. 75Domaine Universitaire38402 Saint Martin d'Héres, Cedex, France

Introduction

The use of thin films and coatings obtainedby Chemical Vapor Deposition (CVD) expandscontinuously. Nowadays it includes ratherdifferent technological applications such astribological applications, microelectronics orbiotechnologies.

However, to consider any of the mentionedapplications, a certain number of problems haveto be understood and solved. Indeed, film onsubstrate systems are subjected to internalstresses produced by thermoelastic mismatch,or to external mechanical stresses appliedmonotonically or cyclically. Then they are likelyto be damaged by mechanisms that produce bulk

and/or interface failures. For example, after theirdeposition the mechanical stability of the filmson their substrates, remains initially an essentialpoint which defines their reliability.

The evolution of the mechanical stresses inthe film on substrate systems and the relatedmechanisms: cracking, debonding, plasticrelaxation, have been assembled with the notionof Mechanical Stability, which was introducedby Klokholm.1 Using brittle fracture energycriteria,2,3 this author demonstrated that the bulkcracking of a film and its detachment from thesubstrate are controlled by the intensity of thestored elastic energy. In the case of a thin filmsubjected to in plane isotropic stress, the elasticenergy ‘U’ stored per unit area of film is expressedby the following relation:

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p45-80 DOI:10.1361/chvd2001p045

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 50: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat46

( )UE

hf

ff=

−1 2νσ (1)

in which σf is the normal stress component in

the plane of the film. Ef, ν

f and h represent

respectively Young’s modulus, Poisson’scoefficient and the thickness of the film. Thefilm becomes mechanically unstable when thestored elastic energy reaches a critical value. Forexample, a film subjected to a tensile stress,cracks when U is equal to the film’s bulkcracking energy. Conversely, a film subjectedto a compressive stress may become detachedwhen U is equal to the interface cracking energy.

The mechanical stability of the filmtherefore depends either on its bulk resistanceto cracking or on its interface stability. Its bulkresistance may be characterized by themechanics of continuous and homogeneousmedia failure. In contrast, analyzing its interfacestability involves modeling the mechanics ofnon-homogeneous media failure,4 andsometimes taking into account factors that areintrinsically linked with the nature of theinterface. These factors, such as roughness5 andthe reactivity or crystallinity of media in contactgovern adhesion, a parameter that cannot bereduced to a specific physical value.

Qualitatively speaking, the term adhesiondesignates the cohesion between two media,whether they be identical or not. However, it ismore difficult to give a quantitative definition.This concept may be understood from threecomplementary angles:6 fundamental adhesion,thermodynamic adhesion and experimentaladhesion.

Fundamental adhesion is connected with thenature of the bonds producing cohesion betweentwo media. These bonds may be classified intotwo categories, namely strong bonds (polar,covalent and metallic bonds) and secondarybonds (hydrogenous and Van der Waals bonds).7

Different atomic or molecular models have beenproposed to describe the electronic structure ofinterfaces.8-10 None however, is sufficient forcalculating the intensity of adhesion forces forsystems of practical interest.

Thermodynamic adhesion is defined as thereversible work W

ad needed to create an interface

of unit area between two media, A and B. It is

often referred to as “adhesion work” inwettability studies11 and is expressed by Dupré’srelation:12

Wad

= γA + γ

B - γ

AB (2)

where γA and γ

B are the free surface energies of

the media A and B and γAB

the free energy of theinterface A/B.

Lastly, experimental adhesion is quantifiedby an interface cracking energy.13 This energyis measured during mechanical adhesion tests.For a film/substrate system, the principle of suchtests involves imposing a mechanical stress atthe interface either through the film or substrateand then detecting the critical threshold abovewhich interface failure begins to occur.Unfortunately, the wide variety of adhesion testscurrently used means that the values of theinterface cracking energy measured for the samesystem are often extremely scattered.14

To optimize the mechanical stability of afilm deposited on a substrate or of a multilayersystem, it is therefore necessary to understandthe mechanisms causing bulk and interfacedamage. An analysis of this kind involves usinga series of appropriate and complementarymechanical tests.

The results obtained from preliminarystudies15-16 have opened up new prospects forresearch into the mechanical behavior of CVDthin films.

Residual Stresses and Damage

The first mechanical problems of afundamental nature may occur as soon as thethin film is deposited on the substrate, evenbefore any further processing (as engraving forfilms intended for microelectronics). The filmis far less rigid than the substrate at this point.In the absence of any external mechanical stress,the elastic interaction between film and substrategenerates mechanical stresses. These arecommonly referred to as “internal stresses” or“residual stresses”. The latter expression will beadopted in this chapter. The deposited film isthin and homogeneous, and the residual stressesare considered to be flat and constant throughthe thickness of the film.

Page 51: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 47

In order to understand the presence of theseresidual stresses, the creation of a film/substratesystem may be broken down as follows Figure 1.17

1. At the film deposition temperature Td the

system is free of any mechanical stress(Figure 1a).

2. If the film is detached from the substrate atthe same temperature (Figure 1b), it is notunder stress, and its longitudinal dimensionsstill correspond to those of the substrate.

3. Assuming, for example, that there isdifferential contraction in the film andsubstrate (Figure 1c). The film, nowdetached from the substrate, is still free ofstress.

4. The film is now stretched so that it onceagain has the same dimensions as thesubstrate (Figure 1d).

5. The film is now made to adhere once againto the substrate (Figure 1e).

6. The stress is now no longer applied(Figure 1f). The film tends to contract butthe rigid substrate to which it is adheringdoes not.At mechanical equilibrium, the film/

substrate system is therefore curved. In the exampleshown in Figure 1, the curve is concave andcorresponds to a residual tensile stress in the film.A stress gradient is formed in the substrate, withcompression occurring at the interface. Conversely,the system would be convex if the film weresubjected to a residual compressive stress.

Residual stresses are therefore generatedthroughout the film/substrate system in whichthe dimensions of the film change in respect tothose of the substrate (Figure 1c). These stresseswill depend on the deposition conditions(temperature, pressure, gas flux, etc.) and on theheat treatment applied to the system afterdeposition.

Fig. 1: Schematic representation of the elastic interaction between a thin film and substrate,generating residual stresses in the system, as described in the text.

Substrate

CompressionTension

(a)

(b)

(c)

(d)

(e)

(f)

Page 52: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat48

Leaving aside the special case of epitaxialstresses resulting from parameter differencesbetween two monocrystalline media, the residualstresses in a film/substrate system have twocomponents, one thermoelastic and the otherintrinsic.

Thermoelastic stresses are generated duringa change from the deposition temperature T

d to

another temperature T1. The difference between

the thermal expansion coefficients of the film(f) and substrate (s) cause deformation εf

th to

occur in the film plane. This is constantthroughout the thickness of the film, such that:

( ) ( )[ ]ε α αthf

s T TT

T

f

d

dT= ∫ −1

(3)

In this relation, αs and α

f are the thermal

expansion coefficients of the substrate and film.These depend on the temperature T. If the filmis homogeneous and elastically isotropic, thein plane thermoelastic stress is expressed by:

( ) ( )[ ]σν

α αthf f

fs T T

T

TEdTf

d

=−

∫ −1

1

(4)

Ef and ν

f are respectively Young’s modulus and

Poisson’s coefficient for the film. Furthermore,assuming that the thermal expansion coefficientsare independent of the temperature, thethermoelastic stress increases linearly with thefilm deposition temperature.

As far as the intrinsic stresses are concerned,they are associated with the film growth process.If the incident atoms are not sufficiently mobileat the surface of the substrate, later atomrearrangements associated with changes in filmvolume may generate this type of stress.18

Generally, these stresses decrease as thedeposition temperature increases. Physicalmodels describing the microstructuralmodifications that cause intrinsic stresses, arehowever scarce.19 For example, the growth ofgrains,20 the rearrangement of atoms at grainboundaries21 or partial or total crystallization ofamorphous films result in volume decreases thatgenerate intrinsic tensile stresses. Moreover, theabsorption of water by hydrophilic and micro-porous films causes their volume to increase,producing an intrinsic compressive stress. Itshould also be pointed out that the ion

arrangement acting at the scale of the atomicstructure also produces similar effects.

The overall residual stress in the film isequal to the sum of the thermoelastic componentand intrinsic component. In the case of lowdeposition temperatures, the intrinsic stress isstill the main contributing factor to the overallresidual stress. In contrast, in the case of highdeposition temperatures, the thermoelastic stresspredominates. Therefore, while the signs of thesestresses are identical, there is an intermediatedeposition temperature for which the residualstress is minimised (Figure 2).

Examination of thin films, or structures builtup of homogeneous CVD thin films indicatesthat they should be susceptible to spontaneousmechanical damage (Figure 3). For example astructure intended for microelectronics is in factcomposed of a great variety of CVD and nonCVD deposited materials, with very differentphysical, thermal and mechanical properties.Consequently, severe residual stresses aregenerated. Furthermore, from an initialmultilayer a definitive structure formicroelectronics has relatively complexgeometric shapes with angular patterns. Theseact as mechanical singularities where stressconcentrations are generated. They arepotentially sensitive to mechanical stresses anddamage may therefore tend to occur more oftenthere.

The discussion here will be restricted tomechanical damage due to residual stressesalone, without considering other phenomena.

Regarding the damage mechanisms,spontaneous cracking and detachment ofuniform films may occur in the early stage of astructure formation.22 In the case of thin filmssubjected to residual tensile stresses, the filmdeposited on the substrate generally becomesmechanically unstable when the stored elasticenergy U corresponds to the bulk crackingenergy of the film (Figure 3a). As the crackspreads through the thickness of the film, it mayproduce plastic deformation of the substratewhen it reaches the interface (Figure 3b) or bedeviated at the interface and cause the film tobecome detached (Figure 3c), or propagateinside the substrate (Figure 3d). Figure 4 shows,

Page 53: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 49

Fig. 2: Schematic representation of changes in thermoelastic stress σth, intrinsic stress σ

i and

overall residual stress σr, in a thin film as a function of deposition temperature T

d.21

for example, the failure of a CVD film of siliconnitride, through cracking and detachment dueto excessively high residual tensile stress andpoor adhesion.

Conversely, if the residual stress iscompressive, mechanical instability occurs whenU is equal to the interface cracking energy. Inthis case, an interface crack that has alreadybegun to form from a defect propagates whenthe detached part of the film buckles. Thismechanism leads to the formation of a blister(Figure 3e). The interface crack may thenpropagate through the thickness of the film,leading to flaking (Figure 3f).

Other types of damage may be producedthrough thermomechanical effects. For example,when being annealed at 450°C a CVD aluminumfilm on a Si substrate is subjected to compressivethermoelastic stresses owing to the considerabledifference between the thermal expansioncoefficients of aluminum (α

Al = 23 × 10-6 °C-1)

and the silicon substrate (αSi = 3.5 × 10-6 °C-1).

When cooling, the film may therefore contractby as much as 1%. Due to the combined action

of residual stresses and temperature, diffusionmechanisms may be active, especially at grainboundaries. Aluminium atoms then accumulateat triple boundaries, producing hillocks on thefree surface of the film.23 They may be as high asthe film is thick.

After cooling and by virtue of their shape,these hillocks form singularities where stressconcentrations will encourage cracking and thenflaking of any brittle thin passivation film afterit has been deposited on the aluminum (Figure 5).

Consequently, considerable residual stressesmay be generated as soon as a film is deposited.These usually include a thermoelasticcomponent and an intrinsic component and canconcentrate near singularities. Singly or incombination with external forces, they mayactivate different damage mechanisms within asingle film or a multilayer. For example,aluminium films may be damaged by theformation of hillocks of thermal origin, byplastic deformation or extruding of grains orcavity formation. In addition, other brittlepassivation films deposited may crack, become

σth

σr

σi

Td

σ

Page 54: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat50

Fig. 3: Schematic representation of various types of failure associated with the mechanicalinstability of a film deposited on a substrate. (a) cracking of a thin film subjected to residualtensile stress, (b) plastic deformation of the substrate at the end of the crack, (c) deviationof the crack at the interface, (d) cracking of the substrate, (e) detachment and buckling(formation of a blister from an interface defect) of a film subjected to residual compressivestress, and (f) deviation of the crack through the thickness of the film (flaking).

detached or flake. These failures may stronglyrestrict any further application.

In order to analyze the reliability of thesefilm(s) on substrate structures, it is thereforenecessary to know the mechanical andmicrostructural properties of the variousmaterials beforehand, and then study themechanical stability of the complete assembly.

Experimental Analysis ofthe Mechanical Stability ofCVD Films

A standard experimental method forstudying the mechanical stability of films onsubstrates consists of straining the system in

uniaxial tension. Then, a network of transversecracks develops in a brittle film, while adhesionfailure can occur at the interface. The progressof damage can be related to the mechanicalproperties of the system: Young’s moduli of thefilm and substrate, yield stress of the substrate,fracture toughness of the film, strength of theinterface, flaw distribution and residual stressesin the film.

Models describing the mechanics ofcracking and loss of adhesion occurring inuniaxially stretched film/substrate systems arenumerous.

Hu and Evans24 have analyzed the crackingand decohesion of brittle Cr films deposited onAl and stainless steel substrates taking intoconsideration the critical values of non-

(a)

(e)

(b)

(c)

(d)

(f)

Page 55: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 51

Fig. 4: SEM image showing spontaneous cracking and detachment of a film of PECVD siliconnitride (h = 0.18 μm) deposited on a aluminum substrate.

Fig. 5: (a) Plastic deformation of aluminum due to residual tensile stress and cracking of thepassivation film (T = T

amb), (b) dislodging of a grain of aluminum due to residual compressive

stress and cracking of the passivation film (T > Td.Al

), and (c) optical scan showingdislodging of grains in a uniform unpassivated aluminum film. This damage was producedby heating cycles at temperature up to 400°C.

Passivation Passivation

SiO2 SiO2

Al Al

Si Si

(a) (b)

(c)

Page 56: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat52

dimensional parameters related to the elasticproperties of the deposited films and thesubstrates.

Delannay and Warren25 have studied theinteraction between parallel cracks byconsidering the energy release rate associatedwith the propagation of a new crack among analready existing crack network.

More recently, the cracking in layeredmaterials has been extensively reviewed byHutchinson and Suo26 and Ye et al.27

Statistical models of fracture describing themultiple cracking in hard coatings through theevolution of the crack density have beenproposed by several authors. Gille and Wetzig28

have described the dependence of the crackdensity on a random distribution of flaws in thebrittle film. This statistical model derives thestrength distribution function obtained as aWeibull law.29 Mézin et al.30 have analyzed thedistribution of the distances between the cracksand the evolution of the crack density with thestrain in Mo films deposited on steel substrates.This approach defines the evolution of thefracture probability of the film with the strainand leads to the measurement of the length ofthe zone in which the normal stress is relaxedon both sides of the cracks.

The interface shear strength of a SiO2 film

deposited on a Cu substrate has been evaluatedby Agrawal and Raj31 by considering the spacingdistribution between the cracks for appliedstrains corresponding to the saturation of thenetwork of transverse cracks.

Using fracture mechanics and the Griffith’senergy criterion, Chow et al.32 have derived ananalytical relation to determine the interfacialfracture energy between a brittle film and apolymeric substrate.

Faupel et al.33 have analyzed the adhesionof metal films deposited on polymer substratesstrained in an optical microscope. Thedeadhesion energy was deduced from thedifference in the stress versus strain curvesbetween the film/substrate system and thesubstrate only.

Continuous observations of the evolutionof damage, associated with the measurement ofthe corresponding stresses and strains, are

necessary for a precise experimental study.Because of higher magnification and a betterfocusing depth, in situ tests in a scanningelectron microscope permit efficientobservations and analysis of the damageprogress in film/substrate systems.34-38

In the following, we present two examplesrelated to analysis of the mechanical stability ofCVD films on substrates. One case describessystems intended for microelectronic devices(passivation films on a aluminium substrate), andthe other describes coatings intended for wearand corrosion protection of steels.

Passivation FilmsDeposited by PECVD

As recalled in the introduction during thefirst manufacturing stages of integrated circuits,the coupling of metals for interconnections withmaterials for isolation and protection(passivation materials) is subject to reliabilityhazards, principally because of the large thermalexpansion mismatch existing between the metalsand the passivation materials.39 Consequently,improvement of the mechanical stability of thefilm/substrate systems requires anunderstanding of their response to failure.

To begin, we present an example of themechanical stability of systems consisting ofbrittle PECVD films of two kinds of passivationmaterial, on Al substrates using an in situ tensiletest. The passivation materials are silicon nitride(Si

3N

4) and 4.5 wt.% phosphorus doped silicon

oxide (SiO2:4.5 wt.% P). We also investigate the

effects on damage progress by a thermally grownalumina (Al

2O

3) interlayer and by the presence

of scratches on the Al surface. For each system,the experimental observations of the filmcracking onset are analyzed through theapproach of Hu and Evans.24 Then, multiple filmcracking is described by the evolution of thecrack density with the longitudinal strain appliedto the system. Finally, the interfacial strength isevaluated by extending the approach of Chowet al.32 to the particular adhesion failure observedin the systems.

Page 57: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 53

Samples and MicrostructuralObservations

The different PECVD film/substrate systemsare schematically presented inFigure 6a. The substrates correspond to 99.99%pure Al, mechanically polished with a 0.3 μmalumina powder, then finally electrolytically ina 70% methanol-30% nitric acid solution. Whenexposed to air, a native aluminum oxide of about3 nm is produced. The substrates were coatedwith a dielectric film of a passivation material:either Si

3N

4 or SiO

2:4.5 wt.% P. These systems

are, respectively, denoted as system A andsystem C. The Si

3N

4 films were produced by

plasma enhanced chemical vapor deposition ata temperature of 360°C, while the SiO

2: 4.5 wt.%

P films were chemically vapor deposited at atemperature of 420°C. For both passivationmaterials, the thickness of the films was 0.8 μm.

Before film deposition, several Al substrateswere annealed in air at 590°C for two hours.Under these conditions, a 40 nm thick thermallygrown Al

2O

3 is formed.40 With these substrates

also coated with Si3N

4 films (system B) and

SiO2: 4.5 wt.% P films (system E), the aim is to

compare the mechanical behavior of systemswith a native oxide interlayer (systems A andC) to that of systems having a thermally grownAl

2O

3 interlayer (systems B and E).

Finally, before the deposition of the SiO2:

4.5 wt.% P film, the surface of an Al substratewas intentionally scratched by mechanicalpolishing. The scratches were about 1.5 μmwide, 1 μm deep, and were oriented 45° withrespect to the longitudinal axis of the sample.This system (denoted as D), permits analysis ofthe effect of an interfacial roughness.

Unfortunately, it was not practical todetermine directly the residual stresses andstrains in the dielectric films for these samples.However, measurements on similar filmsshowed compressive stress values of the orderof 100 MPa for the Si

3N

4 films, and 50 MPa for

the SiO2:4.5 wt.% P films.41 The corresponding

residual strains are thus of the order of 0.001.Although these strains are not negligible, theyare substantially smaller than the cracking strainswe observed, but are within the uncertainties of

our measurements. Consequently, we do notinclude them in our analysis.

The samples (Figure 6b) were loaded intoan in situ tensile testing device adapted to ascanning electron microscope. Because thestraining system induces a symmetricaldisplacement of the grips with respect to thecenter of the sample, the observation of damageprogress does not need a systematic focusing andrecovery of the image. For each system, fourregions were chosen in the central part of thesample and were observed under a magnificationof 200. In each region, the longitudinal andtransverse strains in the film were determinedlocally from the displacement between surfaceimperfections. The absolute error on themeasurement of the strain was ± 0.3%. A moreprecise description of the device has beenpresented elsewhere.42,43

In the five film/substrate systems, thedamage progress presents characteristic stageswith corresponding critical strains. Figure 7presents a typical evolution of the damageobserved during an in situ experiment whenstraining a sample of system C. For each system,the damage evolution can be characterized bythe following stages as a function of thelongitudinal strain applied to the system. Forsmall strains of the order of a tenth of a percent,the film deforms elastically. Then, whenincreasing the strain to about 0.4% in systemsA, B and E, 1.6% in system C and 1.3% insystem D, primary cracking is activated in thefilm. The primary cracks are straight,perpendicular to the tensile axis and are regularlyspaced. Their number increases rapidly withuniaxial elongation of the sample. For a strainof 4% in system A, 7% in system B, 10% insystem C, 4% in system D and 9.5% in systemE, a network of secondary cracks developsamong the primary cracks. The propagation ofthese new cracks often shows a curved path andarrest, when getting close to the free surfacesproduced by the primary cracking (Figure 7a).When the strain is increased, saturation of thenetwork of transverse cracks is achieved. Thesaturation corresponds to a strain of 15% insystem A, 18% in system B, 19% in system C,12% in system D and 22% in system E. Previous

Page 58: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat54

to this saturation stage, for strains of 8% insystem A, 13% in system C and 3% in system D,the loss of adhesion and buckling of strips ofthe cracked film, extended perpendicularly tothe longitudinal axis of the sample and boundedby two successive transverse cracks is observed(Figures 7b and 8). For systems with the Al

2O

3

interlayer, the stage of debonding and bucklingis detected at the same time or slightly after thesaturation of the network of transverse cracks.The corresponding strains are 21% in system Band 22% in system E. For higher strains, thebuckled zones of the film strips break along thedirections of the maximum shear in the substrate.

Fig. 6: (a) schematic cross sections of the five studied systems and (b) schematic representationof a sample showing the zone which is analyzed during the experiments (L = 2.5 mm,1 = 1.8 mm, and H = 1.3 mm).

Thermally GrownAl2O3

Scratched SubstrateSurface

Si3N

4Si3N4

SiO2:4.5 wt.%.P SiO2:4.5 wt.%.P

Thermally GrownAl

2O

3

SiO2:4.5 wt.%.P

System A System B

System C System D

System E

(a)

(b)

H

Page 59: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 55

Fig. 7: Sequential micrographs of the evolution of the damage in a SiO2: 4.5 wt.% P film deposited

on an Al substrate subjected to a tensile test (system C, Figure 6). The black arrowsshow the tensile direction. (a) Networks of primary and secondary cracks perpendicular tothe tensile axis (ε = 11%). The white arrows show a secondary crack which stops whengetting close to primary cracks, (b) decohesion and buckling of the strips of film. Slip linesare observed on the Al surface under the buckled strips, and (c) transverse rupture of thebuckled zones along the directions of maximum shear of the substrate (ε = 19%).

100 μm(c)

Page 60: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat56

The critical longitudinal strains correspondingto the above-mentioned damage stages arepresented in the diagram in Figure 9.

Multiple Cracking of the Films

A typical evolution of the crack density withthe longitudinal strain is presented in Figure 10.After exceeding the critical cracking strain ofthe film, the number of primary cracks increasesrapidly with the strain. Then, the evolution ofthe crack density slows down when thesecondary cracking occurs until reaching aconstant value, which corresponds to the stageof saturation, with no further transverse crackopening process.

In system E, the evolution of the crackdensity with the longitudinal strain shows thatthe presence of a thin thermally grown Al

2O

3

interlayer modifies the cracking response of theSiO

2: 4.5 wt.% P film. First, as noted previously,

the onset of primary cracking is accelerated;second, the density of cracks at saturationincreases from 78 to 95 cracks/mm. These effectsare not observed for systems with a Si

3N

4 film,

in which the crack density at saturation is about60 cracks/mm, with or without the Al

2O

3

interlayer. These opposite cracking responsescertainly result from the different strengths ofthe SiO

2: 4.5 wt.% P/Al

2O

3 and Si

3N

4/Al

2O

3

interfaces. Indeed, if we consider Si3N

4/Al

2O

3

interfacial strength to be weak, so the Si3N

4 film

may locally debond near the transverse crackspresent in the Al

2O

3. This process will restrain

the propagation of the transverse cracks to theupper film (Figure 11a). On the contrary, thebetter adhesion between the SiO

2:4.5 wt.% P

film

and the Al2O

3 interlayer could explain the

increase in the crack density at saturation. In thiscase, the transverse cracks propagate straightthrough the interlayer and the film. The Al

2O

3

interlayer imposes its own cracking response on

Fig. 8: Detailed views of decohesion and buckling among a cracked SiO2: 4.5 wt.% P film

deposited on a scratched Al substrate. The white arrows show the scratches. The buckledstrips of the film are perpendicular to the tensile direction.

10 μm

Page 61: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 57

Fig. 9: Diagrams presenting the critical longitudinal strains (bold numbers) corresponding to thesuccessive stages of damage. System A = Si

3N

4/Al, System B = Si

3N

4/Al

2O

3/Al,

System C = SiO2 : 4.5 wt.% P/Al, System D = SiO

2: 4.5 wt.% P/scratched Al, and System

E = SiO2 : 4.5 wt.% P/Al

2O

3/Al.

Fig. 10: Typical evolution of the crack density with longitudinal strain. The occurrence of the fourdamage stages is indicated.

12341234

12341234123412341234123412341234

123123123123123123123123123123123123123123123123123123123123123123

123412341234123412341234123412341234123412341234123412341234

12341234

1234123412341234123412341234123412341234123412341234

123123123123123123123123123123123123123123123123123123123123123123123123123123123

12341234123412341234123412341234123412341234123412341234123412341234123412341234123412341234123412341234123412341234123412341234123412341234123412341234

1234123412341234

1234123412341234123412341234123412341234123412341234123412341234123412341234

123123123123123123123123123123123123123123123123123123123123123123123123123123123123

123412341234123412341234123412341234123412341234123412341234123412341234123412341234123412341234

1234123412341234

12341234123412341234123412341234

123123123123123123123123123123123123123123123123123123

1234123412341234123412341234

123412341234123412341234123412341234123412341234123412341234123412341234

12341234

123123123123123123123123123123123123123123123123123123123123123123123123123123123123123123123123

1234123412341234123412341234123412341234123412341234123412341234123412341234123412341234123412341234123412341234123412341234123412341234123412341234123412341234

123451234512345123451234512341234

1234512345

Primary CrackingSecondary CrackingSaturationDecohesion &buckling

25

20

15

10

5

0

Long

itudi

nal S

trai

n (%

)

A B C D E

System

SecondaryCracking

PrimaryCracking

Decohesionand Buckling

CrackSaturation

Cra

ck D

ensi

ty

Strain

Page 62: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat58

the SiO2:4.5 wt.% P, producing numerous

transverse cracks in the film (Figure 11b).Although the critical cracking strain of the

SiO2: 4.5 wt.% P film is not affected by a

scratched Al surface, the interfacial roughness

has an impact on the multiple film crackings.The cracking rate is accelerated and the cracksaturation is reached for a smaller strain: 12%,compared to 19% in system C. Yet, the crackdensity at saturation in system D (scratched

Fig. 11: Schematic representation of the film cracking process when the system includes athermally grown Al

2O

3 interlayer: (a) weak interface: decohesion is activated from the

interlayer transverse cracks at the interlayer/film interface (system B) and (b) stronginterface: straight propagation of transverse cracks both through the interlayer and thefilm (system D).

Crack

Interlayer

PlasticZone

εs∝εs

∝ Substrate

Film

(a) Weak Interlayer/Film Interface

Film

Interlayer

εs∝

Substrateεs∝

b) Strong Interlayer/Film Interface

Page 63: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 59

aluminum surface) remains close to the value ofsystem C (fine polished aluminum surface).However, the poor adhesion of the film on thescratched substrate should correspond to a lowervalue of the crack density at saturation. But theAl plastic flow blunts the film crack tips andalso the interfacial asperities, which inhibits thedebond from the crack/interface intersection.Thus, the crack density in the film can reach thesame saturation level as in the case of a finepolished interface.

Directly from the in situ observations, themultiple film cracking is analyzed through theevolution of the crack density with thelongitudinal strain applied to the system. Beforeany deformation, a representative zone of thesample is chosen. Its total length is 0.55 mm,extended parallel to the longitudinal axis of thesample. This initial length is denoted λ

0. For any

further deformation, it is denoted λ(ε) andcorresponds to:

λ(ε) = λ0 (1 + ε) (5)

ε is the longitudinal strain applied to the system.Then, the crack density, denoted d(ε), is definedby:

( ) ( )d

ελ

=0

(6)

N(ε) is the number of transverse cracks whichintercept the length λ(ε). The error introducedwhen counting the number of cracks is ± 2 cracksper millimeter. In order to describe the crackingresponse of our passivation films, theexperimental values of the crack density as a

function of the longitudinal strain are fitted byusing:

( ) ( )[ ]d

dA

satc

εε ε

α= − − −1 exp , (7)

εc is the critical strain for the cracking of the

film. dsat

is the density of cracks at saturation, Aa constant parameter. α is a characteristicexponent of the law. ε

c and d

sat are determined

from the experiments. A and α, are respectively,calculated from the intercept and the slope of alinear regression, when plotting ln( -ln(1 - d/d

sat))

as a function of ln(ε - εc). For each system, the

relationship for the crack density as a functionof the longitudinal strain is reported in Table 1.Figures 12 and 13 show the experimental resultsand the corresponding curves obtained fromrelation.7 Such an empirical relationship can beuseful for a statistical analysis of the crackingbehavior of the film.30,45

Redistribution of Stresses in theCracked Film

When considering a ductile substrate coatedwith a brittle film and subjected to a uniaxialstrain εs

∞ during loading and before debond ofthe film (perfect adhesion), the displacement isassumed to be continuous at the interface. Then,the substrate deformation is entirely transmittedto the film through the interface. Whenexceeding the critical cracking strain of the film,a network of transverse cracks develops. At theinterface, each crack tip will be surrounded by a

System Crack Density Relation (mm-1)

Si3N4/Al 60 (1 - exp(-5.9 (ε-0.004)0.32))

Si3N4/Al2O3/Al 61 (1 - exp(-17.0 (ε-0.004)0.85))

SiO2:4.5 wt.% P/Al 78 (1 - exp(-12.2 (ε-0.016)0.79))

SiO2:4.5 wt.% P.Al 81 (1 - exp(-24.2 (ε-0.013)0.83))

SiO2:4.5 wt.% P/Al2O3/Al 95(1 - exp(-7.3 (ε-0.004)0.46))

Table 1. Analytical Expressions for Crack Density vs Longitudinal Strain Obtainedfrom the Empirical Law

Page 64: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat60

Fig. 12: Crack density vs longitudinal strain for the systems with a SiO2: 4.5 wt.% P film. The

circles correspond to the experimental results and the solid lines to the empirical fits(relation 7).

stress field which can locally induce plastic flowin the substrate (Figure 14a). Thus, theredistribution of the strain near the free surfacesof the cracks is associated with the relaxation ofthe normal component of stress σf

xx over a

characteristic length r (Figures 14b and c). Therest of the film is assumed to remain uniformlydeformed at the applied strain εs

∞. Consequently,in these unrelaxed parts of the film, the normalstress σf

xx can be described by two terms: the

stress induced when straining the system, andthe residual stress parallel to the x axis σf

r.

σfxx

= Ef εs

∞ + σfr (8)

Ef is Young’s modulus of the film. When

transverse crack saturation is achieved thenormal stress in each film strip is expected to be

lowered, and no new transverse crack will open.The shear stress component is deduced from theforce equilibrium condition of an element of filmnear a free surface of a crack, assuming that thenormal stress σf

xx is uniform through the film

thickness (Figure 15). At a distance x from thefree surface, the interfacial shear stress τ

i is

related to the normal stress σfxx

and the thicknessof the film h by the relation:

( ) ( )τ χ

σ χχ

χχi

f

hd

d= (9)

Figure 14d shows the schematic evolutionof the interfacial shear stress. It is zero in theunrelaxed part of the cracked film. When theresponse of the system remains in the elastic

0 5 10 15 20 25 30

100

80

60

40

20

0

Cra

ck D

ensi

ty (

Cra

cks/

mm

)

SiO2:4.5 wt.% P/Al

SiO2:4.5 wt.% P/Scratched Al

SiO2:4.5 wt.% P/Al

2O

3/Al

Strain (%)

Page 65: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 61

domain, the interfacial shear stress increases nearthe free surface of the crack. On the contrary,when plasticity is activated in the substrate atthe crack/interface intersection, the interfacialshear stress passes through a maximum anddecreases near the free surface of the crack.

The brittle film cracking with plasticdeformation of the ductile substrate at theinterface has been described by using the shearlag model.24 This model, which was proposedin the analysis of the fragmentation of fibercomposites,44 develops a relation for the criticalstress producing the steady-state cracking of thefilm. It assumes that the interfacial shear stress,on the one hand, is activated at each crack tipalong the characteristic slip length r, and, on the

other hand, is constant and equal to the yieldingshear of the substrate τs

Y. Then, from relation

(9), the normal stress in the film τsY along the

characteristic slip length r at each side of thecrack is (Figure 16):

( ) ( )2/r0h

sYf <χ<

χτ=χσ χχ (10)

Finally, the critical cracking stress of thefilm is deduced from the change in energy ofthe system caused by the through-thicknesscracking. This change in energy corresponds toa balance among several energy terms: the strainenergy released by the crack propagationthrough the film, the work done by the appliedload, the released energy associated with theinterfacial yielding, and the change in strain

Fig. 13: Crack density vs longitudinal strain for the systems with a Si3N

4 film. The circles correspond

to the experimental results and the solid lines to the empirical fits (relation 7).

70

60

50

40

30

20

10

0

0 5 10 15 20 25 30

Strain (%)

Si3N4/Al

Si3N4/Al2O3/Al

Cra

ck D

ensi

ty (

Cra

ck/m

m)

Page 66: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat62

Fig. 14: Stress distribution in a cracked film. The dotted lines correspond to the elastic behaviorof the film/substrate system, the solid lines to the presence of plasticity in the substrateat the crack/interface intersection (a) crack opening in a film deposited on a substratewhich is uniaxially stretched, (b) longitudinal strain distribution in the film, (c) normalstress distribution in the film, and (d) shear stress distribution in the film at the interface.

123456789123456789123456789

Through-ThicknessCrack

Plastic Flow at theCrack/Interface Intersection

SubstrateεS

Film

Z

0

εS∞

(a)

εfx

εS∞

Efεs

∞+σfr

x

x

x

σfx

0(b)

(c)

(d)

0

τi

0

-r/2 -r/2

Page 67: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 63

Fig. 15: (a) Schematic representation of the stress profiles in an adherent portion of film at adistance x from a free surface of a through-thickness crack. Interfacial shear stress τi andpeel stress p correspond to the action of the substrate on region (1).22 The normal stressparallel to the x axis, αf

xx(x), is supposed to remain constant through the film thickness h.τf

zx is the component of shear stress which is tangential to the cross section and parallelto the z axis and (b) mechanical equilibrium in projection on the x axis of an element offilm at a distance x from the free surface.

energy caused by interfacial sliding. Then, thecritical stress for the cracking of the film σf

c is

obtained from the following relation.24

,KhF3

h 22 fcs

Y

fc

fc =⎥

⎤⎢⎣

⎡π+

τσσ (11)

Kfc is the fracture thoughness of the film and τs

Y

is the yielding shear stress of the substrate, whichis related to its tensile yield stress σs

Y through

the relation:

τσ

Ys Y

s

=3

. (12)

Free Surface of aThrough-thickness Crack

εs∞ εs

(2) film

Substrate

x

σfxx(x)

σfxx(x+dx)

τi(x)

σfxx(x)

x x + dx

τzx

0

p

z

0

h

(a)

(b)

Page 68: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat64

F is a function of the ratio of Young’s moduli ofthe film and substrate.

Assuming elastic response of the brittlefilm, the critical strain ε

c which induces the

cracking of the film can be deduced fromrelation.8 Typical material properties used in ourcalculations are given in Table 2. As discussedearlier, the residual strains are small relative tothe critical strains, and are neglected in thisanalysis. The calculated critical cracking strains

for both passivation films and for a thermallygrown Al

2O

3 layer can be compared with the

experimental results (Table 3).

Cracking Strains and CriticalParameters

An in situ tensile test in a scanning electronmicroscope permits the local observation of thefirst crack openings and propagations. For

Fig. 16: Modeling of the stress distribution in a cracked film with plasticity at the interface usingthe shear lag approximation, (a) linear evolution of the normal stress σf

xx along the

characteristic slip length r/2 and (b) sharp evolution of the interfacial shear stress τi alongthe characteristic slip length r/2.

12345678901234567890123456789012345678901234567890

Substrate

εS∞

Film

Z

0

εS∞

σfx

Efεs

∞+σfr

τsY

τi

−τsY

r/2-r/2

0

0 x

(a)

(b)

Page 69: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 65

Material Properties Al SiO2:4.5 wt.%P Si3N4 Al2O3

α (10-6. °C-1)36 23 4 1

E (GPa)27,36 70 100 150 390

ν22 0.35 0.25 0.25

σsY (MPa) 30*

σfr (MPa) -25 ± 10

Kfc (MPa.m1/2)28 5** 2 3

f(Ef/Es)3 0.65 0.70 0.82

h (μm) 0.8 0.8 0.04

Table 2. Material Properties

α : Thermal expansion coefficient E : Young's modulusν : Poisson's coefficient σY : Yield strength of the substrateσ : Residual stress in the film, Kc

f : Fracture toughness of the filmf(Ef/Es) : Modulus ratio function,24 h : Thickness of the film* : This value has been obtained from a

tensile test performed on a normalized tensilesample of 99.99% pure Al

** : This value is estimated from relation.14

System σfc (MPa) εc (Calculated) (%) εc (Experimental) (%)

Si3N4/Al 570 0.38 0.4

SiO2:4.5 wt.% P/Al 1140 1.14 1.6

Al2O3/Al 2225 0.57

Table 3. Critical Cracking Stresses and Strains Calculated from theApproach of Hu and Evans24

instance it has been shown that the Si3N

4 film is

more brittle than the SiO2:4.5 wt.% P film. The

first transverse cracks appear for a strain of about0.4% in system A, compared to 1.6% in system C.

Concerning system C, little is known aboutthe fracture toughness of the SiO

2:4.5 wt.% P.

Considering a mode I crack opening (tensile),the fracture toughness parameter K

IC is estimated

from the relation:

K1c

= σc (πa)1/2 (13)

where a is the length of the initial defect presentin the material which propagates under the critical

stress σc. By considering a full elastic response

and the same initial length of defect for bothpassivation materials, the toughness of theSiO

2:4.5 wt.% P film can be related to the

toughness of the Si3N

4 film, which is better

known, by the following empirical relation.

43

43c43

22

2 NSi,lcNSi,NSi

SiO,cSiOSiO,lc K

E

EK

ε

ε= (14)

εc and E are, respectively, the critical cracking

strain and Young’s modulus. A toughness of

The experimental results are reported for comparison.

Page 70: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat66

5 MPa·m1/2 is deduced for the SiO2 : 4.5 wt.% P

film. This value remains one order of magnitudehigher than the fracture toughness of bulk silica:K

1c = 0.75 MPa . m1/2.46,47 However, concerning a

PECVD silicon oxide film, its density increaseswhen doped with phosphorus, and this improvesits fracture toughness.48,49 Moreover, this valueappears to be realistic because the critical strainsdeduced from the experiments and thosecalculated by the model based on the shear lagapproximation are similar. Concerning thesystem with Si

3N

4 (system A), the experimental

and calculated strains remain in good agreement.Besides, the mechanical model also predicts acritical cracking strain of about 0.5% for the40 nm thick thermally grown Al

2O

3 interlayer,

which is close to the critical cracking strain ofthe Si

3N

4 film. But because of the uncertainty in

the strain measurement, the effect of the brittleinterlayer on the cracking onset in the Si

3N

4 film

was not detected with accuracy. However forthe SiO

2:4.5 wt.% P film and a brittle Al

2O

3

interlayer, the corresponding strain for initialcracking of the film was about 0.4% instead of1.6%. This experimental result points out thatthe first transverse cracks in the brittle interlayerforward the cracking of the upper SiO

2:4.5 wt.%

P film.For the system with a scratched substrate

surface (system D), the first primary cracksappear at a critical strain equivalent to the oneobserved in the case of a fine polished Al surface(system C). This observation suggests that, aslong as the film remains adherent to thesubstrate, the roughness of the substrate will notinfluence the cracking onset of the film.However, as discussed in this section, the othermain damage stages, which are multiplecracking and debonding, appear to be stronglydependent on the roughness of the substrate.

Debonding of the Films

For each system, the adhesion of the filmto the substrate is characterized by an interfacialfracture energy value. The interfacial fractureenergy term is calculated using an energeticapproach which was proposed for analyzing theloss of adhesion of cracked films from the

transverse crack/interface intersections.32 Byconsidering Griffith’s energy release term, andwhen the rigidity of the substrate is much largerthan the rigidity of the film, the analyticalrelation for calculating the interfacial fractureenergy becomes

,1

E

2

hU 2*

2f

fcχ

ευ−

= (15)

where ε*xc

is the critical longitudinal strainapplied to the system which induces thedebonding and ν

f is Poisson’s coefficient of the

film. It has been demonstrated that relation 15remains valid when the substrate deformsplastically.50 For our systems, it appears clearlythat the Al substrate yields plastically beforereaching saturation in transverse cracks. Thisplastic flow blunts the crack tips at the interfaceand inhibits longitudinal debonding of the filmfrom the transverse crack/interface intersections.However, the adhesion failure occurs by thebuckling of the strips of the cracked film underthe effect of the transverse contraction of thesubstrate. The adhesion of our films is thenanalyzed from this characteristic stage ofdamage, using the above-mentioned analyticalmodel to calculate the interfacial fracture energyassociated with the debonding and bucklingmechanism. The residual stress effects beingneglected, the critical transverse strain ε*

cy which

induces the debonding of the strips of the crackedfilm is determined from the difference betweenthe experimentally measured critical transversestrain ε

cy and the critical buckling strain ε

buckling

deduced theoretically (Figure 17).

ε*cy

= εcy

- εbuckling

(16)

The experimental critical transverse strainε

cy is obtained by measuring the reduction in

distance between two surface imperfections ona strip, which are aligned in the transversedirection and located at each side of a prebuckledregion. ε

buckling is the critical strain for the

buckling process, determined by taking intoaccount the dimensions of the observed de-adhered zones. These zones present a rectangularshape with length a, width b which is equal tothe width of the strips, and thickness h of thefilm. The expression for the critical bucklingstrain is51

Page 71: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 67

Fig. 17: Schematic representation of the interfacial failure process which is induced by thetransverse contraction of the substrate in the y direction. The system is submitted touniaxial tension in the x direction, (a) the decohesion and buckling of a strip of the crackedfilm, defined by two consecutive transverse cracks, is decomposed in, (b) a decohesionstage, and (c) a buckling stage of the decohered part of the strip.

,b

h

)1(12

k2f2

22buckling

υ−π=ε (17)

where k is a function of the ratio of a to b.The interfacial fracture energy associated

with adhesion failure can then be calculatedtaking into account the elastic properties of thefilm and the defined critical strains.

( )2bucklingcy2f

f

1

E

2

h ε−ευ−

=γ (18)

Table 4 presents, for each system, theaverage dimension of the de-adhered areas, thecritical strains, and the corresponding interfacialfracture energy.

The interfacial debond occurs after thethrough-thickness cracking of the films. It isactivated by transverse contraction of thesubstrate which induces the buckling of the stripsof the cracked films. The analysis of thisparticular adhesion failure mechanism providesinsights concerning the interfacial strength of

Strip of Film

ε*cy

Substrate

ab

z

xy

(a) Decohesion and Buckling

(b) Decohesion

Substrate

(c) Buckling

εbuckling

εcy

Page 72: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat68

film and substrate systems. This is done bymeasuring the critical debond strain, using acombination of elastic fracture mechanics andbuckling theory of plates, and deducing aninterfacial fracture energy. In the same way, anenergy release rate term associated with theadvance of a crack from a buckled debondedarea has been proposed for uniformlycompressed films.52

In the example described here, system Aand system C show interfacial fracture energyvalues, respectively, of the order of 20 J.m-2 and10 J.m-2. However, thermodynamical studies onsolid state metal/ceramic interfaces give workof adhesion varying from 0.1 J.m-2 to 3 J.m-2.53

This suggests that the work of adhesion is onlya very small fraction of the interfacial fractureenergy. This difference is due to the contributionof irreversible energy dissipation processes. Forsystems A and C, the plastic flow in the Al atthe interfacial crack tip is certainly the prevailingcontribution to the energy values we calculated.Indeed, slip lines on the Al surface are visibleunder the buckled strips of the SiO

2: 4.5 wt.% P

film (Figure 7b). In addition to the effect of theplastic deformation of the substrate, theinterfacial fracture energy depends on thetrajectory of the interfacial crack, which in turn,is influenced by the test method.54 Moreover, itis also affected by the presence of impurities atthe interface and by roughness, as is shown hereby the system D. In this system, asperities on

the substrate surface locally increase thestresses and favor the debonding process. Theinterfacial fracture energy is then one order ofmagnitude lower, i.e., about 3 J.m-2.

Yet, for systems A and C, the measuredfracture energies remain low compared with thecritical fracture energy of the bulk aluminum:103 J·m-2.46 Moreover, we do not observe islandsof passivation material on the Al fracture surfaceand, inversely, we do not observe Al on debondedsurfaces of the passivation films. This suggeststhat the loss of interfacial adhesion is close to abrittle fracture process; despite the influence ofplasticity of the Al substrate and crack blunting atthe interface. This sort of brittle mode of interfacialfailure, including plastic flow in a ductile material(the substrate), has been observed or discussedfor a sapphire/Au interface.55

Even if the Al2O

3 interlayer accelerates the

activation of the transverse cracking, it seemsto have the opposite effect on adhesion failure.Indeed, we observe for both systems with anAl

2O

3 interlayer (B and E) that the debonding

and buckling are delayed. Therefore, theadhesion of the films is improved. The presenceof this thermally grown Al

2O

3 interlayer

increases the interfacial fracture energy valuesto about 15 J.m-2 in both systems. Two qualitativeexplanations can be proposed for the adhesionimprovement. First, the Al

2O

3 certainly permits

an increase in the number of O-Si bonds betweenthe interlayer and the film. Second, prior to the

System a × b (μm) εcy (%) εbuckling

(%) ε*c (%) γ(J.m-2)

Si3N4/Al 15 × 20 -2.4 ± 0.3 -0.6 -1.8 ± 0.3 21 ± 7 Si3N4/Al2O3/Al 10 × 16 -3.4 ± 0.4 -1.1 -2.3 ± 0.3 24 ± 9 SiO2:4.5 wt.% P/Al 15 × 15 -2.6 ± 0.4 -1.0 -1.6 ± 0.3 11 ± 4 SiO2:4.5 wt.% P/Scratched Al

40 × 35 -1.1 ± 0.4 -0.2 -0.9 ± 0.3 3 ± 2

SiO2:4.5 wt.% P/Al2O3/Al 8 × 11 -4.3 ± 0.5 -2.0 -2.3 ± 0.3 23 ± 6

Table 4. Decohesion Parameters: Average Dimensions of the Decohered Areas (a × b), Critical Strains (εεεεε) and Corresponding Interfacial Fracture Energies (γγγγγ)

Page 73: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 69

deposition of the film, the substrate annealingreduces the organic contamination whichweakens the metal/ceramic interfacialstrength.56,57 Finally, from our calculations, theinterfacial fracture energy value which correspondsto system E is close to the critical fracture energyvalue G

c of Al

2O

3, 20 J.m-2.46 This result may

suggest a crack propagation in the Al2O

3 interlayer,

confirming the good adhesion of the SiO2:4.5 wt.%

P film on the thermally grown Al2O

3.

Some Concluding Remarksabout the Mechanical Stability ofPassivation PECVD Films

The uniaxial determination stretching of thissort of sample, produces transverse cracking ofthe film, until the saturation of the crack network.The debonding and buckling of the strips of acracked film is induced by the transversecontraction of the substrate.

The mechanical stability of each film/substrate system can be characterized by threesteps.1. Critical strain determination for the cracking

of the film,2. Evolution of the crack density with the

longitudinal strain applied to the system,which can be fitted with an empirical law,

3. Interfacial fracture energy determinationassociated with the decohesion andbuckling process.In our examples, the systems with a Si

3N

4

film appear to be brittler than the systems with aSiO

2:4.5 wt.% P film. However, both films show

good adhesion to the Al substrates. Besides,our experiments show that:• On the one hand, the thin thermally grown

Al2O

3 interlayer improves the adhesion of

both films, but• On the other hand, the same interlayer

imposes its own cracking response to theSiO

2:4.5 wt.% P film.

Because of high stress concentration at theinterface asperities, a scratched Al surfacesignificantly reduces the adhesion of the SiO

2:4.5

wt.% P film. Because the Al plastic flow bluntsthe crack tips reaching the interface, it delaysthe loss of adhesion of the film from the

transverse crack/interface intersections. Thisplasticity of the Al substrate remains theprevailing contribution in the large interfacialfracture energies obtained for the five systemsobtained by PECVD deposition.

PECVD SiC CoatingsDeposited on Steel

Another interesting example of themechanical stability of CVD films is ceramicscoatings deposited on metals and/or alloys,which are likely to be used in applications inwhich wear, corrosion resistance and mechanicalstrength are needed. Using a PECVD processbased on tetramethylsilane (TMS) and argonmixtures, steel substrates can be coated with adense coating of the type Si

xC

1-x(H). These

coatings have a complex microstructure butpromising physical chemical properties.58,59 Inorder to maximize the performance of suchcoatings which have a very low dry coefficientof friction and low wear rates, their adhesion toa substrate must be as good as possible.

The competition between the interfacialreactivities, the residual stresses and the elasto-plastic behavior of the components will bestrongly dependent on the mechanical stabilityof the coating-substrate combination.Mechanical stability control has been assessedwhen making ceramic/metal junctions at hightemperature (700°C - 1000°C) during whichthick reaction zones tend to form by reactivediffusion in volume intermediate layers.60,61

These problems concerning a micron-scaledeposit and an in volume substrate have rarelybeen studied in the past. However, from a surveyof literature on cases other than that of SiC onsteel, it was found that one or several interlayersmay change the stress levels in the ceramic.Moreover, once a critical thickness has beenreached, these interlayers have a beneficialeffect.62 Some work has been carried out on TiN/SiC layers on a variety of substrates.63-65

However, in all these studies, information onmechanical stability is fairly limited. Concerningthe PECVD of SiC on cutting tools coated withTiN, adherence variations in relation topretreatment processes were reported.65

Page 74: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat70

Recently, the introduction of a metallicinterlayer between a coating deposit and itssubstrate and its effects on mechanical propertieswere studied.66,67 The authors experimented witha multilayer approach: a metallic layersandwiched between two highly reactive layers.For the SiC system, no previous reference onthe interposition of a ductile layer between thedeposit and the substrate was found, and thatmotivate the study reports here.

It appears then that the role of an interlayercan be predominant in the mechanical stabilityof a coating on substrate system. Variablethicknesses may attenuate the differencebetween the residual stresses in the deposit andin the substrate. This should give the systemmore satisfactory behavior when subjected toexternal stresses.

The effect of different types of interlayeron thermoelastic residual stresses can beanalyzed from finite-element calculations for atwo-dimensional geometry, assuming perfectadherence and without taking into account anyreactivity between the components.

From the results obtained, for SiC coatingsseveral cases can be identified for which the VonMises and shear stresses and their gradients withrespect to the interface are low. For example,Ta, Mo, Ti, Nb, and TiN produce this effect,when interposed between the steel substrate andthe SiC coating.

Subsequent preliminary comparativestudies of the behavior of an SiC based layer onTa, Mo, Ti and steel substrates showed that bettermechanical stability was obtained with a coatingdeposited on tantalum.68,69 This element wasconsequently considered to make PECVDdeposit/interlayer/steel stacks. Tantalum can beproduced by physical vapor deposition (PVD),at variable thickness, with reproduciblemorphology. Note that preparation by chemicalvapor deposition with or without plasmaassistance (CVD or PECVD) is possible at lowtemperature but would require an optimizationstudy in order to be compatible with thedeposition conditions of the silicon carbide layer,the aim being to increase the mechanical stability.

The stated aim of analyzing the change inmechanical stability, implies a prior knowledge

of the mechanical properties of the componentsand the chemical nature of the interfaces. Thesamples considered here are therefore SiC/steel,SiC/interlayer and interlayer/steel dual layersand SiC/variable thickness interlayer/steel triplelayers.

Samples and MechanicalProperties of the Components

The tantalum layer were prepared by PVDon previously polished substrates. The substratesare degassed under argon atmosphere (450°C,0.2 Pa for 60 min) and then ionically cleaned(18 min, V = 200 V) prior to the deposition stageat 300°C (0.2 Pa, 4 kW, -50 V polarization). Thelayer obtained is dense but has a number of defectsas nodules and craters dispersed over it’s surface.

The silicon carbide-based ceramic layer isobtained by CVD activated by a microwaveplasma (2.45 GHz) on the tantalum previouslycleaned by an argon plasma (56 min, 133 Pa,250 W) at a temperature identical to thedeposition temperature (T

s = 570°C), lower than

the substrate annealing temperature. Afterreadjustment of the total pressure and microwavepower by introduction of a precursor (TMS) inthe argon flow, the SiC coating is producedunder selected conditions (66 Pa, TMS/Ar =0.2/5.5 l.h-1, T

s = 570°C, 350 W) derived from a

previous parametric study. Coatings obtainedthen presented low dry friction coefficients.70

The mechanical properties, which areessential in order to be able to appreciate themechanical stability, were acquired by severalmethods:• Conventional tensile test with extensometry

on standard cylindrical test specimens, forsolid commercial steel and tantalum,

• Instrumented nano-indentation (NanoIndenter II) under low applied loads (10 to100 mN) for all the samples. The announcedvalues are the average of the results of 6indentations, each resulting from twoconsecutive load/unload cycles. Young’smodulus is calculated by the softwaresupplied with the indenter using data fromthe second unloading with the Berkovichpyramid indenter of known shape function.

Page 75: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 71

• Dynamic bending for the coated plates andwith reference layer on (steel and Ta).71

For the Young’s modulus, the resultsobtained are presented in Table 5.

The results indicate that the Young’smodulus (E) determined perpendicularly to thesurface by instrumented nano-indentation onsolid steel and Ta specimens were in goodagreement (within 10%) with the values obtainedby dynamic bending and by tensile testing. Sucha result was corroborated by measurementsobtained from other materials presentingdifferent elastic responses.

Microstructural Observations:Damage Evolution

A 3-point bending test was applied to thecoating/substrate systems. In this way it waspossible to observe the increasing damage bothon the surface and on one polished side (edge)of the sample, caused by a gradual increase ofthe applied load. This test and its results aredescribed in several cases.72-74 The purpose hereis to report and discuss the bending and damageevolution with respect to the interlayer. Thebending test on parallelepiped specimens withthe coating on the outer layer (i.e., subjected toa tensile stress), produced transverse cracks(perpendicular to the longitudinal axis), whichincreased in number with the specimendeflection. For our samples we observed that thenumber of cracks increases until a constant valueper unit length is reached, independently ofstrain.

At this stage, the distance ds (distance to

saturation) between two consecutive cracks nolonger varies. As in the previously reportedexperiment, this change may be accompanied

by flaking (surface separation) of the film,75 bycrack propagation into the substrate, or byinterfacial deviation of the crack without flaking.As shown previously from experimental values,corresponding for example, to the appearanceof the first cracks, and by using analyticalmodels, it is possible to deduce the parametersquantifying failure behavior.

The parameters include the critical cracking

energy GCrackCrit and the mode-I tensile toughness

KIc of the coating. For the dual layer and triple

layer systems presented here, the parametersdeduced from three experiments for each typeof sample are presented in Tables 6 and 7. Thestrain values are measured to an accuracy of± 0.02% following a prior calibration. Theapplied stress is known to be within 0.1%, froma force sensor and the distance between twocracks is the average of twenty or so valuesdetermined to an accuracy of one micron in thecentral part of the specimen.

For the Ta/steel system, the results show thatthe induced strain associated with cracking isindependent of thickness, and no separationoccurs at the test limit. For the SiC/Ta/steel triplelayer system, the strain associated with crackingis also independent of thickness, but debondingbecomes easier as tantalum thickness increases.An increasingly smaller amount of energy istransferred to the external SiC layer through thetantalum, leading to a correlative increase in theinter-cracking distance. Observed transversecracks are straight, with regular spacing, and stopat the steel-tantalum interface (Figure 18).

For the SiC/Ta and SiC/steel bilayers, thephenomenology is identical except that, incertain cases, transverse cracking isaccompanied by separation (flaking) of thecoating. The inter-crack distances are greater

Ref. Etensile (GPa) Ebending (GPa) Eindentation (GPa)

30NCD14 213 204.5 ± 0.3 226 ± 4

Ta bar 170 187.7 ± 0.5 172 ± 4

Table 5. Young Moduli (E) of the Substrates, Obtained from Different ExperimentalMethods

Page 76: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat72

critcrackε

critcrack /ε

Ta (PVD)/steel

SiC/steel 0.3 μm Ta 0.6 μm Ta 1.2 μm Ta 2.6 μm Ta SiC/Ta

0.55% 1.62% 1.62% 1.62% 1.62% 0.24%

1.63 >2.24 >2.24 >2.24 >2.24 1.47

824 3330 3330 3330 3330 358

1.0 to 2.7 1.3 to 1.7 1.9 to 2.5 2.7 to 3.5 3.9 to 5.2 0.3 to 0.8

critsepar /ε

critcrackσ

]MPa[

m

Table 6. Bending Tests on bi Systems with and without Ta Interlayer

The experimental results correspond to: :critcrackε critical strain when cracking, crit

crackσ corresponding

stress. The ratio among the critical strain for debonding (critseparε ) and the critical cracking strain (

critcrackε )

is also indicated. KIc is the fracture toughness deduced from relations (19) and (20).

with smaller critical strains associated withcracking and separation. The coatings on a solidtantalum substrate (SiC/Ta) show early activationof these mechanisms, probably due to the poorsurface condition of the substrate which wasdifficult to polish well. In this case, transversecrack propagation into the substrateoccasionally occurs, but only to a limited extent.

Regarding the trilayered systems (SiC/Tax/

steel), the critical strain values corresponding tocracking of the coating are of the same order ofmagnitude (about 0.5%) but crack propagationvaries with coating thickness. The cracks stillpass through the entire thickness of the siliconcarbide deposit. They then extend preferentiallyinto the tantalum layer when this layer is 0.3 μmthick whereas they deviate at the interface in theSiC/Ta

0.6 μm/steel triple layer. However, in this

latter case, sub-cracking of the tantalum occurs.The cracks have a spacing of 10-15 μm, a distancewhich is similar to that obtained in the case ofthe SiC/Ta

0.3/steel system (Figure 18). For greater

tantalum layer thicknesses (SiC/Ta1.2

or 2.6 μm

/steel), the cracks blunt at the tantalum interface.When the strain induced by the test increases,the resulting damage is mainly in the form ofdebonding at the SiC/tantalum interface.

The ratio between strain at separationdebonding to strain on cracking (ε εsepat

critcrackcrit/ ) is

smaller than the same ratio for the SiC/steelreference system for thick tantalum layers (SiC/Ta

1.2

or 2.6/steel), much higher for Ta/steel and

SiC/Ta0.3

/steel deposits, and about the same forthe SiC/Ta

0.6/steel system (see Tables 6 and 7).

This provides qualitative information on themechanical stability of these systems.

Critical Parameters

In, the central zone, the regular distributionof transverse cracks shows that the inducedstrain is rather homogeneous. Consequently,many analytical models can be applied in orderto determine the intrinsic parameters of thecoatings. The critical cracking energy Gcrack

crit andthe mode I fracture toughness KIC

crit of thedeposited silicon carbide film were assessed bymeans of the model presented previously. Itshould be remembered that it was firstestablished and developed for compositematerials based on research by Kelly76 andsubsequently by Hu24, that when the stressnormal to the coating reaches a critical value

]MPa[IcK

Page 77: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 73

σcrackcrit , the layer cracks. In the vicinity of the free

surfaces of the cracked film, the normal stressthen tends to zero. Similar to relation 11 for thecritical cracking energy, Gcrack

crit , a relation can bededuced, taking into account the work performedby the external force during film cracking, theelastic energy dissipated when the crackspropagate in the film, the energy associated withplastic strain in the vicinity of the cracks and thechange in energy induced by redistribution ofthe normal stress in the substrate.24 Byconsidering the residual stresses, σ

rf, in the film,

this critical cracking energy is expressed as:

GE R

crackcrift crack

critrf

ff

crackcrit

rf

e

=+

++⎧

⎨⎪

⎩⎪

⎫⎬⎪

⎭⎪( )σ σ

πσ σ2

3 (19)

In equation (19) which is similar to equation(11), πf is a function of the ratio of Young’smoduli of the film and the substrate, and crit

crackσ isthe critical stress of the film which correspondsto the activation of primary transverse cracking.This parameter is directly deduced by applyingthe Hooke's relation to the film, deformed at acritical strain by the substrate, which isdetermined from the experiment. We may note

Fig. 18: Schematic representations and micrographs of damage: case of the SiC / steel referencesystem and SiC/ Tax/steel triple layers (x corresponds to the Ta interlayer thickness inmicrons).

Steel/SiC Steel/Ta0.6

/SiC Steel/Ta1.2-2.6 SiCSteel/Ta0.3/SiC

Page 78: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat74

here that the residual stress term is considered.Assuming uniaxial stress application in the filmplane, an apparent mode I- fracture toughnessof the film (K

Ics ) can then be calculated as:

fcritcrack

sIc EGK ⋅= (20)

The fracture toughness values of the siliconcarbide deposits on steel thus calculated (cf.Table 6) are of the same order of magnitude asthe toughness of a sintered silicon carbide (1 to4 MPa.m 1/2).77 On a tantalum substrate, these arelower. These differences are probably due toresidual stresses which vary considerablydepending on the nature of the underlyingsubstrate.

Regarding PVD Ta, the values reflect thespecific nature of this material compared to ascast tantalum. The observed increase in Kf

Ic with

thickness may result from an interfacecontribution which is the greater as thedeposited layer is thinner or from possiblechanges in the morphology of the layer in relationto its thickness.

The analytical approach developed bySchadler and Noyan,78 allows calculation of thestress redistribution in cracked triple layersystems.38 This approach assumes mechanicalequilibrium of the cracked coating and theinterlayer through perfectly adhering interfaceswhich transfer the applied stress to the substrate.It is thus possible to deduce expressions forstress distribution normal to the cracked film andshear stress distribution at the interlayer:

σSiC

= σsE

SiC{cosh (β.x) / cosh (dβ/2) -1}/E

s (21)

τ(x) = GTa

σs sinh (β.x) /h

TaE

s β cosh (βd/2) (22)

where d is the intercracking distance, and σs

represents the stress imposed by the test on thesubstrate in the vicinity of the interface andwhere β is defined by:

β2 = GTa

(1/Esh

s + 1/E

SiCh

SiC)/e

Ta (23)

The calculation hypotheses assumescontinvity of displacements across theSiC/Ta

1.2 μm/steel triple layer interfaces. The

variation in shear stress calculated by the above-mentioned relationship is then used to make acomparison between the assumed perfect

adherence of the calculations and theexperimental observations.

As a numerical application, we can considerhere a shear stress of 800 MPa, estimated fromcoating cracking stresses. Then the inter-crackdistances resulting from perfect adherence canbe calculated.79 This distance can be comparedto the experimental values in Table 7. ForSiC/Ta

0.3/steel, there is good agreement between

the theory (perfect adhesion) and theexperimental observations. On the other hand,for the other systems, the significantly smallertheoretical distances compared with experimentaldistances is indicative of unsatisfactoryinterfacial attachment in the vicinity of thetransverse cracks of the coating.

The Effects of an Interlayer

Trilayered systems can then be analyzedaccording to two different approaches: the firstfocused on the reactional aspects at theinterfaces and the second concentrated on themechanical stability of the triple layer system.

Concerning the SiC coating composition ofour systems, SIMS analyses demonstrated thecompositional homogeneity of the layer whichis slightly hydrogenated. Regardless of thesubstrate (tantalum or steel) used to deposit thecoating at 843 K for 15 min, a 0.3 - 0.4 μm thickdiffusion-reaction zone is formed. However, inthe case of SiC/steel deposits, no clear evidencecould be found for the presence of Fe-Si bonds:because the oxygen present at the interfacecould mask it. At the Ta/steel interface, no peakswere detectable, but in view of the phase diagramwhich exhibits extensive solid solutions, thepossibility of an interaction in the Fe-Ta systemcannot be excluded.

As regards the SiC/Ta interface, the peakshifts (Si, C) reflect the changes in chemicalenvironment of these elements and the existenceof complex bonds in the Ta-Si-C-O and Ta-Csystems. Note that Auger measurementsperformed on the denuded parts of the substrateobtained during scratch tests, and on these sameparts obtained during bending tests, giveevidence of a failure surface made of tantalum,

Page 79: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 75

regardless of the thickness of the intermediatetantalum layer (Figure 19).

If compared to normal indentation andscratch tests, identical qualitative ranking ofmechanical stability of the trilayered samples wasobtained.79 The behavior of the TMS3 sample(SiC/Ta

1.2/steel) stands out clearly from the

others. For this thickness of tantalum, theresistance to flaking caused by scratch andindentation tests reaches a maximum value withreduced flaking. This optimum thickness is tobe linked to the state of residual stresses in thedeposits as evidenced by the concomitant

variations in the parameters involved (Figure 20).When the coating is under the highest residualstress, the best mechanical stability is obtainedin the scratch and indentation tests. Several otherauthors have mentioned this relation in the past.80

More recently, Kleer81 showed that the critical loadL

c increases when the state of stress in an AlN

coating on silicon carbide gradually changes fromtensile to compressive.

From another standpoint, the mechanicalstability of various samples can be ranked bythe bend test by referring to experimental datasuch as ε εsepar

critcrackcrit/ . In particular, the

SiC (-O-H)Si-C-Ta (-O-H)

0.3 μm

Ta-Si-C-O Ta-C

(-H)Ta Fe

↑ failure

Fig. 19: Schematic representation of a SiC/Ta/steel systems deduced from SIMS and Augeranalysis, including the possible site of debonding failure.

SiC/Tax/steel

TMS6 TMS5 TMS3 TMS4

0.3 μm Ta 0.6 μm Ta 1.2 μm Ta 2.6 μm Ta

0.48% 0.54% 0.53% 0.51%

4.50 1.67 1.20 1.26

17 27 37 57

720 806 798 766

1090 1210 2100 1150

14 6.5 6 6

critcrackε

ε εseparcrit

crackcrit/

d msexp[ ]μ

σcrackcrit MPa[ ]

σs MPa[ ]

d mscalc [ ]μ

Table 7. Bending Tests on Triple Layer Systems

The strains ε are defined on Table 6, also critcrackσ . ds

exp and dscalc are the experimental and calculated distances

between two consecutive cracks at saturation, and σs is the stress applied during the test on the substrate

in the vicinity of the interface.

Page 80: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat76

characteristic parameters of the layers can bededuced, something that has not been possibleup till now with the other methods used in thiswork. Thus the calculated fracture toughnessvalues for a silicon carbide layer deposited ontantalum or steel (of the order of 1 MPa.m1/2) areconsistent with the order of magnitude of theusual values for ceramic materials. On the otherhand, the fracture toughness tensile strengthvalues of PVD tantalum layers are very lowcompared to those generally taken for in volumetantalum (between 20 and 40 MPa.m1/2). Thesefilms therefore have specific properties.

The analytical lag approach, based on straintransfer by shearing of the intermediate layer,provides information on the separationmechanism. The coating with a 0.3 μm thicktantalum layer (sample TMS6) exhibits the mostefficient transfer capacity without debonding.A close examination of the damagedcrystallographic surfaces (polished edge ofplates or indentation denuded surfaces alongthe extension of radial cracks) indicates the

presence of cracks which extend into thetantalum. These cracks are all the more numerousas the interlayer thickness decreases. It is alsofound that, for thin tantalum layers, the crackspass right through the layers to the substrate.However, when the interlayer thicknessincreases, the cracks tend to die out in thetantalum or deviate along the SiC/Ta interface.All things considered, the damage in a triple layersystem will, as a first approximation, be governedby the mechanical properties of the interlayerand of the first interface.

The methods applied to assess mechanicalstability give proof of the beneficial role of theinterposition of a PVD tantalum layer betweenthe PECVD deposited ceramic layer and thesubstrate: a factor of 2 gain in strain and in criticalload with respect to the SiC/steel pair. However,it is nonetheless clear that the optimum thicknessof the interlayer could not be established withcertainty. At the present time, there does notappear to be any experimental explanation forthis. The only factor that might be stressed is

Fig. 20: Critical scratching load Lc, macro-indentation failure load F and residual stresses σr, SiCin the triple layer system. eTa corresponds to the thickness of the Ta interlayer.

0

-1000

-2000

-3000

0.0 0.5 1.0 1.5 2.0 2.5 3.0

16

14

12

10

8

6

4

3000

2000

1000

0

xF (N)

Lc (N)

eTa

(μm)

σrSiC (MPa)

Page 81: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 77

that, given the existence of an interdiffusion-reaction zone between the coating and themetallic interlayer (see Figure 19), theimprovement cannot be attributed to the changein residual stresses alone, and that the differenttests induce different stress fields. Unlike thescratch and macro-indentation tests whichquickly place the material under stress (just afew seconds), the bend test requires severalminutes. Under these conditions, there is timefor stress to redistribute and also relax byplasticity in the interlayer and at the top of thesubstrate.

In order to be able to optimize themechanical stability of such systems, more testsmust be performed along with in-depthmicrostructural examination of the interfaces.

Conclusions

Because of the processing method, CVDintroduce residual stresses in the films onsubstrates.

These stresses can reach values as high asflow stress values, and then induce some stressrelaxation mechanisms which can includeplasticity and/or spontaneous cracking.Meanwhile, if the internal stress levels are lower,any external stress can be critical, and promotea damage mechanism, similar to thosepreviously described.

Therefore, it is important to observe andstudy the mechanical response of film onsubstrate systems under external stresses, inparticular when establishing the reliability ofPECVD systems.

A lack of experimental information exist inthis area that can be addressed by performingin-situ experiments.

The micromechanical experiments in aSEM, characterize the damage evolution onpreselected areas of film on substrate systems.

The results on different PECVD films,showed that the failure of the systems wasdifferent, depending on the associated materialsand on the interfacial behavior when thedebonding occurred with buckling, or byinterfacial crack deviation, the transverse cracksaturation was reached at lower strains. No

debonding showed higher crack saturationvalues. Following the activation of themechanisms, which drive a system to its failure,critical parameters can be derived from theexperiments as follows.• The critical stresses and strains for cracking,

the toughness of a layer,• The critical length for stress transfer for the

system presenting an interlayer;• The interfacial fracture energy associated

with the debonding of a layer.The values of these parameters

corresponding to intrinsic properties of thesystems, can be cross checked and discussedwith respect to parameters calculated fromanalytical models.

Finally, if may be emphasized that theseexperiments allow first to improve theinformation which is needed to validate theoreticalmodels and second it allows to select film onsubstrate systems for defined applications.

References

1. E. Klokholm, Delamination and Fracture ofThin Films, IBM Journal of Research andDevelopment, Vol.31(No.5), 1987, p.585.

2. A.A. Griffith, Proceedings of FirstInternational Congress of AppliedMechanics, Delft, 1924, p.55.

3. G.I. Barenblatt, Mathematical Theory ofEquilibrium Cracks in Brittle Fracture,Advanced Applied Mechanics, Vol.7, 1962,p.55.

4. M. Toya, Fracture Mechanics of Interfaces,JSME International Journal, Series I,Vol.33(No.4), 1990, p.413.

5. D. Tabor, Surface Forces and SurfaceInteractions, Journal of Colloid andInterface Science, Vol.58(No.1), 1977, p.2.

6. K.L. Mittal, Adhesion Measurement ofThin Films, Electrocomp. ScienceTechnology, Vol.3, 1976, p.21

7. R.J. Good, Intermolecular and InteratomicForces Dans Treatise on Adhesion andAdhesives, R.L. Patrick, ed., M. DekkerInc., New York, Vol.1, 1967, p.9.

8. D. Taylor and J.E. Rutzler, Adhesion UsingMolecular Models, Industrial Engineering

Page 82: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat78

Chemistry , Vol.50, 1958, p.928.9. G. Bordier and C. Noguera, Electronic

Structure of a Metal/Insulator Interface:Towards a Theory of NonreactiveAdhesion, Physics Review B,Vol.44(No.12), 1991, p.6361.

10. F.S. Ohuchi and M. Kohyama, ElectronicStructure and Chemical Reactions at Metal/Alumina and Metal/Aluminum NitrideInterfaces, Journal of Amercian CeramicSociety, Vol.74(No.6), 1991, p.1163.

11. N. Eustathopoulos, D. Chatain, andL. Coudurier, Wetting and InterfacialChemistry in Liquid Metal/ceramicSystems, Materials Science andEngineering, Vol.A135, 1991, p.83.

12. E.D. Hondros, Bonding of Metal/CeramicInterfaces, International ConferenceScience Hard Materials, Institute of PhysicsConference Ser., Vol.75(No.2), 1986, p.121.

13. T. Suga and G. Elssner, Determination ofthe Fracture Energy and the FractureResistance of Interfaces, Journal of Physics,Vol.C4(No.46), 1985, p.657.

14. D.S. Rickerby, A Review of the Methodsfor the Measurement of Coating/SubstrateAdhesion, Surface and CoatingsTechnology, Vol.36, 1988, p.541.

15. A. Chouaf, Etude de la Stabilité Mécaniquede Films de Tungsténe déposés Sur DesSubstrats de Silicium, Thése, INPG,Grenoble, 1992.

16. P. Scafidi, Fissuration et Perte d’adhérencede Couches de Passivation Sur Substratsd’aluminum, DEA, INPG, Grenoble 1992.

17. W.D. Nix, The Mechanical Properties ofThin Films on Substrates, MetallurgicalTransactions, Vol.A1, 1989, p.2217.

18. F.M. D’Heurle and J.M.E. Harper, Note onthe Origin of Intrinsic Stresses in FilmsDeposited Via Evaporation and Sputtering,Thin Solid Films, Vol.171, 1989, p. 81.

19. M.F. Doerner and W.D. Nix, Stresses andDeformation Processes in Thin Films onSubstrates, CRC Critical Review SolidState Materials Science, Vol.14, 1988, p.225.

20. P. Dhaudari, Grain Growth and Stress Reliefin Thin Films, Journal of Vacuum Scienceand Technology, Vol.9(No.1), 1972, p.520.

21. R.W. Hoffman, The Mechanical Properties

of Thin Condensed Films, Physics of ThinFilms, G. Hass and R.E. Thurn, eds.,Academic Press, New York, Vol.34, 1966,p.211.

22. H.E. Evans, Cracking and Spailing ofProtective Oxide Layers, Material Scienceand Engineering, Vol.A120, 1989, p.139.

23. M. Hershbovitz, I.A. Blech, and Y. Komen,Stress Relaxation in Thin Aluminum Films,Thin Solid Films, Vol.130, 1985, p.87.

24. M.S. Hu and A.G. Evans, ActaMetallurgica, Vol.37, 1989, pp.917-925

25. F. Delannay and P. Warren, ActaMetallurgica, Vol.39, 1991, pp.1061-1070.

26. J.W. Hutchinson and Z. Suo, AdvancedApplied Mechanical, Vol.29, 1992, pp.63-95.

27. T. Ye, Z. Suo, and A.G. Evans,International Journal of Solid Structures,Vol.29, 1992, p.2639.

28. G. Gille and K. Wetzig, Thin Solid Films,Vol.110, 1983, pp.37-45.

29. W. Weibull, Journal of Applied Mechanics,Vol.18, 1951, pp.293-297.

30. A. Mézin, J. Lepage, N. Pacia, andD. Paulmier, Thin Solid Films, Vol.172, 1989,pp.197-215.

31. D.C. Agrawal and R. Raj, Acta Metallurgica,Vol.37, 1989, pp.1265-1279.

32. T.S. Chow, C.A. Liu, and R.C. Penwell,Journal of Polymer Science, Vol.14, 1976,pp.1305-1312.

33. F. Faupel, C.H. Yang, S.T. Chen, andP.S. Ho, Journal of Applied Physics, Vol.65,1989, p.1911.

34. M. Ignat, A. Armann, L. Moberg, andF. Sibieude, Surface and CoatingsTechnology, Vol.49, 1991, pp.514-521.

35. M. Ignat, M. Ducarroir, M. Lelogeais, andJ. Garden, Thin Solid Films, Vol.220, 1992,pp.271- 277.

36. M. Ignat, L. Fayette, F. Templier, andJ. Torres, Proceedings of MaterialsResearch Society Symposium, Vol.264,1992, pp.207-213.

37. P. Seafidi and M. Ignat, Proceedings ofMaterials Research Society Symposium,Vol.309, 1993, pp.55-60.

38. M. Ignat, P. Scafidi, E. Duloisy, andJ. Dijon, Proceedings of Materials Research

Page 83: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Stresses and Mechanical Stability of CVD Thin Films 79

Society Symposium, Vol.338, 1994,pp.135-146.

39. P.A. Flinn, Stress Induced Phenomena inMetallization, C. Li, P. Totta, and P. Ho,eds., Proceedings of AIP Conference,New York, Vol.263, 1992, pp.73-79.

40. J. Bénard, L’Oxydation Des Mdtaux,Gauthier-Villars, ed., Tome II, 1964.

41. A. Chouaf, M. Ignat, P. Normandon, andJ.M. Terriez, Proceedings of MaterialsResearch Society Symposium, Vol.203,1991, pp.177-182.

42. M. Ignat, Key Engineering Materials,Vol.116-117, 1996, pp.279-289.

43. M. Ignat, C. Josserond, and L. Debove,Bulletin De La SFME, Vol.14, 1995,pp.10-13.

44. J. Aveston, G.A. Cooper, and A. Kelly, TheProperties of Fiber Composites, IPCScience Technology Press, U.K., 1971.

45. P.H. Wojciechowski and M.S. Mendolia,Journal of Vacuum Science andTechnology, Vol.A7, 1989, pp.1282-1291.

46. M.F. Ashby and D.R.H. Jones, EngineeringMaterials, Pergamon Press, Vol.1, 1980.

47. G.R. Anstis, P. Chanttikul, B.R. Lawn, andD.B. Marshall, Journal of AmercianCeramic Society, Vol.64, 1981, p.533.

48. P.A. Flinn, Proceedings of MaterialsResearch Society Symposium, Vol.130, 1989,pp.41-52.

49. B. Bhushan, S.P. Murarka, and J. Gerlach,Journal of Vacuum Science andTechnology, Vol.138, 1990, pp.1068-1075.

50. A. Davutoglu and I.A. Aksay, Surfaces andInterfaces in Ceramic and Ceramic-MetalSystems, University of California BerkeleyPress, 1980, pp.64-70.

51. S.P. Timoshenko and J.M. Gere, Theory ofElastic Stability, Me Graw Hill, New York,1961.

52. A.G. Evans and J.W. Hutchinson,International Journal of Solids Structures,Vol.20, 1984, p.455-465.

53. E.D. Hondros, Institute of PhysicsConference Ser., Vol.75, 1986, pp.121-128.

54. A.G. Evans, B.J. Dalgleish, M. He, andJ.W. Hutchinson, Acta Metallurgica, Vol.37,1989, pp.3249- 3260.

55. I.E. Reimanis, B.J. Dalgieish, M. Brahy,M. Rühle, and A.G. Evans, ActaMetallurgica, Vol.38, 1990, pp.2645-2663.

56. D.M. Mattox, Deposition Technology forFilms and Coatings, R.F. Bunshah, ed.,N.Y., 1982, p.63.

57. A.I. Sauter and W.D. Nix, IEEETransactions CHMT, Vol.15, 1992,pp.594-603.

58. S. Scordo, M. Ducarroir, T. Grégoire,and C. Boher, French Patent No.9507999,1995.

59. M. Ducarroir, L. Thomas, S. Scordo,R. Berjoan, M. Andrieux, andJ.L. Jauberteau, Annual Chemical ScienceMaterials, Vol.23, 1998.

60. R. Schiepers, Ph.D., Eindhoven University,The Netherlands, 1991.

61. W.A. Kaysser, A. Frisch, W. Zhang,and G. Petzow, Metal Ceramic Joining, theMinerals, Metals and Materials Society,Vol.13, 1991.

62. K. Suganuma, T. Okamoto, andM. Koizumi, Journal of American CeramicSociety, Vol.C256, 1984.

63. E. Cappelli, G. Giunta, A. Parretta,and V. Adoneecchi, High PerformanceCeramic Films and Coatings, 1991, p.409.

64. G. Giunta, M. Fiorini, V. Vittori, andG. Marchesano, Surface and CoatingsTechnology, Vol.49(No.1-3), 1991, p.174.

65. V.A. Mernagh, T.C. Kelly, M. Ahern,A.D. Kennedy, A.P.M. Adriaansen,P.P.J. Ramaekers, L. Mcdonnel,and R. Koekoek, Surface and CoatingTechnology, Vol.49, 1991, p.462.

66. M. Nesladek, C. Asinari, J. Spinnewyn,R. Lebout, Diamond and Related Materials,Vol.3(No.4-6), 1994, p.912.

67. M. Nesladek, K. Vandierendonck,C. Quaeyhaegens, M. Kerkhofs, andL.M. Stals, Thin Solid Films, Vol.270, 1995,p.184.

68. A. Angelelis, M. Ducarroir, E. Felder,M. Ignat, and S. Scordo, Annual ChemicalScience Materials, Vol.23, 1998.

69. M. Andrieux, M. Ducarroir, and A. Le Vide,Science, Technique et Applications,Vol.279, 1996, p.212.

Page 84: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Ignat80

70. C. Boher, M. Ducaroir, S. Scordo, andT. Grégoire, Annual Chemical ScienceMaterials, Vol.23, 1998.

71. P. Mazot and S. Pautrot, Annual ChemicalScience Materials, Vol.23, 1998.

72. M. Ignat, M. Ducarroir, M. Lelogeais,and J. Garden, Thin Solid Films, Vol.220,1992, p.271.

73. M. Ignat, A. Armann, L. Moberg, andF. Sibieude, Surface and CoatingTechnology, Vol.49, 1991, p.81.

74. P.M. Ramsey, H.W. Chandler, andT.F. Page, Thin Solid Films, Vol.201, 1991,p.514.

75. S.P. Timoshenko, Theory of ElasticStability, Mc Graw Hill, New York, 1961.

76. A. Kelly, Strong Solids, Clarendon Press,Oxford, 1973.

77. CRC Handbook of Chemistry and Physcis,66th Edition, CRC Press Inc., Boca Raton,Florida.

78. L.S. Schadler and I.C. Noyan, Proceedingsof Materials Research Society Symposium,Vol.239, 1992, p.151.

79. M. Andrieux, Thèse No.171D, Université DePerpignan, 21 Février 1997.

80. P.J. Burnet and D.S. Rickerby, Thin SolidFilms, Vol.154, 1987, p.403.

81. G. Kleer, R. Kassner, E.M. Meyer,M.G. Schinker, and W. Doell, Surface andCoatings Technology, Vol.54-55, 1992, p.167.

Page 85: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

81

Chapter 4

Combustion Chemical VaporDeposition (CCVD)

A.T. Hunt and Matthias PohlMicro Coating Technologies, Inc.3901 Green Industrial wayChamblee, Ga 30341

Abstract

Combustion Chemical Vapor Deposition(CCVD) allows deposition of thin films that conferspecial electronic, catalytic, or optical properties,corrosion and oxidation resistance. The CCVDprocess is a novel, open-atmosphere process that isenvironmentally friendly and does not requireexpensive reaction/vacuum chambers. Often coatingsare of equal or better quality than those obtained byvacuum-based methods. Coating costs aresignificantly lower than for more traditional processessuch as Chemical Vapor Deposition (CVD) andPhysical Vapor Deposition (PVD). Equally important,this novel technology can be implemented in aproduction-line environment, thus enablinguninterrupted processing. To date over 70 differentinorganic materials have been deposited onto a variety

of substrates ranging from metals and ceramics topolymers. Compositionally complex films and multi-layered coatings are possible. CCVD has been shownto provide excellent stoichiometric control for suchcoatings.

Introduction to theCombustion CVD Process

The innovative Combustion ChemicalVapor Deposition (CCVD) process, patented1

for applications other than the diamondcoatings by the Georgia Institute of Technologyand licensed exclusively to Micro CoatingTechnologies, Inc., (MCT, Chamblee, GA) hasdemonstrated its ability to overcome many ofthe shortcomings of traditional vapor

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p81-102 DOI:10.1361/chvd2001p081

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 86: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hunt and Pohl82

deposition techniques while yielding equal orbetter quality coatings at a lower cost. The keyadvantage of the liquid solution CCVDtechnology is its ability to deposit thin films inthe open atmosphere (or any pressure above 10Torr) using inexpensive liquid-based precursorsor traditional high vapor pressure precursors.This obviates the need for costly furnaces,vacuum equipment, reaction chambers, andpost-deposition treatment (e.g. annealing).

A schematic representation of a liquidsolution CCVD system and its majorcomponents can be seen in Figure 1: typically,precursors are dissolved in a combustiblesolvent which also acts as the fuel for the flame.This solution is atomized to form submicrondroplets by means of a proprietary technology(NanomizerTM technology, patent pending).These droplets are then carried by an oxygengas stream into a flame where they arecombusted. Coating is accomplished by simplydrawing combustion plasma containing theactivated deposition species over the substrate’ssurface. The thermal energy from the flame

provides the means to evaporate the dropletsand for the precursors to react and deposit ontothe substrate. The entire process generally takesno more than two hours from initial set-up topost-deposition cleaning. Multiple experimentalutilizing the same solution require less than 30additional minutes per run. Therefore, coatingsand their properties can be optimized quicklyin a systematic manner.

The liquid solution CCVD process does notdeposit droplets (these evaporate in the flameenvironment) or powders as in traditionalthermal spray processes. The CCVD technologyis drastically different from spray pyrolysis: Inspray pyrolysis, a liquid mixture is sprayed ontoa heated substrate, while CCVD atomizes aprecursor solution into sub-micron dropletsfollowed by vaporization of said droplets. Theresulting coating capabilities and propertiesdescribed hereafter qualifies CCVD as a truevapor deposition process. For example,depositions are not line-of-sight limited andachieve epitaxy, 10 nm dielectric coatings ontosilicon wafers in a Class 100 clean room resulted

Fig. 1: Schematic representation of the open-atmosphere CCVD system showing its majorcomponents.

Oxidizing Gas

Flow Meter

Precursor Solution

HPLCPump

InlineFilter

Nanomiser™

Flame

Substrate

Device

Page 87: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Combustion Chemical Vapor Deposition (CCVD) 83

in a capacitor yield of 100%. This result atteststo the high quality of the coatings, which werefound to be free of particles, pinholes and otherdefects. For some materials, substratetemperatures may be as low as 100°C, thus,enabling deposition onto a wide variety ofmaterials including polymers.

By adjusting solution concentrations andconstituents, a wide range of coatingstoichiometries and compositions can beachieved. This is especially valuable forachieving specific composition and thin filmcharacteristics. Conventional CVD requiresprecursors with sufficiently high vapor pressuresto enable vapor phase transport. This frequentlynecessitates the use of expensive materials andoften produces toxic fumes which must becarefully treated (“scrubbed”). In contrast, theliquid solution CCVD technique uses solubleprecursors that do not need to have a high vaporpressure. Precursors for the CCVD process tendto be between 10 and 100 times less expensivethan those used in traditional CVD processes.Physical structure and chemical compositionof the deposited films can be tailored to thespecific application requirements: This greatlyfacilitates the rational design of thin films.

In summary, the CCVD process offers thefollowing capabilities.• No Need for Specialized Chamber:

Depositions are inexpensively performedat ambient conditions without the need forexpensive, specialized equipment such asreaction furnaces and/or vacuum chambers,reducing repair times and costs.

• Uses Inexpensive Precursors: Solubleprecursors are used instead of expensive,high vapor pressure organometallics.

• Excellent Composition Control: Solutionproperties is adjustable to allow for greatversatility in depositing a wide variety ofcomplex multi-component compoundswith targeted stoichiometries.

• Suitable for Continuous Production: Theequipment enables a robust productionsystem which has been shown to operatewithout failure around the clock for severaldays. Substrates that have been coatedinclude fiber tows, pins, industrial rollers,wire, radiators, and roll sheet material.

• Straightforward Integration into ExistingProduction Processes: Advanced materialscan be deposited onto large surface areas,including assembled parts that would bedifficult to place inside a traditionalcoating chamber.

• Ability to Deposit Multi-LayeredStructures: CCVD flames are regularlyused in sequence to deposit multi-layeredstructures.

• Wide Choice of Substrates: The CCVDprocess allows deposition on to manydifferent substrate materials, includingplastics which can be incompatible withvacuum processes. Different sizes andshapes can be processed including non-planar substrates.

• Precise Control of Coverage Area: Coatingcan be limited to specific areas of a substrateby simply controlling the dwell time.

• No Line-of-Sight Limit: Operating atatmospheric pressure randomizes atomtrajectories through diffusion. This permitsinfiltration into high aspect ratio holes andvias, as well as complete coverage aroundfibers and wires. This contrasts withconventional long mean-free-pathprocesses where the “shadowing” ofmolecular rays affects distribution ofcoatings over non-planar surfaces.

• Outstanding Microstructure Control:Microstructure of the deposited film isclosely controlled, ranging from dense,epitaxial films to high surface area,nanoporous layers.

• Accelerated Development Cycle for NewApplications: Development of coatings forspecific applications is achieved morerapidly than with traditional technologies:a large number of samples are quicklyprepared for testing and optimization.

• Environmentally Friendly: Relativelysafe chemical precursors (non-toxic andhalogen-free) are used, resulting in benignby-products, thus reducing environmentalimpact.As a result, capital requirements and

operating costs are reduced up to tenfold whencompared to competing chamber-and vacuum-

Page 88: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hunt and Pohl84

based technologies (e.g. sputtering and mostCVD). The ability to deposit thin films in theopen atmosphere enables continuous,production-line manufacturing. Consequently,throughput potential is far greater than withconventional thin-film technologies, most ofwhich are generally restricted to batchprocessing. Thus far CCVD has been used toprepare more than seventy distinct materialcompositions for a variety of applications.(Table 1).

Related Technologies andProcesses

What follows is a review of the open andpatent literature of thin film deposition processesthat are related to Combustion Chemical VaporDeposition (CCVD). This will help todistinguish the novel CCVD process from more

classical processes such as CVD, spraydeposition, and thermal spraying and tohighlight its inherent advantages andlimitations.

Combustion CVD vs. TraditionalCVD

Chemical Vapor Deposition (CVD) hasbeen defined as “a materials synthesis processwhereby constituents of the vapor phase reactchemically near or on a substrate surface toform a solid product.”2 With these traditionalprocesses a reaction chamber and secondaryenergy (heat) source are mandatory making themdifferent from the Combustion CVD process.Numerous flame-based variations of CVD havebeen used to generate powders, perform spraypyrolysis, create glass forms, and form carbonfilms including diamond films.

Metals Ceramics Others

Ag, Au, Cu,Ir, Ni, Rh,Pt.

Al2O3, doped-Al2O3, Al2O3•MgO, 3Al2O3•2SiO2, BaCeO3, BaTiO3,BST, CeO2, Cr2O3, CuxO, [La.95Ca.05]CrO3, Fe2O3, In2O3, ITO,LaAlO3, LSC, LSM, MgO, Mn2O3, MoO3, Nb2O5, NiO, NSM,PbTiO3, PdO, PLZT, PMN, PMT, PNZT, PZT, RbOx, RhOx, RuO2,SiO2, Spinels (e.g. NiAl2O4, NiCr2O4), Silica Glasses, doped-SnO2,SrLaAlO4, SrRuO3, SrTiO3, Ta2O5, TiO2, V2O5, WO3, YBa2Cu3Ox,YbBa2Cu3Ox,YIG, YSZ, YSZ•Al2O3, YSZ-Ni, ZrO2, fully andpartially stabilized ZrO2 (Dopants: Y, Ce, Al).

BaCO3,LaPO4,PbSO4.

Substrates Used

Al, Brass, Ag, Cu, Pt, Ni, Stainless and C-Steel, Al2O3, Fiber Tows, Glass, Graphite, LaAlO3,MgO, NAFION™, NiCr, Optical Fibers, Polycarbonate, Silica, Si, Si-Ti/Pt Wafers, SiC, Si3N4,Superalloys, Teflon™, Ti, TiAl alloy, YSZ.

Possible Applications

Architectural Finishes, Capacitors, Catalytic Applications, Corrosion Resistance, Cutting Tools,Electronics, Engines, Ferroelectric Materials, FGM, Fuel Cells, Integrated Circuits, Optics,Piezoelectrics, Replacement for Nickel Plating, Superconductors, Thermal Barrier, ThermalControl, Wear Resistance.

Table 1. Partial list of Thin Film Materials Deposited by CCVD

Page 89: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Combustion Chemical Vapor Deposition (CCVD) 85

In the 1940’s a CVD process using a flameto produce homogeneously nucleated (powder)oxides of titanium, zirconium, iron, aluminum,and silicon was reported.3 A mixture of metalhalide vapor and oxygen is injected throughthe central nozzle of a burner, with fuel gas andsupplemental oxygen provided through twoconcentric outer rings. At 950ºC to 1100ºCflame temperature, the metal halide vapordecomposes to form very fine oxide powders.

Several patents4-6 were granted for theproduction of glass in a flame using combustiblegases and hydrolysis of silicon compounds.Furthermore, two-component powders havebeen made using a combustion flame in a reactor,here vaporized precursors were mixed withnitrogen and fed into a hydrogen-oxygenflame.7 Diamond films have been deposited bya process known as the Hirose method which isdiamond CCVD from the reducing portion ofan acetylene oxygen flame.8,9 (Note that thistechnology is different from MCT’s CCVDprocess that can use dissolved precursors as thesource material and has a much widerapplicability than Diamond CCVD). Notably,all of these methods rely on gaseous and/orvaporized precursor materials whereas CCVDcan employ liquid precursor solutions.

Coatings have also been accomplishedusing powders, sprayed or atomized solutions.For example, the Pyrosol® process involvesdeposition from a vapor which is generated byultrasonification of dissolved organic orinorganic precursors.10 Another non-CVDmethod, the Pyrolytic SprayTM process,produces aluminum coatings by atomizingwarmed aluminum alkyl, as either a pure liquidor as a kerosene dilution, over a heated substratein a reaction chamber.11 Another approach is todirectly feed reactive powders (e.g. metal-organics or halides) into a furnace and flash orpyrolize them in the furnace’s hot zone.12-14

High-quality films have been produced by thisprocess suggesting that precursors are indeedvaporized. All of these processes require a reactionchamber or furnace and an external heat sourceand do not use a flame or combustion.

Finally, Vapor Phase Axial Deposition(VAD) is used for forming optical fibers.15 This

process uses two flames which are fed with amixture of H

2/SiCl

4 and H

2/SiCl

4/GeCl

4,

respectively (the hydrogen acts as thecombustible fuel). This results in the depositionof silica soot onto the outer area of a porouspreform, and the deposition of germanium-doped silica soot onto the inner area. Thisprocess involves combustion, but is not ahomogeneous deposition process because aparticulate glass particles forms beforedeposition onto the substrate.

Combustion CVD vs. SprayDeposition

In spray pyrolysis a solution is sprayed ontoa heated substrate which is then heat-treated toyield the desired thin film. A similar depositiontechnique is the sol-gel process in which a layerof solution is applied to the substrate (dipped,spin- or spray-coated), dried, and then heat-treated to give the final material. In both casesliquid phases are applied to the substrate.Corning has patented a flame-based process forproducing glass that at first appears to be a CVDprocess; quite correctly no explicit mention ofCVD was made.16 Here, a mixture of fuel gas/oxygen/SiCl

4 is combusted in a flame. An

aerosol of an aqueous salt mixture is sprayeddirectly into this flame producing a transparent,homogeneous glass body consisting of at leasttwo constituent oxides. While this processclearly is a close “cousin” to the CCVD process,it lacks the simplicity and elegance inherent inthe latter and requires two nozzles and a gasflame as the primary heat source. Furthermorethis process has never been extended into therealm of thin film manufacture but was confinedto the production of glass bodies and forms.

Notably, there are at least two reports ofspray pyrolysis that share some characteristicswith the CCVD process. Koguchi andcoworkers17 deposited a 10 μm thick YBa

2Cu

3O

x

coating onto a YSZ substrate over the course of10 minutes by atomizing an appropriateaqueous precursor solution and transporting theresulting mist to a hydrogen-oxygen flame. Theresulting film had a strong c-axis preferredorientation and showed no resistivity at 90 K

Page 90: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hunt and Pohl86

following 850°C oxygen anneal for 8 hours.The authors termed their method flamepyrolysis, and were likely depositing attemperatures near the melting point ofYBa

2Cu

3O

x. Solution concentrations and

deposition rates reported were higher than thosetypically used in the CCVD process.

McHale and coworkers18 obtained 75 to100 μm thick films of YBa

2Cu

3O

x and

Bi1.7

Pb0.3

Ca2

Sr2Cu

3O

10 by either dissolving

nitrates in liquid ammonia and combusting thesprayed solution in a N

2O gas stream, or by

combusting nitrates dissolved in either ethanolor ethylene glycol in an oxygen gas stream.Results suggest that films were particulate andnot phase pure. The YBa

2Cu

3O

x coatings had to

be annealed at 940°C for 24 hours, and theBi

1.7Pb

0.3Ca

2Sr

2Cu

3O

10 coatings had to be

annealed twice at 800°C for 10 hours and thenat 860°C for 10 hours to yield the desiredmaterial. Even after oxygen annealing the bestzero resistivity measurement was 76 K. Onceagain, solution concentrations and depositionrates reported were higher than what is typicallyused in vapor deposition and the CCVD process.

Combustion CVD vs. ThermalSpraying

Thermal spraying19 typically producesthick films (>10 microns) by feeding powderinto a gas combustion torch (flame spraying) ora plasma torch (plasma spraying) to melt thepowdered coating material which is thensplattered onto the object being coated, thusforming a film. Thermal spraying is considerablydifferent from CVD, but there are variations thatresemble CCVD.

In one modification of thermal spraying,powdered material is vaporized and the vaporis then condensed onto the substrate. Thisevaporation technique was used in early high-temperature superconductor research to depositc-axis preferred orientation YBa

2Cu

3O

x at

deposition rates of up to 10 microns/min.20

Another embodiment of flame sprayingfeeds a solution instead of a powder into theflame. Aqueous solutions of yttrium, barium andcopper nitrate were atomized in a hydrogen-

oxygen flame to produce finely dispersedsuperconducting powders.21, 22 The flame vaporizesthe water leaving particles of yttrium, barium, andcopper nitrates. These then react with OH and Oradicals yielding YBa

2Cu

3O

x particles. It is

interesting to note that the best powders weremade using an over-ventilated diffusion flameat 700–900°C. These conditions minimizeproduct decomposition and decrease formationof impurities such as BaCO

3.

The plasma spray method most similar toCCVD is spray-inductively coupled plasma(S-ICP).23 Here, a precursor solution is atomizedinto fine droplets of 1-2 μm diameter. Thesedroplets are carried into an ICP chamber. Oxidicthin films of a larger number of materials havesuccessfully been deposited using thistechnique. Holding the substrate at anappropriate distance from the plasma was notedas being important in synthesizing dense films.CVD type coatings were achieved usingultrasonically atomized 05-1.0 M solutions ofmetal-nitrates in water which were fed into theICP at 6–20 ml/h using an argon stream of 1.3–1.4 l/min.

Fundamentals of the CCVDProcess

The morphology and stoichiometry of thinfilms deposited by CCVD are directly controlledby the following major process parameters:a. Solution composition, precursor

concentration, flow rate and atomization,b. Flame (i.e. oxygen and fuel flow rate, pilot

flames, temperature, atomization); andc. Substrate conditioning (i.e. cooling, heating,

motion, tilt angle, inert gas).The effects of each of these process

parameters will subsequently be discussed as itapplies to the CCVD process that employs liquidprecursor solutions. (Some of these results areapplicable also to vapor-source CCVD).

Process Parameters – Solution

The easiest method utilizes a solutioncomprising of a flammable solvent (typically

Page 91: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Combustion Chemical Vapor Deposition (CCVD) 87

toluene, isopropanol, ethanol, methanol, etc.) inwhich the precursors are dissolved. The solventacts as a (a) combustible fuel to produce and sustainthe deposition flame, and (b) as a transport mediumfor the dissolved precursor chemicals. Solutionflow rate and stoichiometry can be preciselycontrolled, the latter by varying the reagent ratiosin the solution. Using a proprietary nozzletechnology (Nanomiser nozzle), the solution isnebulized (i.e. atomization or “spraying”) to formnanosized droplets that enter the flame zoneshortly after formation. Solutes with a lowboiling point (less than 200°C) vaporize withthe solvent in the flame. Solutes with higherboiling points form finely dispersed soluteclusters as the solvent vaporizes and burns.Cluster size depends on solution molarity anddroplet size. When small enough, the clustersvaporize in the flame, react then condense oradhere to the substrate, and finally bond/diffuseto form the coating.

Composition of SolutionThere are several ways in which to adjust

the composition of the solution. For one,different elemental precursor chemicals can beused. The choice of precursors depends on theirsolubility in a given solvent or solvent system.Similarly, the choice of solvent is primarilydictated by the requirement to fully dissolvethe precursor. Furthermore, carbon-free solvents,such as water, and low carbon residue (soot)solvents, such as methanol, may be used tocontrol the amount of elemental carbon in theflame. The elimination of impurities can alsobe extremely significant in certain applications.For example, sulfur and sodium can bedetrimental to many coatings; in such casessolvents and precursors must be free of theseand other common impurities.

Precursor Concentration in SolutionThe appropriate concentration of the

precursor solution is established empirically.Generally speaking, the higher theconcentration, the higher the deposition rate.(Typically, concentrations are in the range of10-2–10-4 M). However, a high concentration canbe detrimental in that the surface diffusion of

the desired species may be too low toaccommodate the deposition rate of certainmaterials. Some materials with low surfacediffusion may require a lower concentration toform a uniform, dense film. Ordinarily, thehighest solution concentration that provides agood film for a desired purpose is used tomaximize the deposition rate.

Solution Flow RateThe choice of solution flow rate, that is,

the volume of solution that is fed to the flamein a given time period, affects the flame, thedeposition rate and coating coverage. Generally,an increase in the flow rate results in a longer,wider flame and greater deposition rates. Ahigher solution flow rate often requires feedingsupplemental oxygen to the flame while a lowersolution flow rate requires a lower flow rate ofsupplemental oxygen.

Solution flow rate also affects thedeposition rate: higher flow rates producethicker films in a set amount of time comparedto lower flow rates. However, some materialsmay not deposit well at higher flow rates. Higherflow rates may also be used when very highdeposition temperatures (1300°C) are desired.The larger flame has a larger hot zone therebymaximizing the deposition area.

Generally, the highest flow rate thatproduces a film of the desired properties on aparticular substrate should be used. Increasingthe gas flow also reduces the diffusionalboundary layer resulting in more uniformcoating coverage at a higher deposition rate.

Process Parameter-Flame

The flame provides the “operating”environment for the CCVD process. The energyand radiation produced by the flame are used toform the reactive species and to heat thesubstrate, ultimately enabling surface reactions,diffusion, nucleation and growth of the thin filmmaterial.

Supplemental OxygenFlame characteristics can be modified by

flowing supplemental oxygen (either pure

Page 92: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hunt and Pohl88

oxygen or air) around the flame. Its flow rateallows for control of the turbulence flow of gasesand is important in maintaining a stable flameat high flow rates. This operational parametershould be adjusted in conjunction with thesolution flow rate. A higher supplementaloxygen flow rate produces a fast flame thatallows better material penetration into tightareas on a substrate yielding smoother coatings.A lower supplemental oxygen flow rateproduces a slower, longer and wider flame. Thisflame is useful if a more rough, nodular filmmicrostructure is desired as opposed to asmooth, dense film. These differences aresomewhat arbitrary, though, and theiroccurrence or extent will differ from onedeposited material to another.

The higher the percentage of oxygen, orthe higher the deposition temperature, the morecomplete is the combustion (oxidation) thatoccurs. The oxidant-to-fuel (solvent) ratio helpsto control the flame temperature, size andvelocity. Using pure oxygen versus air resultsin a more efficient and rapid combustion: thisin turn minimizes the formation of NO

x, carbon

monoxide, and elemental carbon.At times it might be necessary to employ

gases other than oxygen (e.g. argon or air) toaid in the deposition of less noble metals orpartially oxidized phases. Changing to gasesother than oxygen will affect the flame, andprocess variables will have to be adjusted.

Pilot FlamesThe pilot flames are arranged

symmetrically around the spraying needle andare necessary whenever gas flow rates exceedthe flame velocity to initiate combustion of thenebulized solutions. For a given depositionprocess there is generally an optimum distancefrom the needle tip to the pilot flames. Thisdistance is rarely changed but can be altered,depending on the atomization conditions, toachieve suitable flame conditions. Generally, amixture of oxygen and methane (or ethane) isused to form the pilot flames. Other flammablegases or gas mixtures (e.g. hydrogen orhydrogen/oxygen) can be used to reduce thepotential for formation of carbon-containingphases.

Deposition TemperatureFor the purposes of this discussion,

deposition temperature is defined as the gastemperature close to the substrate. Due to theexhaust flow in the deposition hoods and thenatural rising of hot air, the depositiontemperature profile is skewed above the flame’slongitudinal axis. The actual temperature of thesubstrate in the deposition zone depends uponthe substrate material — size, absorption andemissivity characteristics — as well as the dwelltime of the flame on one area of the substrateand whether or not any cooling is being appliedto the substrate.

Deposition temperature is measured with athermocouple held in the flame as close to thesurface of the substrate as possible. The actualsubstrate temperature can be measured with apyrometer or embedded thermocouple.

Atomization of SolutionAtomization refers to the process of

creating a fine spray of the solution as it exitsthe spraying needle. Due to intellectual propertyconcerns and a pending patent application, nofurther details can be communicated at this timeon the operation of the Nanomizer device. Otheratomization techniques can be used to performliquid solution CCVD, but the smaller droplet sizeapplied by the Nanomizer device creates a fuller,denser coating at an increased deposition rate.

Additional Parameters AffectingDeposition

Additional process variables that can bealtered include:a. Substrate temperature,b. Motion control,c. Substrate orientation, andd. Environmental control.

Substrate TemperatureThe substrate’s location in the flame zone

is dictated by the following conditions:a. The need to heat the substrate enough to

allow surface diffusion;b. Sufficient reaction time for the precursors to

chemically change to their final states; and

Page 93: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Combustion Chemical Vapor Deposition (CCVD) 89

c. The need to deposit the material as a vaporwhile preventing agglomeration intoclusters. However, if atomization is poor, asubstrate too far into the flame could allowdroplets to hit the substrate surfaceresulting in a spray pyrolysis effect.

Substrate CoolingCooling is most often used on substrate

materials that cannot withstand the heatgenerated by the deposition flame (e.g. somemetals and polymers). Substrate cooling canalso be of advantage for depositing materialsthat deposit better or more efficiently on a coolsubstrate. The cooling is generally localizedand moves in conjunction with the flame. Onecommon method is to direct a stream of filteredair onto the back of a substrate directly in-linewith the longitudinal flame axis. The fartherback the cooling nozzle is positioned relativeto the substrate, the larger the cooling zone andvice versa. In addition, depending on the amountof cooling required, the rate of air flow can beadjusted. Some materials are significantlyaffected by the cooling air and not only depositfaster on a cooled substrate but in the absenceof a well-aligned air flow do not depositefficiently and evenly. Other materials onlyrequire a cool surface without exact alignment.The amount of substrate cooling is materialdependent and is experimentally determinedfor each material deposited with respect tosubstrate size and make-up.

Often it is not the deposited material thatdictates the use of cooling but the substrateitself. Substrate degradation due to annealing,oxidation, or melting must be eliminated orminimized. Because many materials cannot bedeposited onto a substrate cooled sufficientlyto avoid substrate degradation, other meansmust be developed to protect the substrate. Insome instances of oxidation or thermallyinduced film/substrate interaction it has provenbeneficial to first apply a protective base thinfilm layer. The desired material is then depositedon top of this layer perhaps without or minimalsubstrate cooling. In other cases of substratedegradation, the film must be deposited whilethe uncooled substrate is moved quickly

through the deposition zone — fast enough toavoid damaging the substrate but slow enoughto allow time for deposition. Air is a commoncoolant, but liquids, such as water, have alsobeen successfully used.

Substrate HeatingSome substrates must be heated for

deposition. For example, large ceramic (brittle)substrates must be evenly heated to avoid largetemperature gradients, which could result infracture, across their surface. Many coatingmaterials and phases also require a warm or hotsubstrate to deposit. This characteristic isrelated to the surface diffusion andthermodynamics of the materials. A material’sdependence on a heated substrate may be onlyfor the deposition itself to achieve a dense,continuous film or for the deposition of aparticular phase or morphology. For example,many materials require a hotter substrate to forma crystalline film as opposed to an amorphousfilm.

Substrate MotionUsing a single point flame (versus a series

or linear flame) to achieve a uniform coatingrequires moving the substrate under the flame ormoving the flame over the substrate. The motionpattern depends upon the specifics of the substrate,flame, and thin film material. The coating of alarge, flat substrate by a single-point flame isgenerally accomplished by moving the flame withrespect to the substrate back and forth in a ladder-like pattern. Generally, the pattern should start atthe bottom of the vertically positioned substrate.The deposition zone of a flame is a circular zonerather than a point. However, due to the naturalrising of heat (and to a lesser extent the updraft ofthe exhaust hood), the total deposition zone isnot perfectly circular but asymmetric with morearea above the center of the flame. As the flame iscoating the lower part of a substrate, the upperpart is also receiving a very thin layer of coating.Thus, the motion pattern must accommodate thisdeposition pattern and allow for longer depositiontimes at the bottom of the substrate and for shortertimes in the middle and upper areas of thesubstrate.

Page 94: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hunt and Pohl90

Near the edges of the substrate may also needadditional dwell time of the flame because of close-to-edge effects. Close-to-edge effects result froma no “overspray” effect and the differentconditions at the edge of a sample as compared tothe center of a sample. Temperature, gas flow fromthe flame and the flow of cooling air at the back ofthe substrate may all vary. Coatings on high pointsand at sharp edges of the substrate tend to bethicker due to thinner diffusion boundary layers.Thus, the motion pattern must take these factorsinto account. The motion pattern canaccommodate the many factors affecting a uniformdeposition by allowing the flame to pass over anarea multiple times, slowing the flame’s travelacross a substrate and allowing the flame to sitand dwell on a spot for a number of seconds.Most motion program patterns must be testedmany times to achieve a completely uniformcoating across a complex substrate’s surface.

Diffusion Boundary LayerDiffusion boundary layers are an important

factor in producing high-quality thin films.During a deposition, a substrate acquires a so-called gas diffusion boundary layer throughwhich the deposition materials must pass toform a film. The thicker the boundary layer, theless coating occurs. Brownian motion causesdiffusion across the boundary layer. This layeris thinner or almost absent at the surface highpoints or edges of a sample due to the gasvelocity of the flame across an edge. This resultsin thicker coatings at sharp edges of a substrate.The boundary layer can be minimized (ceterisparibus, resulting in thicker coatings) by:a. Increasing the gas temperature at the

substrate surface;b. Decreasing the pressure by depositing

inside a low pressure chamber;c. Increasing the flame velocity and/or

turbulence; ord. Decreasing the substrate size.

In addition, angling the substrate by 30 to60° to the flame direction can also decrease theboundary layer thickness through shear.

The tilt angle can be important incontrolling the deposition of certainmicrostructures and phases. For example, at

least some epitaxial coatings seem to besensitive to the tilt angle. Smoother coatingsmay be possible on a tilted substrate comparedto a substrate held perpendicular to the flame’slongitudinal axis. The amount of acceptabletilt is controlled by the deposition efficiency ata certain angle.

Environmental ConsiderationsStreaming an inert or reducing gas

(e.g. hydrogen, nitrogen, or argon) around thesubstrate can protect materials (substrate or film)from oxidation during the deposition process.These set-ups tend to limit substrate size if thedeposition system is placed in an airtight, solidcontainment. However, one can restrict theapplication of inert or reducing gas to the flamezone only. The flame and substrate are, however,more susceptible to oxygen contaminationusing this approach.

Case Studies

This section highlights some of the processcapabilities of CCVD.

Capacitor Coatings24

There is worldwide interest to developprocesses capable of manufacturing highpermittivity (high k) thin films for ICapplications. The CCVD process is well suitedfor high-rate deposition (up to 1 μm/min) ofdielectrics such as SiO

2. Multi-component

perovskite thin films (e.g. barium-strontium-titanate, BST) with extraordinarily highdielectric constants have also been successfullydeposited. Thin films comprising BST are verydifficult to prepare by any competing thin filmprocess. These films not only hold promise forsmall-area embedded capacitors, but have alsosignificant potential for on-chip applications.

Additional work on dielectrics includesdeposition of silica, PABS (lead aluminum boronsilicates), PLZT (lead lanthanum zirconiumtitanate) and BST (barium strontium titanate)on Si-Ti-Pt wafers (Figure 2). The waferspecimens were patterned with metal electrodesand electronic properties were characterized.

Page 95: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Combustion Chemical Vapor Deposition (CCVD) 91

Thickness and, correspondingly, capacitancevariation was less than 2%. The absence ofimpurity peaks in XPS spectra of silica-coatedspecimens clearly demonstrates the achievedpurity. Yield, defined as the percentage offunctioning vs. total measured capacitors, was100%. Breakdown field strength was in the range1.1-5.4 MV/cm and leakage current was about10-7-10-9 A/cm2 at 0.5 MV/cm. Capacitancedensity was 23-350 nF/cm2 dependent on thinfilm thickness and materials. No breakdown wasobserved after 20 cycles between 0–40 V.Time dependent dielectric breakdown (TDDB) was185 s at 40 V for ten of the patterned capacitors.

For capacitors on copper foil substrates,yield of initial samples was an impressive 83-90%. Capacitance density ranged from 20-242nF/cm2; this is approximately 50-100 timeshigher than that of laminated planar epoxy-glass capacitors.

CCVD Coating Conformality25

Many applications require the depositionof conformal coatings. However, due to themicro-roughness of many substrates, line-of-sight deposition processes (e.g. PVD processes)can suffer from “shadowing” of peaks.Shadowing, which results from long mean-freemolecular paths, can cause pinhole defects in theresulting films. In contrast, short molecular mean-free-paths, characteristic of the CVD process,effectively randomize the path trajectories of theatoms thus minimizing shadowing. The coatingeffectively conforms to the topography of thesubstrate surface. An example is the conformalcoating of over a thousand 12 μm diameteralumina fibers (NEXTEL™ 610) with LaPO

4 in

a deposition at 900°C (Figure 3). More than50% of the fibers exhibit a coating thicknessfrom 300-500 nm, with no uncoated fibers.

Fig. 2: SEM micrograph of dense thin film of BST on an Si/Ti/Pt substrate. The lower picture isa 10× magnification of the framed area in the upper picture.

10 μm

1 μm

Si/Ti/Pt

BST

Page 96: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hunt and Pohl92

Fig. 3: An epitaxial layer of strontium titanate was successfully deposited onto a MgO singlecrystal. The lower picture is a 5× magnification of the inset in the upper picture.

Epitaxial Coatings26

Epitaxial SrTiO3 was successfully deposited

onto a MgO single crystal (Figure 3). A singlecube-on-cube texture was displayed in polefigure x-ray diffractometry. The full-width at half-maximum (FWHM) values of the (110) and (200)planes are 2.020° ± 0.004° and 1.67° ± 0.01°,respectively. According to Oak Ridge NationalLaboratory (ORNL), these values are the best everreported for a SrTiO

3 coating deposited on this

substrate by any technique. The approximately380 nm thick epitaxial coating is smooth anddense.

Cerium oxide (CeO2) was deposited onto a

(100) LaAlO3 single-crystal substrate with a

single cube texture and good in- and out-of-plane alignment. A ϕ scan of the (220) plane ofthe CeO

2 film yielded a FWHM of 2.45° ± 0.05°;

the rocking curve of the (200) plane indicated aFWHM of 1.688° ± 0.006°. A layer of YSZ wasthen deposited by CCVD on top of this cerialayer. The majority of the YSZ deposited as onecube texture (Figure 3) with only a minor45o film rotation (<1% intensity) present. The

YSZ film exhibited good in- and out-of-planealignment with a FWHM from the (220)plane of 3.37° ± 0.01and a FWHM from the(200) plane of 2.37° ± 0.01. Numerous othermaterials have also been deposited by CCVDas epitaxial films, for example, Ta

2O

5, PLZT,

BST, YBCO, LSC, YSZ.

Frequency Agile Thin Films forWireless Communications27-29

Recent advances in semiconductor ICfabrication technologies have stimulatedresearch and development of integratedferroelectric oxide thin films which can be usedin various electronics applications includingmicrowave devices, dynamic random accessmemory (DRAM), non-volatile ferroelectricrandom access memory (NVFRAM), and nextgeneration gate oxide for IC transistors, to namea few. There has been a renewed interest indeveloping Ba

1-xSr

xTiO

3 and SrTiO

3 thin films

for frequency agile electronics because therequired dc bias for tuning the communicationdevices can now be drastically decreased

6 μm

1.2 μm

Page 97: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Combustion Chemical Vapor Deposition (CCVD) 93

because of significant reduction of ferroelectriccoating thickness. Epitaxial barium strontiumtitanate and strontium titanate thin films weredeposited on (100) MgO single-crystalsubstrates CCVD. The as-deposited films werepatterned with gold electrodes to form aninterdigitated structure and perform aselectrically tunable devices, namely, coupledmicrostripline phase shifters (CMPS).Microwave dielectric properties werecharacterized at 11-20 GHz with an appliedelectric field up to ± 35 V/μm. A maximum figureof merit of 53°/dB at 20 GHz and 23°C wasmeasured. Capacitance changes of BST filmswere 3.7% at 35 V and 302 K vs. 3.9% for PLDfilms. Dielectric constants of 390-510 wereachieved. Thickness variation on 75 mm siliconwafers was less than 2% as measured byellipsometry. The demonstrated high degree oftunability and relatively low loss showed greatpotential of these films for the targetedfrequency agile device applications.

Protective Coatings for CeramicMatrix Composites andCorrosion Resistance30-39

Ceramic Matrix CompositesThus far, excessive costs have restricted

ceramic matrix composites (CMCs) fromfulfilling their significant commercial promise.To better position CMCs as a competitivematerial, it is imperative to develop a fibercoating system that combines the desiredmaterials properties with ease of use andefficiency in a production environment. Whilealumina fibers have several desirablecharacteristics for CMC applications, uncoatedfibers weaken in the composite due to chemicalreactions between the fiber and surroundingmatrix. This results in loss of strength. Hence, athin film fiber coating of a debonding material,such as lanthanum phosphate, is required to forma reaction barrier. Coatings can also enhancethe chemical and abrasion resistance as well asthermal protection of the matrix fibers.

Lanthanum phosphate coatings weredeposited onto NEXTEL 610 alumina fibers ofup to 3 feet in length. The coatings are dense and

uniform with complete coverage aroundindividual filaments (Figure 4).

Process temperatures were as low as900-1000°C with only minimal fiberdegradation. Beta aluminas, such as LaAl

11O

19

and BaMg2Al

15O

27, required higher deposition

temperatures and did not yield the desiredstoichiometry and phases without fiberdegradation. The strand strength for coatedfibers is 2.72–2.81 kg and is unaffected bythermal aging. The coatings are phase-pure,columnar grained monazite and vary in thicknessfrom 0.1 to 1.0 μm. Microstructure andcompositional layering were uniform among towscomprising 420 and 1260 filaments.

Chromium Replacement Coatings35-37

The environmental hazards of traditionalanti-corrosion coating technologies such ascadmium and chromium plating have motivatedconsiderable R&D to develop environmentallybenign alternatives. MCT has prepared severaltypes of coatings that could be developed intoalternatives to chrome. Figure 5 shows ascanning electron microscope (SEM) image ofthe cross section of a CCVD “nanolaminate”ceramic coating, composed of 22 alternatingalumina and yttria-stabilized-zirconia layerswith individual layer thickness on the order of100 nm. Such “nanostructured” layers promisecorrosion-resistance, excellent hardness, andcrack-resistance. Figure 6 is an SEM imageshowing the cross-section of a 100 nm CCVDnickel coating on a glass substrate; note thesmooth, dense, continuous nature of thecoatings. Figure 7 shows a proprietarycomposite ceramic anti-corrosion coating incross-section (patent pending). The roughsurface of the pictured coating is advantageousin, for example, paint adhesion, althoughsmooth coatings are possible with depositionparameter adjustments. The latter coatingtechnology is in advanced development underNavy sponsorship as a chromate conversioncoating replacement, having passed relevantASTM and MIL-SPEC tests for corrosion-resistance. This coating has also passed Battellesalt fog testing for 1344 hours, surpassing MIL-C-83488 specifications for a Class I thickness

Page 98: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hunt and Pohl94

Fig. 5: Nanolaminate CCVD coating comprising 22 alternating layers of alumina and zirconia.

1 μm

Fig. 4: Scanning electron micrograph of 300 – 400 nm thick Nextel alumina fibers coated withlanthanum phosphate. The lower figure is a 5× magnification of the inset in the upperfigure.

12 μm

2.4 μm

Page 99: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Combustion Chemical Vapor Deposition (CCVD) 95

Fig. 6: Scanning electron micrograph of a cross-section of a nickel coating on glass(magnification 13,000× ) showing the dense nature of the coating. Coating thicknessis approx 100 nm.

0.3 μm 1.5 μm

Fig. 7: Cross-section of a proprietary corrosion resistant coating, clearly showing its denseand high surface area nature. The left picture is a 5 × magnification of the framed areain the right picture.

Page 100: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hunt and Pohl96

with a chromate conversion coating. Theseresults indicate that CCVD can be used toprepare dense, continuous, pore-free coatingswith controlled composition and microstructure.

Resistor Coatings

The CCVD process is well suited todeposition of composite resistor layers. TheCCVD reagent solutions can be pre-mixed tocontrol the relative amounts of constituents ineach resistor composition (patent pending).Precise control of these ratios permits tailoringof specific resistivity of the resistor layers overmany orders of magnitude, ranging from 5 Ω/square to very high sheet resistivity values upto 500 kΩ/square. The resistor materialcomprises a metal (e.g. platinum or nickel) anda small amount of an oxide (e.g. ceria or silica)added to increase resistivity. There is a linearcorrelation between the log of resistance andweight percent of a component material in thinfilm resistors on fused silica substrates. Thislinearity greatly simplifies the materialsengineering to meet desired resistancespecifications. The initial test vehicles havebeen successfully fabricated with sheetresistivities of 100 Ω/square and 10 kΩ/square.In addition, the process can be used to depositconducting oxide coatings such as bismuthruthenium oxide. In amorphous form, thesematerials have a high resistivity and a positivetemperature coefficient of resistance (TCR). Inprinciple, bi-layered coatings consisting of aresistor layer deposited on top of a conductiveoxide thin film will result in resistors with zeroTCR (patent pending). MCT has alreadyproduced high-quality 100 nm resistor coatingsamples on 69 × 83 cm copper sheets andcontinuous rolled foil using a multi-flame CCVDsystem in close to 100% yield. This importantpreliminary result shows the great promise thatthe CCVD process has for manufacturingembedded passives.

Flame Characterization40

In the CCVD process, the flame providesthe reactive zone in which precursor materials

are pyrolized and ultimately deposited onto thesubstrate; hence, an in-depth understanding offlame characteristics and processes is a sine quanon for rational thin film design. Optical EmissionSpectroscopy (OES) and flame pyrometry wereused to study the Nanomiser device producedliquid jet flame during the deposition of bariumstrontium titanate (Ba

xSr

1-xTiO

3) films. While

species distribution and vapor-substrateinteractions have been studied for number ofchemical vapor deposition (CVD) processes,41–45

we were interested in correlating flamecharacteristics with thin film microstructure.

In a series of experiments, the dependenceof flame-to-substrate distance and temperatureon surface morphology of deposited films wasstudied. Depositions were performed at 700,900, 1100 and 1400ºC gas temperature(corresponding to distances of 12.2, 11.4, 9.7,and 8.1 cm), respectively. In all cases, thesubstrate was mounted at a 45o angle withrespect to the impinging flame centerline. Arepresentative thermal image of the CCVD flamefor an optimized BST deposition as measuredby pyrometry is shown in Figure 8. Opticalemission spectroscopy (OES) measurementswere performed simultaneously with the flamepyrometry to obtain relative concentrations foremitting species in the flame. Although theobserved spectrum provides information aboutthe excited-state density, it does not directlyreflect the ground-state population, sincenumerous vapor clusters are formed. OES is apowerful and simple diagnostics tool. Theintensity of the OES signal for different speciesdepends on both the species concentration andflame temperature, and can be used toapproximate spatial distribution of emittingspecies. Images of individual species emissionintensities after background subtraction areshown in Figure 9.

Both Ba and BaO reach their peakconcentration about 1 cm from the nozzle afterwhich they rapidly decay. The only discretespecies emitting in the flame at the distancescorresponding to the temperatures studied(8.1 to 12.2 cm) is SrOH and Na. The four filmsdeposited in this temperature range (700 to1400ºC) all yielded x-ray diffraction peaks

Page 101: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Combustion Chemical Vapor Deposition (CCVD) 97

corresponding to the desired BaxSr

1-xTiO

3 phase.

BST films deposited between 700-1100ºC wereepitaxial; depositions at 1400ºC also yieldedthe desired phase but were not epitaxial.

By combining optical emissionspectroscopy and pyrometry, it was possible to

derive relative concentrations of short-lived,reactive species in a hydrocarbon flame.Although only relative, these measurementsallowed determination of species spatialdistribution within the flame and provided abetter description of the flame interaction with

Fig. 8: Representative thermal image of the BST flame as measured by pyrometry.

Fig. 9: Optical emission intensities for individual flame species while depositing epitaxialBST.

Distance (cm) Distance (cm)

Distance (cm) Distance (cm)

Distance (cm) Distance (cm)

BaO (515 nm)

Ti (400 nm)

Ba (554 nm) Sr (461 nm)

SrOH (610 nm)

Na (589 nm)

1800-2000 1600-1800 1400 -1600 1200-1400 1000-1200 800-1000

400-600 200- 400 0-200

3.53.02.52.01.51.00.50.0

Hei

ght

(cm

)

0 2 4 6 8 10 12Length (cm)

600-800

Page 102: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hunt and Pohl98

the substrate. It has been demonstrated that theexcited species emission from the flame reachesa maximum value of 1 to 2 cm from the nozzleexit. Determining the relative speciesconcentrations also provided an insight intothe mechanism of thin film growth. Theobserved surface morphology is controlled bythe surface mobility of deposited clusters. Atlow flame and substrate temperatures, thesurface mobility is low resulting in an evencoating with a high surface energy. At highertemperatures, the surface mobility is higherresulting in smoother coatings with lowersurface energy. The most important result of thisstudy establishes that precursor pyrolysis,detected by UV-vis spectrascopy, occurspredominately prior to the substrate surface andhigh-quality vapor deposited coatings can beproduced by this method.

Fuel Cell Applications

Fuel cells are of huge interest to thetechnology marketplace, as illustrated bysizable investments in the technology andmarket capitalization of fuel cell companieswith little or no current revenues. This is because

of their pervasive potential to power homes,offices shopping malls, automobiles, buses,trains, boats, personal computers,communication equipment and otherincreasingly distributed, power-demandingappliances of modern-day living. The overallmarket size for fuel cells is deemed to growbeyond that of transportation and stationarypower into distributed ‘personal power’, to anestimated $1B to $200B annually by 2025. In avery real way, fuel cells will be to energy whatintegrated circuits have become to information.

For applications in solid oxide fuel cells itis important to increase the interfacial area andtotal surface area of the coating on themembrane electrode assembly (MEA) whilemaintaining uniformity. MCT has achievedpromising results with the CCVD process togenerate advanced catalytic coating structures.Figure 10 shows a transmission electronmicrograph of a platinum layer deposited byCCVD onto Nafion: nanoscale platinumparticles can be seen as a very fine dispersion ofmoderate to dark gray matter in the light graymembrane material. The scanning electronmicrograph in Figure 11 is a cross-sectional viewof a conductive lanthanum-strontium

Fig. 10: TEM micrograph of platinum nano-particles deposited onto a Nafion membrane. Theplatinum is dispersed so finely that it appears as a darkening of the Nafion cluster.Darker material is a thicker cluster which was formed when the specimen was abradedfrom the sample onto the carbon grid for imaging.

Page 103: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Combustion Chemical Vapor Deposition (CCVD) 99

manganate (LSM) layer with a ‘‘loose’’columnar microstructure deposited onto a denseCCVD layer of yttria-stabilized zirconia. Fullydense YSZ coating have also achieved as havebeen nano-laminate structures (cf. Figure 5).

Summary, Conclusion, andFuture Directions

The open-atmosphere CCVD technologyhas many advantages for producing advancedthin film materials when compared to currenttechnologies, in particular, potential for anorder-of-magnitude reduction in operating andcapital costs, substantially higher thin filmquality, and the ability to engineer materialsfor specific applications (e.g. greatcompositional and structural control). Inaddition, the ease-of-use of CCVD permits bothrapid development of new coating/substratecombinations and straightforwardimplementation for production-friendlymanufacturing. Finally, the CCVD process inconjunction with the Nanomiser uses onlyenvironmentally benign chemical precursors,minimizing safety and pollution concerns.

Acknowledgments

Public sector funding from Small BusinessInnovation Research (SBIR) grants and theAdvanced Technology Program wasinstrumental in carrying out many of theresearch and development projects describedin here or listed in the reference section. Wewould especially like to thank the Departmentof Defense (BMDO and Navy), the NationalScience Foundation, and the Department ofEnergy for their continued support. Specialrecognition has to go to the group of dedicatedscientists, engineers, and technicians that hascarried out the research and development workand without whom there would not be a CCVDprocess.

References

1. A.T. Hunt, W.B Carter, and J.K. Cochran,Method and Apparatus for the CombustionChemical Vapor Deposition of Films andCoatings, U.S. Patent 5,652,021, 1997.

2. Handbook of Thin Film Deposition Processesand Techniques, K.K. Schuegraf, ed., Park

Fig. 11: SEM cross-section of a CCVD-deposited LSM conductive coating, showing the opencolumnar structure over a dense YSZ layer on a sapphire substrate.

Page 104: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hunt and Pohl100

Ridge, NJ, Noyes Publication, 1988.3. 1950, Swiss Patent 265,192.4. R.H. Dalton, U.S Patent 2,239,551, 1941.5. J.F. Hyde, U.S. Patent 2,272,342, 1942.6. M.E. Nordberg, 1943, U.S. Patent

2,326,059.7. S. Hori, Characterization and Processing

of CVD Powders for Fabrication ofComposite and Compound Ceramics.Materials Research Society of SymposiumProceedings, Vol.155, 1989, pp.3-12.

8. W.S. Zhu, B.E. Williams, and J.T. Glass,Growth and Characterization of DiamondFilms on Nondiamond Substrates forElectronic Applications Proceeding IEEE(USA), Vol.79, No.5, 1991, pp.621-646.

9. M. Murakawa, S. Taeuchi, and Y. Hirose,An Experiment in Large Area DiamondCoating Using a Combustion FlameTorchin its Traversing Modelling, Surface andCoatings Technology, 1990, pp.22-29.

10. G. Blandenet, Y. Lagarde, and J. Spitz,Indium Oxide Deposition on Glass byAerosol Pyrolysis, Chemical VaporDeposition, 1975, pp.190-203.

11. J.C. Withers and L.C. Mccandless,Aluminum Coatings by a Pyrolytic SprayChemical Vapor Deposition Processing,Chemical Vapor Deposition, 1970, pp.393-407.

12. C.M. Hollabaugh, L.A. Wahman,R.D. Reiswig, R.W. White, and P. Wagner,Chemical Vapor Deposition of ZirconiumCarbide Made by Reactions of ZirconiumTetrachloride with Methane and withPropylene. Nuclear Technology, Vol.35,No.2, 1977, pp.527-535.

13. W.J. Lackey, W.B. Carter, J.A. Hanigofsky,D.N. Hill, E.K. Barefield, G. Neumeier,D.F. O’Brien, M.J. Shapiro, J.R. Thompson,A.J. Green, T.S. Moss, III, R.A. Jake, andK.R. Efferson, Rapid Chemical VaporDeposition of Superconducting YBa2

Cu3O

x,

Applied Physics Letters, 1990, Vol.56, No.12,pp.1175-1177.

14. L.R Newkirk and F.A. Valencia,Superconducting Articles of Manufactureand Method of Producing Same, U.S. Patent508,586, 1980.

15. T. Isawa and S. Sudo, Optical Fibers,Materials and Fabrication, Boston,D. Reidel Publishing Co.,1986.

16. E.N. Randall, Method of Producing Glassin a Flame, U.S. Patent 3,883,336, 1975.

17. M. Koguchi, Y. Matsuda, E. Kinoshita, andK. Hirabayashi, Preparation of YBa

2Cu

3O

x

Thin Film by Flame Pyrolysis, Japan Journalof Applied Physics, Part 2, Vol.29, No.1,1990, pp.33-35.

18. J. McHale, R.W. Schaeffer, A. Kebede,J. Macho, and R.E Salomon, Preparationof High-Tc Oxide Films via FlamingSolvent Spraying, Journal ofSuperconductors, Vol.5, No.6, 1992,pp.511-518.

19. D. Matejka and B. Benko, Plasma Sprayingof Metallic and Ceramic Materials,Chichester, John Wiley and Sons, 1989.

20. K. Terashima, K. Eguchi, T. Yoshida, andK. Akashi, Preparation of SuperconductingY-Ba-Cu-O Films by a Reactive PlasmaEvaporation Method, Applied PhysicsLetters, Vol.52, 1988, pp.1274-1276.

21. M.R. Zachariah and S. Huzarewicz, AerosolProcessing of YBaCuO Superconductors ina Flame Reactor, Journal of MaterialsResearch, Vol.6, 1991, pp. 264-269.

22. B.D. Merkle, R.N. Knisely, F.A. Schmidt,and I.E. Anderson, SuperconductingYttrium Barium Copper Oxide(YBa

2Cu

3O

x) Particulate Produced By Total

Consumption Burner Processing,Materials Science and Engineering,Vol.A124, No.1, 1990, pp.31-38.

23. M. Kagawa, M. Kikuchi, R. Ohno, andT. Nagae, Preparation of Ultrafine MgO bythe Spray-ICP Technique, Journal ofAmerican Ceramic Society, Vol.64, No.1,1981, pp.C7-8.

24. W.Y. Lin, ‘‘Embedded Capacitors forElectronic Circuits Based on FlexibleLaminate Multichip Module/PrintedCircuit Boards,’’ Contract DMI 9561712,NSF SBIR Phase I Grant, 1996.

25. A.T. Hunt, “CCVD Processing ofLanthanum Phosphate and Beta-AluminaFiber Coatings for Mullite MatrixComposites,” Contract DMI 9561712, NSFSBIR Phase I Grant, 1996.

Page 105: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Combustion Chemical Vapor Deposition (CCVD) 101

26. W.Y. Lin, Low Loss and Cost Thin Film forFrequency Adaptive Electronics, ContractDAAHO, 1-97-C-R230, DARPA STTRPhase I Grant, 1998 and S.S. Shoup, S.Shanmugam, D. Cousins, A.T. Hunt, M.Paranthaman, A. Goyal, P. Martin, andD.M. Kroeger, Low-Cost CombustionChemical Vapor Deposition of EpitaxialBuffer Layers and Superconductors, IEEETransactions on AppliedSuperconductivity, submitted.

27. W.Y. Lin, R.F. Speyer, T.R. Shrout, and W.S.Hackenberger, Dielectric Properties ofBa

2Ti

9O

20 Microwave Resonators Doped

with Zirconium and Tin Oxides, Journalof American Ceramic Society, Submitted.

28. A.T. Hunt and H.G. Hörnis, CCVD Theoryand Processing of Ferroelectric Thin Filmsat Ambient Pressure. Invited presentationat the MOCVD Workshop for SiliconProcessing, Kyungju, Korea, 1995.

29. A.T. Hunt, J. Abbey, and H.G. Hörnis,PZT, PLZT, LSC and Pt Thin Films Producedin the Open Atmosphere Using CombustionChemical Vapor Deposition. Presented atthe International Symposium on IntegratedFerroelectrics, Colorado Springs, CO,1995.

30. M.R. Hendrick, The Effects of CombustionCVD-Applied Alumina Coatings on theHigh Temperature Oxidation of a Ni-CrAlloy, Thesis, Georgia Institute ofTechnology, Atlanta, 1996.

31. M.R. Hendrick, H. Shao, T.J. Hwang, andA.T. Hunt, Low Cost Corrosion Protectionof Metals by Combustion Chemical VaporDeposited Coatings, Materials SolutionsConference, Rosemont, Illinois, ASMInternational, Materials Park, Ohio, 1998.

32. M.R. Hendrick, J.M. Hampikian, andW.B. Carter, Combustion CVD-AppliedAlumina Coatings and Their Effects on theOxidation of a Ni-Based Chromia Former,Journal of Electrochemical Society ,Vol.145, No.11, 1998, pp.3986-3994.

33. M.R. Hendrick, S. Shanmugham, andA.T. Hunt, Elevated Temperature OxidationProtection of Carbon Steel by CombustionChemical Vapor Deposition, Symposium on

High Temperature Coatings III, TMSAnnual Meeting, San Diego, CA., 1999.

34. M.R. Hendrick, J.M. Hampikian, andW.B. Carter, Combustion Chemical VaporDeposition of Alumina, Gordon ResearchConference on High-TemperatureCorrosion, New London, New Hampshire,1995.

35. M.R. Hendrick, S. Shanmugham, andA.T. Hunt, Chrome ConversionReplacement Coatings via CCVDProcessing, US Navy and IndustryCorrosion Technology InformationExchange, Louisville, Kentucky, 1998.

36. M.R. Hendrick, T.J. Hwang, H. Shao,H.G. Hörnis, J. Thomas, and A.T. Hunt,Chrome Replacement and Thermal CorrosionResistant Coatings Via Open AtmosphereCCVD Processing in 3rd Annual AdvancedTechniques for Replacing Chromium, SevenSprings, Pennsylvania, 1996.

37. A.T. Hunt, M.R. Hendrick, H. Shao,J.E. McEntyre, and T.J. Hwang, ChromeReplacement Coating Methods and thePotential Use of CCVD Processing,Proceedings of ASM Materials SolutionsConference, Indianapolis, IN, 1997.

38. A.T. Hunt, M.R. Hendrick, H. Shao,J.E. McEntyre, and T.J. Hwang, Thin FilmCoatings for Aircraft via CCVD Processing,Proceedings of AESF Conference, SanAntonio, TX., 1998.

39. T.J. Hwang, H. Shao, M.R. Hendrick,H.G. Hornis, and A.T. Hunt, CombustionChemical Vapor Deposition (CCVD) ofLaPO4

Monazite on Alumina Fibers forCeramic Matrix Composites, Journal ofMaterials Science and Engineering,Vol.A244, 1998, pp.91-96.

40. M. Oljaca, H.L. Luten, T. Tomov, andT. Metzger, BST Thin Films by CombustionChemical Vapor Deposition, Dependencyof Materials Properties on FlameCharacteristics, Combustion Flame, to besubmitted.

41. N.G. Glumac and D.G. Goodwin,Diagnostics and Modeling of StrainedFuel-Rich Acetylene/Oxygen Flames Usedfor Diamond Deposition, Combustion

Page 106: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hunt and Pohl102

Flame, Vol.105, 1996, p.321-331.42. E.J. Corat and D.G. Goodwin, Temperature

Dependence of Species ConcentrationsNear the Substrate During Diamond ChemicalVapor Deposition, Journal of AppliedPhysics, Vol.74, 1993, pp.2021-2028.

43. D.S. Green, T.G. Owano, S. Williams,D.G. Goodwin, R.N. Zare, andC.H. Kruger, Boundary Layer Profiles inPlasma Chemical Vapor Deposition,Science, Vol.259, 1993, pp.1726-1729.

44. A.G. Lowe, A.T. Hartlier, J. Brand,B. Atakan, and K. Kohse-Hoinghaus, In situTemperature and Species ConcentrationMeasurements by Laser Diagnostics andMolecular Beam Mass Spectrometry,Combustion Flame, Vol.118, 1999,pp.37-50.

45. R.J. Holdsworth, P.A. Martin, D. Raisbeck,and M.E. Pemble, In Situ, Monitoring ofAtmospheric Pressure Tin Oxide CVDUsing Near-Infrared Diode LaserSpectroscopy, Journal de Physique IV,Vol.9, 1999, pp.109-113.

Selected References

Additional publications aboutCombustion CVD by our scientists andcollaborators:1. W.B. Carter, G.B. Book, and A.T. Hunt,

Combustion Chemical Vapor Deposition ofYBa

2Cu

3O

x, Applied Superconductivity,

1993, p.391-394.2. W.B. Carter, J.M. Hampikian, S. Godfrey,

and T.A. Polley, Thermal Aging ofCombustion Chemical Vapor DepositedCoatings, Materials and ManufacturingProcesses, Vol.10, No.5, 1995, pp.1007-1020.

3. A.T. Hunt, W.B. Carter, and J.K. Cochran,Jr., Combustion Chemical VaporDeposition, A Novel Thin-Film DepositionTechnique, Applied Physics Letters, Vol.63,No.2, 1993, pp.266-268.

4. A.T. Hunt, Combustion Chemical VaporDeposition from Liquid Organic Solutions,Dissertation, Georgia Institute ofTechnology, 1993.We are aware of recent work by others

concerning development of flame-based thinfilm deposition processes. However, our effortsand patents predate all of this work. Some keyreferences:5. K.L. Choy, I. Wiedman, and B. Derby, Flame

Assisted Deposition of Functional CeramicThin Films, Advance Science Technology,Vol.5, 1995, pp.299-305.

6. K.L. Choy, Fabrication of Ceramic CoatingsUsing Flame Assisted Vapor Deposition,Proceedings of British Ceramic, Vol.54,1997, pp.65-74.

7. K.L. Choy, W. Bai, and B.C.H. Steele, NewDeposition Process for Dense YSZ Filmsonto Porous Electrodes, Solid Oxide FuelCells, Vol.97-40, 1997, pp.177-182.

8. K.L. Choy, S. Charojrochkul, andB.C.H. Steele, Fabrication of Cathode forSolid Oxide Fuel Cells using Flame AssistedVapor Deposition Technique, Solid StateIonics, Vol.96, No.1-2, 1997, pp.49-54.

9. K.L. Choy, Laying it on Thick (or Thin),Materials World, Vol.6, No.3, 1998,pp.144-146.

10. G. Skandan, N. Glumac, Y.J. Chen,F. Cosandey, E. Heims, and B.H. Kear, Low-Pressure Flame Deposition ofNanostructured Oxide Films, Journal of theAmerican Ceramic Society, Vol.81, No.10,1998, pp.2753-2756.

11. Nanopowder Enterprises, Inc. (a divisionof SMI), Low-Temperature Processing ofThick Film Ferroelectric Materials, STTR(BMDO) Abstract, 1997.

12. N.G. Glumac, Y.J. Chen, and G. Skandan,Diagnostics and Modeling of NanopowderSynthesis in Low Pressure Flames, Journalof Materials Research, 1998, Vol.13, No.9,pp.2572-2579.

Page 107: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chapter 5

Polarized Electrochemical VaporDeposition

Eric Z. Tang, Thomas H. Etsell, and Douglas G. IveyDepartment of Chemical and Materials EngineeringUniversity of Alberta, Edmonton, AB, Canada T6G 2G6

Abstract

The interfacing of thin film vapor depositiontechnologies and solid state ionic technologieshas led to the development of polarizedelectrochemical vapor deposition (PEVD).PEVD utilizes a solid state electrochemical cellwhich can transport one or more reactants in theionic state. Thus, under an electrochemicalpotential gradient, which is mainly provided bya dc potential, ionic reactants travel from thesource side of a solid substrate to the sink sideand react electrochemically with the surroundingvapor to form the desired solid product. PEVDhas brought Wagner's scaling tarnishing theoryto a new field for making synthetic productsunder well-defined thermodynamic and kineticconditions. The immediate advantages of usinga closed-circuit electrochemical cell in PEVD

include easy control and monitoring. Further-more, the PEVD product is capable of modifyingthe solid electrolyte/electrode interface bothphysically and chemically due to its uniquecrystallization and growth behavior.

PEVD has been applied to deposit auxiliaryphases (Na

2CO

3, NaNO

3 and Na

2SO

4) for solid

potentiometric gaseous oxide (CO2, NO

2, and

SO2) sensors, as well as a yttria stabilized

zirconia (YSZ) ceramic phase to form compositeanodes for solid oxide fuel cells. In both cases,the theoretically ideal interfacial microstructureswere realized. The performances of these solidstate ionic devices improved significantly.Furthermore, in order to set the foundation forfuture PEVD applications, a well-defined PEVDsystem has been studied both thermo-dynamically and kinetically, indicating thatPEVD shows promise for a wide range oftechnological applications.

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p103-181 DOI:10.1361/chvd2001p103

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 108: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey104

Introduction

There are two types of conductivity innature: electronic and ionic. The first does notinvolve mass or material transport while thesecond does. Thus, ionic conduction is capableof converting chemical energy to electricalenergy, or vice versa. Since Wagner's early worksin the 1930’s,1 defect solid state physics andchemistry has been an area of major scientificand technological interest with the resultingdiscoveries of solid state ionic materials anddevelopment of solid state ionic technologysustaining this interest. The stage has, therefore,gradually been set for a massive effort atdeveloping applications.

The energy crisis in the early 1970’s arouseda worldwide interest in energy convertingapplications based on ionic transport in solidstate ionic materials. Since then this field hasdeveloped rapidly in order to serve ever-growingenergy and environmental demands. Like solidstate electronics, the device field of solid stateionics is quickly developing. The study ofelectronic-ionic processes in solid state ionicmaterials reflects the intimate relationshipbetween science and technology; ‘‘purescientific’’ results eventually find variouspractical applications. Present activity in thisdirection includes the development of smallbatteries for pacemaker implants, high powerbatteries for energy storage systems, fuel cellsfor high-efficiency power generation,electrochromic windows for energyconservation, sensors for chemical pollutantdetection, etc.

In spite of the great promise solid state ionicdevices offer, few commercial successes havebeen reported to date. The major problems facedtoday in the field of solid state ionic devices arestill material related. At present, the keytechnical challenge is development of reliableand cost-efficient techniques to synthesize solidstate ionic materials to serve as solid electrodesand electrolytes.2 On the other hand, thesematerials are not used in isolation, but in anelectrochemical system and must be in contactwith each other. The response of solid state ionicmaterials in solid state ionic devices is highly

related to the interface characteristics, which fixthe external electrical conditions (electricpotential and current density) and the externalchemical conditions (chemical potential). Thesolid electrode/electrolyte interfaces mustpossess high ionic and electronic mobility. Thus,development of fabrication techniques toimprove the interfaces assumes real significance.

The present availability of numerous typesof solid electrolytes permits transport control ofvarious kinds of mobile ionic species throughthose solid electrolytes in solid electrochemicalcells, and permits electrochemical reactions tobe carried out with the surrounding vapor phaseto form products of interest. This interfacing ofmodern vapor deposition technology and solidstate ionic technology has led to the recentdevelopment of polarized electrochemical vapordeposition (PEVD).3 PEVD has been applied tofabricate two types of solid state ionic devices,i.e., solid state potentiometric sensors and solidoxide fuel cells. Investigations show that PEVDis the most suitable technique to improve thesolid electrolyte/electrode contact andsubsequently, the performance of these solidstate ionic devices.

PEVD Fundamentals

Generally speaking, PEVD is a modifiedform of chemical vapor deposition (CVD). Acomparison between PEVD and conventionalCVD is schematically shown in Figure 1 for aproduct (D) formed from reactants (A) and (B).In a CVD process, both reactants (A) and (B)are supplied through a vapor phase at the sameside of a solid substrate (E). They reactchemically at the surface of the solid substrate(E), aided by some type of catalytic effect, toform a desired product (D). In a PEVD process,one reactant (A) is transported from one side(source) of a solid substrate (E) to the other side(sink) under well-controlled thermodynamic andkinetic conditions. At the sink side, reaction with(B) occurs to form (D). Further growth of (D)into a continuous thin film with the desiredthickness in a PEVD process also relies on (A)transported in the solid state now through (E)and (D) to react with (B).

Page 109: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 105

The reason that reactant (A) can betransported through the solid substrate (E) andthe solid deposited phase (D) is because both(E) and (D) are solid state ionic materials,4 inwhich the ionic state of reactant (A) is mobile.Thus, reactant (A) will be transported as acombination of corresponding ionic andelectronic carriers under the mixed influencesof chemical potential gradients and electric fieldsin the solids. Unlike the chemical reaction ofvapor phase reactants (A) and (B) to form adeposit (D) in CVD, the reaction of the solid-state transported reactant (A) and the vaporphase reactant (B) to form a deposit (D) iselectrochemical in nature in PEVD. Theimmediate advantage is that the depositionreaction in PEVD occurs at an electrochemicallyactive area instead of a catalytically active areain the case of CVD. Consequently, reaction anddeposition in PEVD take place only on thesubstrate, not on reactor walls, and there are novapor phase reactions and powder deposition asmight occur in CVD. The deposition productsin PEVD are usually uniform and fully dense,due to the fact that the electrochemical potentialgradient in (D) is the driving force forelectrochemical reaction and deposition. Thus,where the film is the thinnest, the driving forceis the greatest. Through this self-leveling effect,uniform coverage of PEVD products is expected.

PEVD can also be compared to anotherclosely related vapor deposition technique,electrochemical vapor deposition (EVD), which

was introduced by Isenberg at the WestinghouseR & D center in the late 1970's.5,6 Bothtechniques utilize an electrochemical potentialgradient as the driving force for solid-statetransported reactant (A) diffusion throughproduct (D) for further reaction and deposition.However, the way electronic carriers aretransported distinguishes PEVD from EVD asschematically shown in Figure 2.

In EVD, electronic carriers flow with ioniccarriers through the solid substrate (E) to thedeposited product (D). The substrate (E) caneither be an ionic or a mixed conductor, as longas the major mobile ionic species is (Az+) or (Az-).The driving force for reactant (A) transportthrough the solid substrate (E) and deposit (D)is (A)'s chemical potential difference betweenthe two isolated compartments, i.e., the sourceand sink side of substrate (E). At the sourceside, the chemical potential of reactant (A) isusually maintained constant. At the sink side,the chemical potential of reactant (A) is fixedby EVD reactions.

In PEVD, an applied voltage is used totransport (A) through the substrate (E). Usually,(E) is an exclusive ionic conductor for (Az+) or(Az-). It serves as a solid electrolyte in a closed-circuit solid electrochemical cell, and isconnected to an external electrical circuit with adc electrical source by two electronic conductingelectrodes at the sink and source sides of (E).Consequently, only ionic carriers can betransported through (E) to (D). The electronic

Fig. 1: Comparison of PEVD and CVD processes.

Page 110: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey106

carriers flow separately to (D) through theexternal electrical circuit. Instead of only relyingon the chemical potential difference of reactant(A) across the solid phase (E) as in EVD, thedriving force for reactant (A) that is solid-statetransported is mainly controlled by the applieddc electric potential in PEVD. Thus, PEVDoffers more control of the chemical potentialgradient across the deposit (D) than EVD.Furthermore, isolation between the source andsink side in a PEVD system is not necessary fordelivering the driving force.

The transport mechanism for reactant (A)through deposit (D) for further growth in PEVDis the same as in EVD. The characteristic featureof both EVD and PEVD is that deposit (D)growth is driven by the presence of a chemicalpotential gradient of reactant (A) across deposit(D). Deposit (D) growth follows Wagner'selectrochemical tarnishing theory and isparabolic in nature. Unlike the initialapplications of Wagner's theory in corrosion,solid-state transporting of the reactant in bothEVD and PEVD is under well-controlledthermodynamic and kinetic conditions, solelythrough sink and source vapor phases control inEVD, and mainly through dc electric potentialcontrol via a solid electrochemical cell in PEVD.Recently, a review of both EVD and PEVD waspresented to illustrate the similarities anddifferences of both techniques.7

PEVD System Basics

A fundamental PEVD system isschematically shown in Figure 3. From left toright, it consists of1. A source (O) to supply the solid-state

transported reactant (A),2. A substrate (E) in a solid electrochemical

cell with an external electric circuitconnected from the source side by a counterelectrode (C) and from the sink side by aworking electrode (W),

3. A product (D) deposited at the sink sidesurface of the substrate, and

4. A sink (S) to supply reactant (B) in thevapor phase.As with CVD and EVD techniques, PEVD

process design starts by considering the PEVDproduct (D). Either an anodic or cathodic half-cell electrochemical reaction at the sink side ofthe system can lead to product (D) formationand subsequent deposition.

Anodic reaction: Az- + B – ze- ⇔ D (1)

Cathodic reaction: Az+ + B + ze- ⇔ D (2)

The equation of choice depends on whetherthe anion (Az-) or cation (Az+) of deposit (D) istransported through the substrate as well as theproduct. If doping is also required for a PEVDproduct (D), additional electrochemical reactionsfor doping should be considered at the same time.

Fig. 2: Comparison of EVD and PEVD processes.

Page 111: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 107

In order to carry out the electrochemicalreaction for product (D) deposition in a PEVDprocess, the neutral reactant (B) and otherpossible reactants for doping are transported tothe deposit (D) surface by diffusion in the sinkvapor phase (S). Furthermore, if there arereaction products other than (D), they must bedriven away from the reaction sites though thesink vapor phase.

The charged reactant for the sinkelectrochemical reaction is supplied by the solidelectrochemical cell of a PEVD system. Thesolid phase (E) is an exclusive ionic conductorfor (Az+) or (Az-), and serves as the solidelectrolyte. (C) and (W) are solid electronicconducting phases, and contact (E) from bothsides as counter and working electrodes,respectively. They connect with the externalelectric circuit, which consists of a dc sourceand other possible measurement devices.Because the conductivity changes in nature fromionic to electronic at the electrode/electrolyteinterfaces, the solid electrochemical cell in aPEVD system effectively separates the transportpaths of ionic and electronic charged carriers

from the source (O) to the sink (S) of a PEVDsystem. Thus, the solid electrochemical cell ina PEVD system has two basic functions. Firstof all, it provides the substrate onto which PEVDproducts are deposited at the sink side. Secondly,it controls ionic (Az+ or Az-) and electronic (e orh) reactant movement from the source (O) tothe sink side (S) for participation in anelectrochemical reaction and subsequentdeposition through an external circuit. Therequirements for a solid electrolyte in a PEVDsystem include:• The only mobile ion in the solid electrolyte

will be solid-state transported reactant (Az+)or (Az-).

• At the desired PEVD temperature, the solidelectrolyte must have reasonable ionicconductivity when exposed to both sink andsource side environments.

• Sufficiently high ionic current density mustbe obtained below the decomposition voltage.

• The solid electrolyte should be gas-impervious when the source and sink sidesare exposed to difference gases or vaporphases.

Fig. 3: Schematic of a fundamental PEVD system.

Electronic Path

External Circuit

O C E W D S

A I Az+ or Az-

Ionic Path

c or h

Az+ or Az-II B

III

c or hc or

h

Page 112: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey108

• It should be stable in the PEVDenvironment.8

Usually, the working electrode (W) is aporous metallic electrode in PEVD. Thus,reactant (B) in the vapor phase can reach thesurface of the solid electrolyte for initialelectrochemical reaction at a three-phaseboundary of solid electrolyte (E), workingelectrode (W) and sink vapor phase (S) as shownin Figure 3 (location II). All reactants for thesink side electrochemical reaction (1) or (2) areonly available there. Subsequent reaction anddeposition of the product (D) requires bothelectrons and ions to travel through product (D)to the surface to react with vapor phasereactant(s) electrochemically at location III inFigure 3.

The role of the source (O) in a PEVD systemis to provide a constant supply of the solid-statetransported reactant (A) during a PEVD process.Theoretically, it can be either a solid, liquid orvapor phase, as long as it can supply the ionicreactant (Az+) or (Az-) to the solid electrolyte (E)and the electronic reactant (e) or (h) to thecounter electrode (C) via a source sideelectrochemical reaction. Therefore, the sourcemust be in intimate contact with both solidelectrolyte (E) and counter electrode (C) for massand charge transfer between the source and solidelectrochemical cell at location I of Figure 3.Practically, it is preferable to fix the chemicalpotential at the source. Any gas or solid mixturewhich does not react with the cell componentsand establishes a constant chemical potential of(A) is a suitable source. For instance, elemental(A) provides (Az+) or (Az-) according to thefollowing reaction

A ⇔ Az+ (Az-) + ze-(–ze-) (3)

The overall cell reaction in a PEVD processis the combination of both source and sink halfcell reactions. It can be expressed as

A(O)

+ B(S)

⇔ D (4)

This reaction does not have to be athermodynamically favorable one, since anexternal dc electric potential is applied via thesolid electrochemical cell in the PEVD systemto drive the reaction in the desired direction.Thus, the activity of (A) at the reaction site iscontrolled by the applied dc electric potential.

Kinetically, this reaction can be monitored byan ammeter attached to the external electriccircuit. Further discussion of mass and chargetransport in a PEVD system will be given in thefollowing.

Mass and Charge Transport in aPEVD System

According to the previous discussion, aPEVD process relies on mass and chargetransport in two solid state ionic materials of aPEVD system, i.e., the solid electrolyte (E) andthe product (D). Since mass and charge transportoccur in solid state ionic materials, theconductivity mechanism imposes somerestrictions, and fundamental considerations ina PEVD system can be obtained through thelocal equilibrium approach.9 In the following,mass and charge transport in both phases willbe discussed.

For convenience and simplicity, someassumptions are made. The solid electrolyte (E)is assumed to be an exclusive ionic conductorof mono-valent cation (A+). Two porouselectronic conducting electrodes (C) and (W) areattached to the solid electrolyte (E) from thesource and sink side, respectively. An externalelectric circuit with a dc source is connected tothe solid electrochemical cell via both electrodes.

During mass and charge transport in aPEVD system, the solid electrolyte serves as anion-pass filter and the external electric circuit asan electron-pass filter. Consequently, two kindsof conducting passes are separated in the systemas shown in Figure 3. One is the ionicconduction path from location (I) through thebulk of the solid electrolyte (E) to location (II),then across the bulk of the PEVD deposit (D) tolocation (III). The other is the electronicconduction path from location (I) through thesource electrode (C), the external electric circuit,and the sink electrode (W) to location (II), thenacross the bulk of the PEVD deposit (D) tolocation (III).

In this PEVD system, the source (O) willbe a vapor phase, which contains elementalsolid-state transported reactant (A), and an anodehalf-cell reaction

Page 113: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 109

A(O)

⇔ A+(E)

+ e- (C)

(5)

prevails at the three phase boundary (I) of solidelectrolyte (E), porous counter electrode (C) andsource vapor phase (O). Assuming that thepartial pressure of (A) in vapor phase (O) isconstant during a PEVD process, the chemicalpotential of (A) at (I) is constant. Theequilibrium equation for ionization of a neutralspecie (A) at (I) is:

)C(e)E(A)O(A −+ μ+μ=μ (6)

in which μA(O)

is the chemical potential of (A) inthe source (O), μ–

A+(E) is the electrochemical

potential of (A+) in the solid electrolyte at (I),and μ–

e-(C) is the electrochemical potential of (e-)

in the counter electrode at (I).At the sink side, once initial formation of

(D) has occurred, solid-state transported reactant(A), in the form of (A+) and (e-) from the bulk of(D), reacts electrochemically with reactant (B)from the sink vapor phase (S) to form PEVDdeposit phase (D) at (III). Thus, a cathodic half-cell reaction at location (III) can be expressedas:

A+(D)

+ e-(D)

+ B(S)

⇔ D(D)

(7)

Accordingly, the following equilibriumequation holds,

)D(D)S(B)D(e)D(Aμ=μ+μ+μ −+ (8)

It is assumed that the partial pressure of (B)in the sink vapor phase is also fixed during aPEVD process. Thus, the chemical potential of(B) is constant. The chemical potential ofproduct phase (D) is equal to its Gibbs freeenergy of formation. The chemical potential of(A), which is the combination of theelectrochemical potential of (A+) and (e-)according to Eqn. 6, is fixed at location (III) atequilibrium. It is further assumed that thechemical potential of (A) at (I) is greater thanits chemical potential at (III) in this PEVDsystem.

Because the cathodic reaction relies on thetransport of both ionic and electronic speciesthrough product (D), it should be considered asa mixed conductor, even in the case where onecarrier has a much higher conductivity than theother. In this case the conductivity of othercharged species in (D) is assumed to be

negligible. Deposit (D) is in contact with solidelectrolyte (E) and working electrode (W) at (II),which is a three-phase boundary in the generalelectrochemical sense. At location (II), theexchange of solid-state transported reactant (A+)between solid electrolyte (E) and product (D)can be expressed as

A+(E)

⇔ A+(D)

(9)

and the exchange of electrons (e-) between thesink working electrode (W) and the product (D) is

e-(W)

⇔ e-(D)

(10)

Thus, product (D) should be in intimatecontact with both the solid electrolyte (E) andworking electrode (W) at (II) for a PEVDreaction to occur. If interfacial polarization isnegligible, equilibria exist for both mass andcharge transport across the interfaces at (II).Consequently, from Eqns. 9 and 10, thefollowing electrochemical potential equilibriumequations at location (II) are valid:

)II,D()II,E( AA ++ μ=μ (11)

)II,D()II,W( ee −− μ=μ (12)

Open Circuit Condition andEquilibrium Potentials ofthe PEVD System

Under open circuit conditions, the PEVDsystem is in equilibrium after an initial chargingprocess. The equilibrium potential profiles insidethe solid electrolyte (E) and product (D) areschematically shown in Figure 4. Becauseneither ionic nor electronic current flows in anypart of the PEVD system, the electrochemicalpotential of the ionic species (A+) must beconstant across both the solid electrolyte (E) anddeposit (D). It is equal in both solid phases,according to Eqn. 11, at location (II). Thechemical potential of solid-state transportedspecies (A) is fixed at (I) by the equilibrium ofthe anodic half cell reaction Eqn. 6; and at (III)by the cathodic half cell reaction Eqn. 8. Since(D) is a mixed conductor with non-negligibleelectronic conductivity, the electrochemicalpotential of an electron (which is related to theFermi level, E

F) should be constant in (D) at the

equilibrium condition. The transport of reactant

Page 114: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey110

(A) inside (D) is the combination of both ionicand electronic conduction. Thus, the chemicalpotential of (A) is constant across deposit (D)and the chemical potential of (A) at (II) equalsthat at (III). Accordingly, the electric potentialφ across deposit (D) must be constant.

The difference in chemical potential of (A)between the source and sink side of the PEVDsystem causes a gradient of the chemicalpotential of (A) across the solid electrolyte (E)between (I) and (II). In order to have a constantelectrochemical potential of (A+) inside (E) toprevent ionic current under equilibrium, aninternal electric field is built up inside solidelectrolyte (E). This is justified since electronicconductivity in (E) is negligible. The internalelectric field causes an electric potentialdifference between (I) and (II). The value ofthe internal electric field is the EMF of the cell,and can be calculated from the change inchemical potential of (A) across the solidelectrolyte (E) according to Nernst’s equation.It can be measured by a high impedanceelectrometer in the external electric circuit.According to the Stockholm convention, EMF

is taken as positive if the right-hand electrodeof the galvanic cell is positive.10 The flow ofcharge through the cell is called positive if apositive electric current flows from left to rightthrough the cell. In this case, the value of theEMF is positive. It can be expressed as

EMF = φII - φ

I (13)

In summary, the relation between thechemical potential of (A) at (I), (II) and (III)under open circuit conditions is:

qEMF���IA

IIA

IIIA −== (14)

Closed Circuit Condition and Steady-State Potentials in a PEVD System

Under closed circuit conditions, the electricpotential between the two electrodes (C) and (W)is set by an applied dc electric potential V

a.

Va = φ

II - φ

I (15)

Consequently, a gradient in theelectrochemical potential of (A+) exists insidethe solid electrolyte (E), and electrons are ableto conduct through the external circuit exceptwhen the applied dc electric potential is equal

Fig. 4: Potential profiles in the PEVD system under open circuit conditions.

Page 115: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 111

to the open circuit EMF value. Under thiscondition, the applied dc potential prevents bothionic and electronic current flow through thePEVD system. The potential profiles in thePEVD system are now the same as for the opencircuit condition except the electric potentialdifference at locations (I) and (II) is caused bythe applied dc electric field instead of the internalelectric field. The value of this applied electricpotential corresponds to the stop electricpotential E

stop commonly referred to for

electrolytic cells. In theory, the value of theclosed circuit stop potential is equal to the opencircuit equilibrium EMF value at the steady-statecondition. When V

a < V

stop, the electric potential

difference between (II) and (I) is reduced, andthis immediately causes an electrochemicalpotential gradient of (A+). This gradient isinitially equal to the difference between V

a and

Vstop

. An ionic current of (A+) will flow from (I)to (II) under the electrochemical potentialgradient. If the ionic transport of (A+) is blockedat (II) or solid-state transport of (A) is blockedin the deposit (D), (A+) will accumulate at (II),as do electrons (e-) from the external circuit. Thisis equivalent to accumulation of the neutralspecies (A), and will increase the chemicalpotential of (A) at (II) until the electrochemicalpotential of (A+) reaches the same level as at (I).

Thus, ionic current inside the solidelectrolyte (E) and electronic current through theexternal electric circuit will cease after a shorttransition time. The chemical potential of (A)at (I) and (II) will then have the followingrelationship:

aIA

IIA qV−μ=μ (16)

Because ionic current cannot cross theinterface at (II), the equilibria (Eqns. 11 and 12)at the interfaces at location (II) are not fulfilled.The chemical potential of (A) inside deposit (D)won't change and it will remain the same at (III).

Deposition in a PEVD system is accomplishedby transporting both (e-) and (A+) across theinterfaces at (II), and from (II) to (III) to reactwith (B) from the sink vapor phase. This isequivalent to the transport of neutral species (A)from (II) to (III) under a chemical potentialgradient of (A). Consequently, the chemical

potential of (A) at (II) is reduced. In order torestore it, transport of (A+) inside the solidelectrolyte (E) and (e-) through the externalelectric circuit resume. Thus, under closedcircuit conditions, the chemical potential of (A)is floating at (II), and electrochemical potentialgradients of the charged species exist across boththe solid electrolyte (E) and deposit (D). Thiscauses mass and charge transport of reactant (A)from the source (O) to the sink (S) in the PEVDsystem. The entire PEVD system can be viewedas a reactor. The overall reaction is thecombination of the anodic and cathodic reactionsto form the desired PEVD product (D) and canbe expressed as

A(O)

+ B(S)

→ D(D)

(17)

When both reactions in Eqns. 5 and 7 proceedto the right, the equilibria at both sides of the cellno longer exist. This will decrease the chemicalpotential of (A) at (I) and increase it at (III). Ifthe current in the PEVD system is assumed to bevery small, the change in chemical potential of(A) at both the source and sink side will not besignificant. The steady state potential profiles ofthe PEVD system are illustrated in Figure 5.

When the current is limited by the solidtransport of (A) in both the solid electrolyte (E)and product (D), the chemical potential of (A) at(II) for the PEVD system is related to the currentin both phases. Thus, the chemical potential of(A) at (II) is critical to reveal the current andpotential behavior in the PEVD system.

In the following, the floating chemicalpotential of (A) at (II) is solved under theassumption that the equilibria of mass and chargetransport across the interfaces at (II) are rapidlyreached during the mass and charge transfer ina PEVD process. In addition, some furtherassumptions are needed for steady-stateconditions during a PEVD process:• Despite the flow of current at (I) and (III),

the change in chemical potentials of (A) at(I) and (III) is negligible,

• Only resistive overpotential in the bulk of(E) and (D) of the PEVD system isconsidered.

• Surface leakage current from the source (O)to the sink (S) in the PEVD system isnegligible.

Page 116: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey112

Due to conservation of charges, the totalcurrent I

t in any part of the solid electrochemical

cell of the PEVD system is the same.

Ie,(W)

+ Ii,(W)

= Ie,(E)

+ Ii,(E)

= It

(18)

Since the electronic current Ie,(E)

and theionic current I

i,(W) inside the solid electrolyte (E)

and the external electric circuit, respectively, arenegligible, the electronic current I

e,(W) flowing

through the external electric circuit equals theionic current I

i,(E) flowing through the solid

electrolyte (E).

Ie,(W)

= Ii,(E)

= It

(19)

Because all ionic and electronic currentarriving at (II) will be drained away via thedeposit (D), the ionic current I

i,(E) flowing

through the solid electrolyte equals the ioniccurrent I

i,(D) flowing through deposit (D) under

steady-state conditions. The same is true for theelectronic current through the external electriccircuit and deposit (D). Thus, we have thefollowing relation involving currents:

Ie,(W)

= Ii,(E)

= Ie,(D)

= Ii,(D)

(20)

Consequently, the electronic currentmeasured in the external electric circuit reveals

the ionic current in solid electrolyte (E) anddeposit (D), as well as the electronic current indeposit (D).

The current relation in Eqn. 20 is achievedby adjusting the chemical potential of (A) at (II)under closed circuit conditions. Since thegradient in electrochemical potential is thedriving force for the flow of charged particles inthe multiphase PEVD system, the current densitycarried by (A+) in either the solid electrolyte (E)or deposit (D) can be written as:

( ) ( ) ( )⎟⎟⎠

⎞⎜⎜⎝

⎛∂

μ∂σ−=

+

+

+

+x

x

qz

xxi A

A

AA (21)

where σA+ is the electric conductivity of (A+).

Accordingly, the current density of (A+)inside the solid electrolyte (E) is

⎟⎟⎠

⎞⎜⎜⎝

⎛ μ−μσ−=

++

+

+

+

E

I,AII,A

A

E,A

E,A Lqzi (22)

assuming the solid electrolyte (E) is homo-geneous. L

E is the thickness of solid electrolyte

(E). Splitting the electrochemical potential into

Fig. 5: Potential profiles inside the solid electrolyte (E) and product (D) under closed-circuitconditions.

Page 117: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 113

both its chemical and electric potentialcomponents, and considering Eqn. 15, yields

⎟⎟⎠

⎞⎜⎜⎝

⎛ +−−= +

+

+

+

E

aAIA,IIA,

A

E,A

E,A L

Vqz��

qz

i (23)

In this equation, two variables exist. Oneis the applied potential, the other is the chemicalpotential of (A) at (II). The same equations applyto the current density of (A+) inside deposit (D)when (D) is homogeneous and the thickness of(D) is L

D.

⎟⎟⎠

⎞⎜⎜⎝

⎛ μ−μσ−=

++

+

+

+

D

II,AIII,A

A

D,A

D,A Lqzi (24)

or

σ−=

+

+

+

A

D,A

D,A qzi

( )

⎟⎟⎠

⎞⎜⎜⎝

⎛ φ−φ+μ−μ +

D

IIIIIAII,AIII,A

L

qz (25)

Since the chemical potential of (A) is fixedby the cathodic reaction at (III), a chemicalpotential gradient of (A) exists inside product(D). This causes an open circuit internal electricfield to build up to oppose the tendency of (A+)to move across product (D). However, product(D) is a mixed conducting product. As in a scaleproduct, the electronic leakage current weakensthe internal electric field in (D). Thus, theelectrochemical potential gradient in Eqn. 24exists to move (A+) from location (II) to location(III) to react with vapor phase.

The electric potential difference betweeninterfaces (III) and (II) depends on the electronicconductivity of deposit (D). If the deposit is anexclusive electronic conductor, the difference isclose to zero and the current is limited by ionicconduction in the deposit; on the other hand, if it isan exclusive ionic conductor, an internal potentialclose to the open circuit emf value is built up. Ionicconduction relies on the leakage electronic current.In either case, the electric potential difference across(D) can be expressed as

( )II,AIII,A

A

ADIIIII qz

tEMF μ−μ−==φ−φ

+

+

(26)

where tA+ is the transport number of (A+).

Combining Eqns. 26 and 25 yields

⎟⎟⎠

⎞⎜⎜⎝

⎛ μ−μσ−=

+

−+

+

D

II,AIII,A

A

eD,A

D,A Lqz

ti (27)

where te- is the electronic transport number.

Both current densities are assumed to beuniform in solid electrolyte (E) with effectivearea A

E and in deposit (D) with effective area

AD. The ionic currents should be equal according

to the charge conservation in Eqn. 20, and wehave

AEiA+,E

= ADiA+,D

(28)

If Eqns. 23 and 27 are substituted intoEqn. 28, then

=⎟⎟⎠

⎞⎜⎜⎝

⎛ +μ−μσ− +

+

+

E

aAI,AII,A

A

E,AE

L

Vqz

qz

A

⎟⎟⎠

⎞⎜⎜⎝

⎛ μ−μσ−

+

−+

D

II,AIII,A

A

eD,AD

Lqz

tA (29)

For simplicity, two conductances, GE and

GD, can be defined as follows:

E

E,AE

E L

AG

+σ= (30)

D

eD,AD

D L

tAG

−+σ= (31)

Both GE and G

D depend on the physical and

geometrical properties of the solid electrolyte(E) and the deposit (D), respectively. Eqn. 29then becomes

GE(μ

A,II - μ

A,I + qz

A+Va) = G

D(μ

A,III - μ

A,II) (32)

From Eqn. 32, the chemical potential at (II)can be expressed as

DE

aEAIII,ADI,AEII,A GG

VGqzGG

+−μ+μ

=μ +

(33)

According to the above equation, thechemical potential of the solid-state transported

Page 118: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey114

reactant at (II) depends on a number of factors.These are the physical and geometricalproperties of the phases in the PEVD system,except the applied dc potential V

a. Although

varying physical and geometrical parametersaffect a PEVD process, adjusting the applied dcelectrical potential is the most effective way tocontrol the driving force for ionic transport.

Electrochemical Control andMonitoring of a PEVD ProcessThrough the SolidElectrochemical Cell of aPEVD System

The most distinguishing feature of PEVDprocess control is made possible because of thesolid electrochemical cell involved in a PEVDsystem. Compared with other vapor depositiontechniques, utilization of solid electrochemicalcells is one of the most significant advantagesof the PEVD technique, since deposition processcontrol and monitoring are easy to realize. Byconnecting several measurement devices to theexternal electrical circuit of a PEVD system, thereaction in a PEVD process is easily monitoredand possibly controlled by the electrical currentand applied potential, respectively.3

PEVD Process MonitoringThe current, I, in a PEVD process can be

recorded simultaneously by an ammeter in theexternal circuit to reveal the kinetics of thePEVD reactions. As discussed in the last section,solid-state reactant (A) needs to be transportedas a combination of ionic and electronic speciesfrom the source to the sink side through the solidelectrochemical cell to participate in a PEVDreaction with vapor phase reactant (B). ThePEVD reaction rate, and subsequent product (D)formation rate, υ(t), can be expressed as

( )dt

dn

V

1t =υ (34)

where V is the molar volume of the PEVDproduct (D), and n is the mole number of ionicspecies travelling through the PEVD system.From Faraday's law and Fick's second lawdiscussed in the previous section, the current

passing through the external circuit of a PEVDsystem is

dt

zFdn

dt

dQI == (35)

Combining Eqns. 34 and 35 yields.

I = υ(t)VzF (36)

Thus, the rate of PEVD product formationis proportional to the current flowing throughthe external electric circuit. Furthermore, takinginto account the evolution of the cell with time,the amount of reaction product formed, M, attime t is easily monitored during PEVD byintegrating the current from 0 to t.

( ) dtVzFtdtIMt

0

t

0 ∫∫ υ== (37)

Although it is not as severe in PEVDsystems as in aqueous electrochemical systemsin which various kinds of mobile ions are presentin the electrolytes, it should be pointed out that,in the presence of reactants at the sink electrodesurface, other electrochemical reactions mightalso take place in parallel with the desired oneat the sink side. If side reactions exist, usuallysuch parallel reactions’ contributions to themeasured current are not easy to quantify. If itis desired to use current to monitor the reactionand product formation in PEVD, side reactionsshould be eliminated or at least controlled.Fortunately, only one ionic species is usuallymobile in a solid electrochemical cell becauseof the nature of the solid electrolyte. As long asthe vapor phase is properly controlled, usuallyone electrode reaction is predominant over awide range of PEVD applied potentials.Virtually 100% current efficiency for productformation can be expected.

Process Control via the Applied dcElectric Potential

Based on Eqn. 33, possible process controlduring PEVD includes many aspects, such asprocess temperature, the vapor phase at the sinkside, the activity of the solid-state transportedreactant (A) at both sink and source sides, etc.Further discussion of these factors is subject tothe individual process and will be presented later.In this section, PEVD process control is

Page 119: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 115

discussed under the assumption that all otherparameters are constant. The current-potentialbehavior reveals that the thermodynamic drivingforce for the overall reaction and ionic transportare controllable by the applied external dcelectrical potential in PEVD.

According to Eq. 33, adjusting the applieddc electrical potential controls the chemicalpotential of (A) at (II) and, accordingly, thechemical potential difference of the solid-statetransported reactant (A) across the depositedproduct (D). Consequently, the applied potentialcontrols the reaction rate in a PEVD systemwhen solid transport of (A) through the productis the rate-limiting step for the process. Becausethe thermodynamic driving force for PEVD isnot solely based on the chemical potentialdifference of the solid-state transported reactantbetween the sink and source side, isolation ofboth sides is not necessary. This provides a greatpractical advantage of PEVD over EVD.

In order to reveal the kinetics of the PEVDreaction, the chemical potential of (A) at (II)from Eqn. 33 is substituted into Eqn. 27,

−===+

++

A

DD,AE,A qz

GIII

⎟⎟⎠

⎞⎜⎜⎝

⎛+

−μ+μ−μ +

DE

aEAIII,ACI,AEIII,A GG

VGqzGG(38)

and therefore,

DE

ED

AGG

GG

qz

1I

+=

+

( ) aDE

EDIII,AI,A V

GG

GG

+−μ−μ (39)

Gt can be defined as the total conductance of the

PEVD system,

=+

=DE

EDt GG

GGG

−++

−++

σ+σ

σσ

eD.AEDE,ADE

eD,ADE,AE

tLALA

tAA (40)

According to this definition, Gt depends on

the physical and geometric properties of boththe solid electrolyte (E) and product (D). G

t is

fixed if the PEVD system is fixed. By substitutingG

t into Eqn. 39, the current and applied potential

have the following simple relation:

at

A

III,AI,At VG

qzGI −

μ−μ=

+ (41)

In this ideal condition, the current vs.applied potential curve in a PEVD process isschematically shown in Figure 6. The applieddc potential V

a is linearly related to the current

I, and the slope is -Gt. The stop potential at zero

current is

+

μ−μ==

A

III,AI,Aastop qz

VV (42)

Before discussing general conclusionsregarding the current and applied dc potentialin a PEVD system, the initial assumptions madein this discussion have to be examined carefully.The above discussion is based on the assumptionthat all interfaces at (I), (II), and (III) are idealnon-polarizable interfaces, and equilibriumelectrochemical potentials of (A+) and (e-) prevailacross these interfaces during mass and chargetransport in the PEVD system. Thus, theaforementioned expressions for mass and chargetransport in a PEVD system indicate that thetransport rate (current) only depends on bulkmaterial properties and simple geometricaspects. The thermodynamic equilibriumcriterion at one interface between two phases isan equality of electrochemical potential of thecharged particles. If establishment andmaintenance of the equilibria are rapid, then theresponse of the interface to a non-equilibriumfield is to drive the equilibrium predominantlyin one of its component directions, which resultsin a basically non-resistive transfer of ideallyreversible interfaces.11 Only in this case can thebulk value of the electrochemical potential besubstituted for the interface electrochemicalpotential in the aforementioned equations.

In practice, when current flows in thesystem, thermodynamic equilibrium conditionsno longer exist at the interfaces. The responseof the PEVD system is also related to the

Page 120: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey116

interface characteristics, which are fixed by theexternal chemical and electrical conditions foreach phase. Polarization usually exists in theinterface region. The interfaces need to be treatedby kinetic considerations.12 Nevertheless, massand charge transport in a PEVD process atelevated temperatures are frequently determinedby the rate of diffusion in the solid reactionproduct rather than by the rate of phase boundarycharge transfer. On the other hand, overpotentialin a PEVD reaction and product formation canbe caused by other factors, such as gas phasediffusion and crystallization. The resultantcurrent-applied potential curve could be morecomplicated than the ideal one in Figure 6. Thedetails of those kinetic considerations on a well-defined PEVD system will be presented later.

General Product DepositionBehavior in PEVD

The advantages of PEVD are not only basedon the close electrochemical control, but also arisefrom the unique electrocrystallization behaviorduring growth of PEVD products. In order to givethe prerequisites for understanding the mechanismof PEVD product growth, it is necessary to considerthe transport processes of the charged reactingspecies in the PEVD product phase.

Selective DepositionAs schematically shown in Figure 7a, initial

PEVD reaction and product nucleation occursat the three-phase boundary of solid electrolyte(E), working electrode (W) and the sink vaporphase (S) which contains vapor phase reactant(B). Only here are all reactants available for thehalf-cell electrochemical reaction at the sink sideof a PEVD system. Although the ionic andelectronic species can sometimes surface diffuseat elevated temperature to other sites to react with(B) in the vapor phase, the supply of the reactantscontinuously along the diffusion route is lessfeasible and the nuclei are too small to bestabilized under normal PEVD conditions. Onlyalong the three phase boundary line are all thereactants available for further growth to stabilizethe nuclei. Consequently, initial deposition in aPEVD process is restricted to certain areas on asubstrate where all reactants for the sinkelectrochemical reaction are available.

Growth on Electrically ShortedSurfaces

The availability of reacting species for theelectrochemical reaction also controls the crystalgrowth of the PEVD products. The furthergrowth of product nuclei can be divided into twostages. During the first stage, the solidelectrolyte (E) and working electrode (W) are

Fig. 6: Ideal current-applied potential behavior of a PEVD system

Page 121: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 117

still exposed to the sink vapor phase (S). Sincethe ionic conductivity in the solid electrolyte (E)is generally much higher than that in the PEVDproduct, and the electronic conductivity in theworking electrode (W) is much higher than inthe product, two kinds of electrically shortedsurfaces exist as shown in Figure 7b. One is anionically shorted surface (X) along the solidelectrolyte (E) and the other is an electronicallyshorted surface (Y) along the working electrode(W). Although the growth kinetics along thesesurface directions will still be parabolic, no ionicand electronic electrochemical potential gradientwill be expected in the two directions, andcharged species transport is driven by theconcentration gradient of electrons and ions,respectively.13 This is a unique case comparedwith common gas-solid reactions. The secondstage starts when at least one of the electricallyshorted surfaces is covered by PEVD product(D). The growth behavior in both stages is quitedifferent if the PEVD reaction rate-limiting stepis solid-state transport of reactant through PEVDproduct (D).

Along the X direction, i.e., the surface ofthe ionic conducting material, the reaction rate-limiting step is electron transport in the productphase (D). The growth distance, x, can beexpressed as:

x = (VDσ

eΔGt/F2)½ (43)

σe is the average electronic conductivity in the

product phase (D), VD is the molar volume of

the deposit (D), t is time, and ΔG is the Gibbsfree energy change of reaction (4) for productformation. Specifically,

ΔG = μD,III

- μB,III

- μA,II

(44)

Along the Y direction, i.e., the surface ofthe electronic conducting material, the reactionlimiting step is mobile ionic reactant (A+)transport in the product phase (D). Noperceptible open circuit emf will be expectedover the growth distance, and charged speciesare driven by the concentration gradient. Thegrowth distance, y, can be expressed as:

y = (4/π) (VDσ

A+ΔGt/F2)½ (45)

where σA+ is the average ionic conductivity in

the product phase (D).

The ability of PEVD to deposit thin solidstate ionic materials can be expressed by the ratioof the Y to X direction growth length, y/x, whichcan be written as

e

A265.1

x

y

σ

σ≈

+

(46)

This ratio is only related to the ionic andelectronic conductivity of the PEVD product.14

Thus, overgrowth along the electronicconducting surface indicates ionic conductivityis significantly higher than electronicconductivity in the PEVD product phase. Forovergrowth along the ionic conducting surface,electronic conductivity must be significantlyhigher than ionic conductivity in the PEVDproduct phase.

Self-Leveling EffectOnce the working electrode (W) is covered

by the ionic conducting product or the entiresolid electrolyte (E) is covered by the electronicconducting product, no electrically shortedsurface exists. Thus, further growth in thicknesshas to involve diffusion of both the ionic speciesand electrons to the surface to react with the gasphase. Practically, diffusion of one species is muchfaster than the other. However, electroneutralitymust be maintained under this open circuitcondition. The growth rate is determined by eithermigration of electrons or mobile ionic reactants inthe deposit (D). In both cases, the increase inthickness should follow the parabolic law.15

δ = (2kt)½ - (2kts)½ (47)

where δ is the thickness of the product (D) attime t, k is the rate constant of Tammann's ratelaw16 and t

s is the initial growth time along the x

direction. This corresponds to the differentialequation

∂δ∂ δt

k= (48)

and the boundary condition

δ = 0 at t = ts (49)

Because the increase in thickness isexpected to follow parabolic behavior, thethicker the product, the slower the growth rate.

Page 122: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey118

(a)

(b)

Fig. 7: (a) The initial PEVD reaction and product nucleation occurs at the three-phase boundaryof solid electrolyte (E), working electrode (W) and the sink vapor phase (S), (b) PEVDproduct growth on both ionically and electronically shorted surfaces, and (c) The step bystep increase of PEVD product (D) thickness which reduces the aspect ratio at the workingelectrode. From t1 to t4, the deposition time increases.

(c)

Page 123: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 119

Thus, a dense and uniform coverage is expecteddecreasing the aspect ratio of the surface in astep-wise fashion, as indicated by the numbereddotted lines in Figure 7c.

PEVD Applications

Applying PEVD for AuxiliaryPhase Deposition at WorkingElectrodes of Gaseous OxideSensors

PEVD was developed initially in the courseof fabricating type III potentiometric sensors forgaseous oxide (CO

x, SO

x, and NO

x) detection.3

Three kinds of PEVD products (NaNO3,

Na2CO

3, and Na

2SO

4) were deposited as the

auxiliary phases at the working electrode of NO2,

CO2, and SO

2 sensors, respectively. Because of

the underlying similarities, all discussion herewill focus on CO

2 gas sensors. Cases of

depositing NaNO3 and Na

2SO

4 auxiliary phases

for type III NO2 and SO

2 potentiometric sensors,

respectively, can be treated analogously.

Gaseous Oxide Sensors andCorresponding Kinetics

It is well known that gaseous oxides (COx,

SOx, and NO

x) are responsible for global

environmental problems such as acid rain and thegreenhouse effect. To date, these gaseous oxideshave been analyzed mostly with analyticalinstruments based on IR absorption, UV absorptionand chemiluminescence. Although theseinstruments provide precise analytical data, theyare expensive with time-consuming procedures andhence do not fit well with a feedback controlsystem. In order to treat combustion exhausts inchemical processes and to monitor theenvironment, there has been an ever-increasingneed for compact, low-priced solid-state gassensors17,18 that are capable of detecting gaseousoxides in-situ. Various types of sensor prototypeshave been studied.19,20 Among them, solidelectrolyte potentiometric gas sensors haveattracted widespread attention in recent years, notonly for process control due to their high stability,but also for the detection of air pollutants due totheir high sensitivity and selectivity.

Previously, Weppner21 classifiedpotentiometric sensors into three types,depending on the gas electrode reactions at theworking electrodes of the sensors.

Because of the unavailability of the requisitesolid electrolytes to form a concentration cell ofgaseous oxides, it is impossible to fabricate typeI potentiometric sensors for detecting gaseousoxides.

In 1977, Gauthier and Chamberland22 firstdemonstrated the possibility for type IIpotentiometric detection of gaseous oxides usingsolid electrolytes of inorganic radicals, such asK

2CO

3, Ba(NO

3)

2, and K

2SO

4. Since then, much

effort has been devoted to the development oftype II potentiometric sensors.23-25 For instance,the simple cell (50) was employed to measurethe equilibrium partial pressure of CO

2 in a

gaseous mixture.26,27 The galvanic cellarrangement is

(ref.) Pt, CO2',O

2'|K

2CO

3|CO

2,O

2,Pt (working) (50)

It is an indirect CO3

2- concentration cell withthe overall cell reaction

CO2' + ½O

2' ⇔ CO

2 + ½O

2 (51)

The theoretical electromotive force (EMF)of the sensor is given by a Nernst equation:

21

22

21

22

'O'CO

OCO

PP

PPln

F2

RTEMF = (52)

where PCO2

and PO2

are the partial pressures ofCO

2 and O

2, respectively. If the oxygen partial

pressure is the same at both electrodes, then theEMF of the cell can be simplified to

'CO

CO

2

2

P

Pln

F2

RTEMF = (53)

The partial pressure of CO2 at the working

electrode can be directly compared with thepartial pressure of CO

2 at the reference electrode.

Although employing inorganic radicals insolid electrolytes opens the possibility to detectgaseous oxides by a potentiometric method, itis a chemical choice rather than a material choicefor solid electrolytes. Since salts involvinginorganic radicals are not usually good solidelectrolytes due to limited chemical stability,

Page 124: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey120

poor sinterability, phase transitions and low ionicconductivity, sensors made from those cells areunsuitable in practical applications.28-30

Instead of a chemical approach to solidelectrolyte selection in type II potentiometricsensors, type III potentiometric sensors forgaseous oxide detection have been consideredfrom a material approach by first selecting goodsolid electrolytes.31 According to classicelectrochemical theory, an indirect (exchange)electrode can be used in cells for thedetermination of thermodynamic data forreactions involving an element for which a solidelectrolyte is not available. The indirectelectrode then serves as an auxiliary phase wherethe activity of the component of interest can berelated to the activity of another component in asolid electrolyte. Of particular importance is theapplicability of this electrochemical techniqueto those gaseous species for which a solidelectrolyte is not available. As a result, a largeselection of solid electrolytes can be used forgaseous oxide sensors, especially several fastsodium ion conductors, such as β-alumina32,33

and Nasicon (Na3Zr

2Si

2PO

12).34-37 For instance,

a type III CO2 potentiometric gas sensor, which

obeys the following cell expression, has beenwidely recognized as the best potentiometricsensor prototype for CO

2 gas detection:21,31,37,38

−β−⎟⎟⎠⎞

⎜⎜⎝⎛ +

electrode

reference

3222 "NaCONaPt,'O,'CO

⎟⎟⎠⎞

⎜⎜⎝⎛−

electrode

working

2232 ,,alumina OCOPtCONa (54)

The sensing mechanism was studied indetail by Hotzel and Weppner39 from athermodynamic point of view. Under opencircuit conditions, the equilibrium half cellelectrochemical reaction at the referenceelectrode is

32221

2 CONae2'O'CONa2 ⇔+++ −+ (55)

and the equilibrium half cell electrochemicalreaction at the working electrode is

−+ +++⇔ e2OCONa2CONa 221

232 (56)

The overall electrochemical reaction is

221

2221

2 OCO'O'CO +⇔+ (57)

which is the same as for a type II potentiometricsensor. The EMF expression is also identical toa type II potentiometric sensor. However, animportant feature of this kind of sensor is theNa

2CO

3 auxiliary phase. It provides a unique

relationship between the partial pressure of thegaseous components (CO

2 and O

2) and the

chemical potential of the mobile sodium ions inthe solid electrolyte Na+-β"-alumina. Thus, theauxiliary phases are of vital importance fortype III potentiometric sensors. Generally, anauxiliary phase should be a fast mixed ionic andelectronic conductor, be located at the area ofchange from ionic to electronic conductivity inthe galvanic cell, and be involved in the cellreaction. The results obtained to date with typeIII potentiometric sensors have shown them tobe successful and promising for detectinggaseous oxides. Recently, this topic wasreviewed by Yamazoe and Miura.38-40

Although the basic principles of type IIIpotentiometric sensors are applicable for gaseousoxide detection, this should not obscure the factthat these sensors still require furtherdevelopment. This is especially true in view ofthe kinetics of equilibria and charged speciestransport across the solid electrolyte/electrodeinterfaces where auxiliary phases exist. Real lifesituations have shown that, in practice, gassensors rarely work under ideal equilibriumconditions. The transient response of a sensor,after a change in the measured gas partialpressure, is in essence a non-equilibrium processat the working electrode. Consequently,although this kind of sensor has been studiedfor almost 20 years, practical problems still existand prevent its commercialization. Theseproblems include slow response, lack ofsensitivity at low concentrations, and lack oflong-term stability.41-43 It has been reported41 thatthe auxiliary phases were the main cause forsensor drift, and that preparation techniques forelectrodes with auxiliary phases were veryimportant to sensor performance.43

Based on a newly developed sensorelectrode reaction model,44 the gas electrodereactions for type III potentiometric CO

2 sensors

Page 125: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 121

in cell (54) are essentially charge transferreactions between the Na

2CO

3 auxiliary phase

and the gaseous species. These reactionscertainly depend on the gas phase and theauxiliary phase, as well as the interface betweenthem.45

This sensing model also implies that thegeometric properties, instead of solely thephysical properties of the auxiliary phase at theworking electrode, are critical to kineticperformance. Accordingly, the four mostimportant geometric criteria for an auxiliaryphase are as follows:1. The aspect ratio of the auxiliary phase at

the working electrode should be as low aspossible to improve gas phase diffusion.

2. The auxiliary phase should intimatelycontact the metallic electrode, and theinterface should be as large as possible sincetransport of electrons is two-dimensionalacross the interface.

3. The auxiliary phase should be as thin aspossible to allow readjustment of the Fermilevel within the auxiliary phase.

4. The auxiliary phase should intimatelycontact the solid electrolyte to ensuretransport of mobile ionic species. This willbenefit the performance of sensors undercertain conditions.Besides the geometric requirements

suggested by the above-mentioned gas-sensingmodel, two more criteria can be deduced fromother considerations. First of all, as a generalrequirement of applying an indirect electrode,14

the auxiliary phase should separate the reactantsin the gas phase from the electrolyte. Otherwise,displacement reactions can damage the contactbetween the solid electrolyte and auxiliary phaselayer and affect stability. These reactions canalso generate a mixed sensor EMF responseleading to selectivity and sensitivity problems.Thus:5. The auxiliary phase should cover the entire

solid electrolyte surface.Secondly, from Wagner's theory, the

metallic coatings forming the electrodes areviewed as mere electronic probes for the localelectronic distribution in the auxiliary phase.They should be chemically inert. For operationin the lean regime, it is highly desirable that their

chemical catalytic activities be as low aspossible.46 Hence:6. The auxiliary phase should cover the entire

metallic electrode. This also providesmaximum interface contact as required incriterion (2).Previously, several methods47-49 have been

reported for applying auxiliary phases to theporous metallic working electrodes of gaseousoxide sensors. Figure 8 compares the geometricstructure of the ideal auxiliary phasearrangement (Figure 8a) according to the abovesix criteria to various reported techniques suchas in-situ formation (Figure 8b), mechanicallypressed discs (Figure 8c), physical vapordeposition (Figure 8d), and melting andquenching (Figure 8e). It is clear that none ofthese previously reported techniques meet all thegeometric requirements for an ideal auxiliaryphase. This will lead to sensor sensitivity,selectivity, stability and response time problems.

In what is the essence of materialsengineering, structure and preparation willundoubtedly be of vital important to finalproperties. In order to deposit better auxiliaryphases with close control to meet all sixgeometric criteria, PEVD has recently beenapplied to deposit auxiliary phases at theworking electrodes of gaseous oxide sensors.44

Polarized Electrochemical VaporDeposition to Deposit Auxiliary Phasesat the Working Electrode of Type IIIPotentiometric CO2 Sensors

PEVD Process DesignIn the case of depositing a Na

2CO

3 auxiliary

phase at the working electrode of a CO2

potentiometric sensor, the substrate or solidelectrochemical cell for this PEVD process iswell defined. Although other solid electrolyteand metallic electrode combinations werereported in previous investigations, the use ofNa+-β"-alumina as the solid electrolyte and aporous Pt thick film as the metallic workingelectrode is the most common choice for typeIII potentiometric CO

2 sensors. A cross-section

view of the substrate is schematically shown inFigure 9. The Pt thick films are highly porous(larger than 50 vol.% pore phase) and irregularly

Page 126: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey122

shaped, and they have very high aspect ratios(above 3). Depositing a compound thin film ofNa

2CO

3 on such a substrate to meet all the above-

mentioned geometric criteria by conventionaldeposition techniques is almost impossible.

Sodium is selected as the solid statetransported reactant in PEVD. This is because notonly is Na+ a component in the PEVD productphase Na

2CO

3, but also the mobile ionic species in

the solid electrolyte (Na+-β''-alumina) and in theauxiliary phase of the sensor. Thus, PEVD can takeadvantage of the solid electrochemical cell(substrate) of the sensor to transport one reactant(sodium) across the substrate under anelectrochemical potential gradient. This gradient

is mainly provided by a dc electric potentialunder closed circuit conditions. The vapor (orgas) phase at the sink side of the PEVD systemshould be made up of the other components ofthe PEVD product Na

2CO

3, i.e., carbon and

oxygen. This can be realized by using CO2 and

O2 as the vapor phase reactants at the sink side

of the PEVD system. Thus, the electrochemicalreaction for PEVD Na

2CO

3 product formation

will involve the solid state transport of sodiumions through the solid electrolyte to obtainelectrons from the metallic electrode and reactwith CO

2 and O

2 from the sink side vapor phase.

The cathodic half-cell electrochemical reactionat the sink side can be expressed as

Fig. 8 Comparion of geometric structure of an ideal auxiliary phase (a) with those prepared bycurrent techniques, such as in-situ formation (b), mechanically pressed discs (c), physicalvapor deposition (d), and melting and quenching (e).

Page 127: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 123

32221

2 CONaOCOe2Na2 →+++ −+ (58)

In order to sustain this reaction at the sinkside of the PEVD system, a source is required atthe other side of the substrate (anode) to supplysodium. Otherwise, depletion of sodium in theNa+ -β''-alumina solid electrolyte will lead to anα-alumina phase buildup at the anode that willblock the ionic transport path of the PEVDsystem. The electrolytic properties of the solidelectrolyte in this PEVD system will then be lost.Elemental sodium, for instance, could be thesource giving the following anodic reaction:

−+ +→ eNaNa (59)

This kind of source has the advantages ofthe fixed chemical potential of sodium, goodcontact between liquid sodium and the solidelectrolyte, and no additional electronicconducting electrode is needed as the counterelectrode (C) to connect with the external electriccircuit. In practice, elemental sodium is tooactive, and a very tight seal is required to preventsodium vapor from migrating and reactingchemically with CO

2 and O

2 in the sink vapor

phase. Consequently, the system setup becomesmore complex. The choice of the source in thecurrent study is a combination of Na

2CO

3, CO

2

and O2 gas phase, and an inert Pt counter

electrode. The anodic reaction will be adecomposition reaction of the Na

2CO

3 solid

phase,

221

232 OCOe2Na2CONa +++→ −+ (60)

This anodic reaction provides sodium ionsand electrons to the solid electrolyte and the inertPt counter electrode, respectively, at the sourceside. Both the sodium ions and electrons willthen travel through the solid electrochemical cellalong previously-mentioned ionic and electronicpaths to sustain the PEVD cathodic reaction forNa

2CO

3 product formation at the sink side.

Furthermore, based on anodic reaction 60, thechemical potential of sodium is fixed by thevapor phase at the source side. Under opencircuit conditions, this type of source can alsoserve as the reference electrode for a CO

2

potentiometric sensor.The PEVD system for Na

2CO

3 auxiliary

phase formation at the working electrode of atype III potentiometric CO

2 sensor is

schematically shown in Figure 10. Theelectrochemical cell for this PEVD process canbe illustrated as:

( ) "Na|Pt,CONa,'CO,'Osource 3222 −+ β

( )sinkO,CO,CONaPt,|OAl 223232− (61)

Fig. 9: Schematic cross-section of a Pt thick film electrode on a Na+-β-alumina solid electrolyteof a type III potentiometric CO

2 sensor.

Page 128: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey124

The overall cell reaction is:

( ) ( )sinkCONasourceCONa 3232 → (62)

This overall electrochemical cell reactionis equivalent to transporting the Na

2CO

3 phase

physically from one side of the substrate to theother.

During the PEVD process, a chemical redoxreaction takes place and the whole PEVD systemcan be viewed as a chemical reactor where thereactants are distributed over both the source andsink sides. According to the previous discussion,the driving force for this PEVD process can besolely provided by a dc electric potential, so thatisolation of the source and sink vapor phases isnot necessary. Consequently, the PEVD processis equivalent to physically moving a solid phaseNa

2CO

3 through another solid phase (Na+-β''-

alumina) by electric energy. Furthermore, itshould be pointed out that the overall cellreaction in this PEVD system is reversible.

NaNO3 and Na

2SO

4 auxiliary phases can be

deposited by a similar PEVD method for typeIII NO

2 and SO

2 potentiometric sensors,

respectively.

ExperimentalA commercially available fully dense

Na+-β''-alumina disc was used as the solidelectrolyte. The working electrode (sink) of thesystem is a screen-printed platinum thick filmof 7 μm in thickness. The porosity of the Pt thickfilm is in the range of 65 to 75%, and the averagepore size is about 2 μm and ranges from 0.5-5μm according to microstructure studies. Asource Na

2CO

3 disc was made by pressing

Na2CO

3 powder. The green body was then co-

sintered onto a Pt mesh with a spot-welded Ptlead.

The PEVD sample was placed into a lab-made PEVD apparatus, which is schematically

Fig. 10: PEVD process design for applying an auxiliary phase (Na2CO

3) at the working electrode

of a type III potentiometric CO2 gas sensor.

Page 129: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 125

shown in Figure 11. The apparatus has threebasic functions, i.e., to set the processingtemperature, to control the gas phases at boththe source and the sink of the PEVD system,and to control and monitor the PEVD processthrough an external electric circuit.

Operating temperature affects the ionicconductivity in both the solid electrolyte and thePEVD product phase Na

2CO

3. The higher the

operating temperature, the higher the ionicconductivity and, consequently, the PEVDcurrent and reaction rate. Temperature alsoincreases the electronic conductivity inside bothsolid phases. Although a higher electronicconductivity will increase the sodium solid-statetransport rate inside the product Na

2CO

3 phase,

it also increases the leakage current through thesolid electrolyte. 500°C was selected for thecurrent experiment. The samples were placedin a uniform temperature zone of a tube furnace.

In order to eliminate the driving forcegenerated by a chemical potential differencebetween the sink and source side, the same vaporphase was used at both sides. The vapor phaseconsisted of air at atmospheric pressure. It was

passed through a set of drying units beforeentering the PEVD apparatus. The flow rate ofboth inlet gases was in the range of 100-400sccm.

An external electric circuit as shown inFigure 11 was connected to a PEVD samplethrough the Pt leads from both the source andsink sides. The driving force for the PEVD wassupplied by a stable dc electric source. The sinkand source side were set as the cathode andanode, respectively. A PEVD process startedwhen gate A (Figure 11) was closed. Bothpotentiostatic (constant dc potential) andgalvanostatic (constant PEVD current) methodscould be applied in PEVD processes. Anelectrometer was used to measure the closedcircuit applied potential during the PEVDprocess. An ammeter was used to monitor thecurrent and, subsequently, the PEVD reactionrate according to Faraday's law.

In a potentiometric PEVD process, a dcpotential of 2 V was applied. The resultingcurrent, monitored over 50 hr, ranged from 52to 205 μA. The current was relatively stable forthe first 93 min, varying from 187 to 205 μA.

Fig. 11: PEVD apparatus for Na2CO3 formation at the working electrode.

Page 130: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey126

Then, it dramatically dropped to 56 μA within12 min. After that, the current was againrelatively stable for the remaining time.

The phases, microstructure and chemicalcomposition at the sink side of the PEVD systemwere studied before and after the PEVD processby x-ray diffraction (XRD), scanning electronmicroscopy (SEM) and energy dispersive x-ray(EDX) spectroscopy, respectively.

ResultsFigures 12a and b show SEM secondary

electron (SE) plan-view micrographs comparingthe sink side of a PEVD sample before and aftera PEVD process, respectively.

Figure 13 is an SEM SE plan-view imageof another PEVD sample, where the Pt thick filmcoverage at the sink side of the sample is notentirely continuous. After PEVD, the product(Na

2CO

3) only formed in area (A), where the Pt

thick film of the working electrode is continuousand connected to the external circuit. No PEVDproduct formed in area (B), where the Pt thickfilm is discontinuous appearing as individualislands (white spots in the figure) on theNa+-β''-alumina substrate.

Figure 14 is an SEM SE image of the sinkside of a cleaved cross section sample afterPEVD. It indicates that Na

2CO

3 can reach the

bottom of deep holes of the very irregularlyshaped, porous and high aspect ratio Pt thickfilm substrate. The Na

2CO

3 coverage on the Pt

thick film is uniform and fully dense. Since thesample was prepared by cleavage, the ductile Ptthick film structure is well preserved. Althoughthe image gives a good three-dimensionalindication of how the PEVD product depositedon the sink side of the PEVD system, the Na

2CO

3

coverage close to the cleavage plane wasdamaged because of the brittle nature of solidNa

2CO

3.

In order to reveal Na2CO

3 coverage more

precisely, polished cross-section samples werealso examined in this study. A cross-sectionSEM SE image in Figure 15, as well ascorresponding x-ray maps of the same area inFigure 16, reveal the geometric structure of theproduct at the sink side of the PEVD system.The high dot density regions in the sodiumelement x-ray map correspond to PEVDNa

2CO

3. The high dot density areas in the

aluminum and platinum element x-ray mapsshow the microstructures of the Na+-β''-alumina

5 μm 5 μm

Fig. 12: SEM SE plan-view images of the working electrode (a) before and (b) after a PEVDprocess.

Page 131: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 127

10 μm

Fig. 13: Selective deposition: (A) continuous Pt thick film area; (B) discontinuous Pt thick filmarea.

A

B

C

Fig. 14: Cross section SE image from a cleaved sample. Area (A) is the Na+-β''-alumina solidelectrolyte; area (B) is the Pt thick film electrode; and area (C) is the PEVD productNa

2CO

3.

B

A

Page 132: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey128

and the Pt coating at the cathode, respectively.It appears that the PEVD product not onlyintimately contacts the Na+-β''-alumina and thePt porous thick film, but also uniformly coversthe Pt porous thick film. This is clearly revealedby a notch directly above a hole in the Pt thickfilm. The notch is indicated by an arrow inFigure 15. More careful examination of theSEM picture in Figure 15 shows that a boundaryexists in the middle of the channel. It should bepointed out that the Pt area was not wellpreserved because of the ductile nature of the Ptthick film. Thus, small pores in the Pt thick filmwere destroyed during sample preparation. Onthe other hand, the PEVD product phase waswell preserved.

DiscussionThe mass and charge transport in the current

PEVD process can be described as follows.Under a negative dc applied potential,

reaction (60) goes to the right resulting inNa

2CO

3 decomposition at the source side. Both

sodium ions and electrons are given up by thesource Na

2CO

3 disc at the anode of the

electrochemical cell. Sodium ions travel throughthe solid electrolyte (Na+-β''-alumina) to the sinkreaction sites at the cathode. Electrons areconducted through the external electric circuit

and the porous Pt thick film to the sink reactionsites. The sink side gas components, CO

2 and

O2, move through the pores in the porous Pt thick

film to the same sink reaction sites. The PEVDreaction (58) proceeds to the right at the cathode.As a result, Na

2CO

3 is formed.

The potential profiles in this PEVD systemare illustrated in Figure 17. Although there is nodriving force due to a difference in the chemicalpotential of sodium in the current PEVD system,the applied dc potential provides thethermodynamic driving force for the overall cellreaction (62). Consequently, electrical energy istransferred in this particular PEVD system tomove Na

2CO

3 from the anode to the cathode of

the solid electrochemical cell by two half-cellelectrochemical reactions. In short, this PEVDprocess can be used to deposit Na

2CO

3 at the

working electrode of a potentiometric CO2

sensor.Selective deposition in PEVD is clearly

indicated in Figure 13. As discussed previously,this is because of the unique feature of theelectrochemical reaction for deposition. Asschematically shown in Figure 18, the only areato meet the requirement for nucleation is thethree phase boundary (point O) of Na+-β''-alumina, Pt and gaseous phase, where Na+, e–

and gaseous phase reactants (CO2 + O

2) are all

a

Na+-β/β”- alumina

Pt

Na2CO3

5 μm

b c

Fig. 15: Cross section picture from a polished sample; the average thickness of the Na2CO

3 layer

is about 2.5 μm according to the measurements along arrows a, b and c.

Page 133: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 129

Al

SE

Na

Pt

Fig. 16: X-ray maps of the same area as shown in Figure 15.

Fig. 17: Potential profiles in the PEVD system.

Page 134: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey130

available. Thus, any PEVD product Na2CO

3

formed at the sink must be in intimate contactwith both the solid electrolyte and electrode inthe PEVD system to have both the ionic andelectronic paths connected, respectively. In otherwords, the Na

2CO

3 auxiliary phase formed by

PEVD must contact both the solid electrolyteand the Pt working electrode of a potentiometricCO

2 sensor. This feature makes the PEVD

auxiliary phase fit geometric criteria (2) and (4).Furthermore, the selective deposition in PEVDindicates that the reaction for product depositionis surface dependent. In order to obtain uniformdeposit coverage on the working electrode, theporous metallic electrode must be uniform andcontinuous.

After nucleation, PEVD product crystalscan grow along two directions, X and Y, frompoint O. (In order to simplify the discussion, twodimensions instead of three will be used todiscuss PEVD product phase growth at acathode).

The X direction is along the surface of thesolid electrolyte Na+-β''-alumina, where Na+ and

the gaseous phase have easy access, but e– haveto diffuse through the PEVD product phaseNa

2CO

3 to the reaction zone front.

The Y direction is along the surface of thePt metallic electrode, where e- and gaseous phasehave easy access, but Na+ has to diffuse throughthe PEVD product phase Na

2CO

3 to the reaction

zone front.If the cathodic reaction along the X

direction is limited by electron migrationthrough the product Na

2CO

3, the growth distance

x at time t along the ionically shorted surfacewould be expressed by

( ) 21

32

2eCONa F/GtVx Δσ= (63)

where σe is the average electronic conductivity

of the Na2CO

3 phase, ΔG is the Gibbs free energy

of reaction (58), and VNa2CO3

is the molar volumeof Na

2CO

3.

If the cathode reaction in direction Y islimited by sodium ion migration through theproduct Na

2CO

3, the growth distance y at time t

along the electronically shorted surface wouldbe expressed by

Fig. 18: Nucleation and growth of Na2CO3 at the working electrode of a type III potentiometricsensor.

Page 135: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 131

( )( ) 212

NaNaNO FGtV4y3

Δσπ= + (64)

The ratio of y to x is related to theconductivities of the sodium ions and electronsin the Na

2CO

3 phase.13

ee

Na

t

265.1265.1

x

y ≈σ

σ≈

+

(65)

Since Na2CO

3 is a predominantly ionic

conductor with a much higher ionic conductivitythan electronic conductivity, the PEVD productNa

2CO

3 prefers to grow a thin layer along the Y

direction, and wrap the entire Pt workingelectrode surface first. After the whole workingelectrode is covered, the Na

2CO

3 phase can only

grow along the X direction to increase thethickness of the Na

2CO

3 auxiliary phase. The

coverage should be uniform because of a self-leveling effect during the solid-state transportof sodium across the PEVD product for furthergrowth. This is clearly indicated by both cross-section images in Figures 14 and 15.

Inside a pore of a Pt thick film, the thicknessof the PEVD product will increase andeventually fill the pore as schematicallyillustrated by Figure 18, where the dotted linesindicate several consecutive PEVD processingsteps. Accordingly, Na

2CO

3 grains from both

side walls of a pore should meet at the middleof the pore, and leave a grain boundary in themiddle of a pore and a notch on the top of apore. Microstructural evidence of this growthmodel was captured in Figure 15, where a grainboundary exists in the middle of a pore and anotch exists on top of the pore (indicated by anarrow). A uniform increase in thickness willeventually reduce the high aspect ratio of theporous working electrode surface asschematically shown in Figure 18.

The current in a PEVD process reveals thekinetics of the PEVD cathode reactions which,in turn, indicates the PEVD product growthbehavior. Since the electronic transferencenumber in Na+-β’’-alumina is less than 10-6 andcan be ignored under the current experimentalconditions, it is reasonable to assume that theonly current passing through the internal circuitof the PEVD system is the sodium ionic current.

On the other hand, ionic current cannot flow inthe external electrical circuit. All the Na+ ioniccurrent must be drained away by the gaselectrode reactions, and the reaction rate, ν(t),can be expressed as

( )dt

dn

V2

1t Na

CONa 32

+=υ (66)

where nNa+

is the number of mols of sodium ionsat the cathode. Also, from Faraday's law,

dt

Fdnz

dt

dQI NaNa ++== (67)

Combining Eqns. (66) and (67) yields

( )FzV2

It

NaCONa 32+

=υ (68)

Thus, the cathode reaction rate isproportional to the ionic current. Kineticinformation about the gas electrode reactions canthen be obtained by monitoring the currentduring a PEVD process.

For instance, the PEVD current-processingtime behavior offers an easy way to control thethickness of the product layer. According to themechanism for PEVD product growth, thereaction rate will decrease dramatically after thePEVD product covers the entire Pt surface. Thiscorresponds to a sudden drop in the current. Inorder to deposit the thinnest possible film, thisdramatic current decrease denotes the end pointof a PEVD process.

Based on microstructure studies, thegeometric structure of an auxiliary phasedeposited by the PEVD technique is very similarto the previously discussed ideal auxiliary phaseillustrated in Figure 8a. Table 1 compares thegeometric properties of PEVD auxiliary phaseswith other reported techniques using the sixproposed criteria.

The advantages of applying the PEVDprocess to deposit auxiliary phases are not onlybased on the mechanism of PEVD productcrystal growth, but also arise from close controlover the entire process achieved by adjusting theapplied dc electric potential and monitoringcurrent. Thus, the superiority of PEVD isobvious since the Na

2CO

3 auxiliary phase can

be deposited in a well-controlled manner at the

Page 136: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey132

working electrode to meet all six geometriccriteria. Furthermore, the success in realizingthe geometric requirement of Na

2CO

3 auxiliary

phases for potentiometric CO2 sensors in our lab

encouraged us to further apply PEVD to depositthe auxiliary phases NaNO

3 and Na

2SO

4 for NO

2

and SO2 potentiometric sensors, respectively.

The results are similar to those reported in thischapter. Thus, PEVD is the best technique toform auxiliary phases for gaseous oxide sensors.

Improvement of the geometric structuresof the auxiliary phases using the PEVDtechnique will benefit the performance ofgaseous oxide sensors in many ways, e.g.,increasing selectivity and stability, shorteningresponse time, and decreasing the influence ofgas flow rate.50

Sensor Response Behavior TestingImprovement of the geometric structure of

the working electrode by a well-controlledPEVD process benefits the performance of a CO

2

sensor in many ways. To optimize kineticbehavior, the response and recovery times of CO

2

potentiometric sensors were studied at variousauxiliary phase coverages. This was realized bya unique experimental arrangement to depositthe Na

2CO

3 auxiliary phase in-situ at the working

electrode of type III potentiometric CO2 sensors

by PEVD in a step-wise fashion. Since thecurrent and flux of solid-state transportedmaterial in a series of PEVD processes can beeasily monitored to control the amount of deposit

formed after each step of PEVD, the responsebehavior of the sensors after each PEVDauxiliary phase step increase can becharacterized.

ExperimentalAs illustrated in Figure 19, the experiments

in this study included three aspects:a. Na

2CO

3 auxiliary phase deposition at the

working electrodes of type IIIpotentiometric CO

2 sensors by PEVD,

b. Sensor response behavior testing, andc. Microanalysis of the working electrode of

the sensor.According to previous discussion, the

amount of the Na2CO

3 auxiliary phase deposited

at the working electrode of a CO2 potentiometric

sensor can be recorded precisely during well-controlled PEVD processes. In this study, theamount of auxiliary phase at the workingelectrode of the sensors was increased in a step-wise fashion. After each step of the auxiliaryphase deposition process, the sensor's responsebehavior was studied under open circuitconditions. Before moving forward to the nextPEVD processing step, microstructural analysisof the covered working electrodes wasperformed with the SEM. Finally, results from14 steps revealed the relationship between theresponse behavior of the sensor and thecorresponding geometric structure of theauxiliary phases at the working electrodes oftype III potentiometric CO

2 sensors.

Geometric Criteria PEVD Melting -Quenching In-Situ Disc

Contact to Electrode Good Good Good Poor

Contact to Electrolyte Good Poor Good Poor

Thickness Control Good Poor Poor Poor

Aspect Ratio Low Low High High

Coverage on Electrode Good Fair Poor Poor

Coverage on Electrolyte Good Poor Poor Poor

Table 1. Geometric Properties of Auxiliary Phases Deposited by VariousTechniques

Page 137: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 133

The sample system used in this investigationis similar to the one described previously. Itconsists of a solid electrochemical cell whichhas two functions, i.e., a closed circuitelectrolytic cell (61) for the PEVD process andan open circuit galvanic cell (54) for sensortesting. Thus, the sink and source side of a PEVDsystem also act as the working and referenceelectrodes of a sensor. Figure 20a schematicallyshows the sample system in a gas-tight sampleholder. Gas-tight seals were required to separatethe reference electrode from the workingelectrode of the sensors in this investigation.Therefore, two Pt or Au rings were inserted atthe source and sink side of the sample holder toensure a gas-tight metallic seal between bothsides of the solid electrochemical cell.

The sample holder was then put into a jointPEVD/sensor testing facility, as shownschematically in Figure 20b. The temperatureduring both PEVD processing and sensor testingwas set at 500°C. Atmospheric pressure wasmaintained throughout the experiment in bothchambers. In order to check the consistency ofthe results, the study was repeated on two samplesystems.

During PEVD, a high gas flow rate (>>100sccm) of type 1 gas, dry air with 293 ppm CO

2

(Praxair), was used at both the source and sinksides. In order to control the PEVD reactionrate, a galvanostatic method was used during thePEVD processes. The external electric circuitduring PEVD processing is schematically shownin Figure 20b. A constant dc current of 100 μAwas supplied by a steady dc source. Theresulting potential was monitored by anelectrometer.

After each step of auxiliary phasedeposition, the PEVD process was stopped forsensor response behavior testing by openingGate A (Figure 20b). Under the open circuitcondition, the EMF of the sensor was indicatedby the electrometer. A high flow rate at bothsides remained until the equilibrium EMF valueof the sensor, zero in this case, was reached.

During sensor response testing, the flow ratewas initially reduced on both sides. Then, thegas at the working electrode was changed to agas mixture of type 1 and type 2 (CO

2-free dry

air), mixed at a ratio of 1:9 by a gas mixer. Thus,the virtual CO

2 partial pressure decreased by an

order of magnitude at the working electrode

Fig. 19: PEVD auxiliary phase deposition and sensor testing procedure.

Page 138: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey134

while the oxygen partial pressure remained thesame at both sides. After the equilibrium EMFvalue of the sensor was reached, the gas at theworking electrode was then changed back to type1 gas. The EMF response of the sensors duringCO

2 partial pressure change at the working

electrodes was monitored and recorded by theelectrometer connected to an analog recorder.

In order to reveal the influence of gas flowrate on the response behavior of the sensors, theresponse behavior has also been tested at twogas flow rates (40 or 80 sccm) at the workingelectrode side.

Before moving forward to the next round ofauxiliary phase deposition and subsequent sensorresponse testing, the sample was taken out of the

experimental apparatus for microanalysis tocheck auxiliary phase coverage. At the end ofthe experiment, cross-section samples wereprepared by cleaving the sample to evaluate thethickness of the Na

2CO

3 product phase covering

the working electrode of the sensors.

ResultsTwo identical samples (#1 and 2) were

tested in this investigation. At a constant currentof 100 μA, the resulting dc potential for bothsamples fell in the range of 0.5 to 5 V during theentire PEVD process. Accordingly, the flux ofNa+, in coulombs, through the PEVD system canbe calculated. Consequently, the amount ofproduct formed at the sink side (working

Fig. 20: Schematic of (a) sample holder and (b) a joint PEVD and sensor testing facility.

Page 139: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 135

electrode) could be obtained. The processingtime and flux for both samples are reported inTable 2.

Figures 21a-e show SEM SE plan-viewimages of the first sample at five selected PEVDsteps, indicated by a to e in Table 2. Theauxiliary phase coverage at the workingelectrode of the sensor increased with PEVDprocessing time and PEVD flux from a to e.After 14 steps of auxiliary phase deposition andsensor response testing, the final thickness ofthe product was about 3 μm, which wasestimated from an SEM SE image of a cleavedcross-section sample (Figure 21f).

According to the Nernst equation, thetheoretical equilibrium EMF value of the sensorshould be 76.7 mV at 500°C after the CO

2 partial

pressure decreased by an order of magnitude at

the working electrode. In this experiment, theemf value was slightly lower than the theoreticalcalculated value at about 76 mV.

The general response of the sensor afterchanging the CO

2 partial pressure at the working

electrode is shown in Figure 22, which is theresponse curve obtained after seven steps ofauxiliary phase deposition for the first sample.When the CO

2 partial pressure decreases at the

working electrode, the emf of the cell increasesdramatically at first and then slowly reaches anequilibrium value of 76 mV. The time to reachthe equilibrium value is the recovery time of thesensor. The same is true when the partialpressure increases at the working electrode ofthe sensor, and the time to reach the equilibriumvalue is the response time of the sensor. Inpractice, researchers commonly use the time for

Sample #1 Sample #2

Step

Processing Time (min)

PEVD Flux (C)

Micro-Analysis Processing Time (min)

PEVD Flux (C)

0 0 0 a 0 0

1 78 0.468 b 66 0.396

2 91 0.546 76 0.456

3 127 0.762 106 0.636

4 157 0.942 c 142 0.852

5 193 1.158 180 1.080

6 235 1.410 213 1.278

7 274 1.644 254 1.524

8 310 1.860 d 296 1.776

9 346 2.076 329 1.974

10 383 2.298 365 2.190

11 428 2.568 408 2.448

12 467 2.802 452 2.712

13 512 3.072 485 2.910

14 540 3.240 e, f 530 3.180

Table 2. PEVD Processing Time at Each Step for Both Samples at the Same GasFlow Rate

Page 140: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey136

Fig. 21: SEM SE images of the working electrode: (a) before PEVD, (b) first stable EMF response,(c) when the response time of the sensor just passed the minimum point, (d) when therecovery time of the sensor just passed the minimum point, (e) final auxiliary phasecoverage (plan view), and (f) final auxiliary phase coverage (cross-section). Bars equalto 5 μm.

Page 141: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 137

90% attainment of the theoretical emf valuechange as the response and recovery time.51 Thisconvention will be used in the currentinvestigation.

For sample #1, a stable EMF response fromthe sensor was obtained after passing about 0.468C of Na ions. According to the previousdiscussion, this corresponds to the point wherethe PEVD auxiliary phase just covers the entirePt thick film surface. The response times andrecovery times of the sensor after each PEVDprocess step are recorded. Both response andrecovery times are plotted against the Na ion fluxthrough the solid electrolyte during the PEVDprocess in Figure 23. Curve (1) is the responsetime and curve (2) is the recovery time.

For sample #2, the sensor response was alsotested at various flow rates at the workingelectrode. The response time and recovery timeof the sensor at two flow rates are plotted inFigures 24a and b, respectively. After doublingthe flow rate to 80 sccm, the data in curve (B)show a dramatic decrease (improvement) in

response time for a small auxiliary phasethickness, and the response time does not changevery much after reaching the minimum responsetime. The same is true for the recovery times.

DiscussionThe response and recovery times of both

samples at each PEVD step are compared at aworking electrode flow rate of 40 sccm inFigures 25a and b, respectively. Because of theinability to fabricate a consistent Pt thick film atthe working electrode of both sensors, theresponse and recovery curves do not exactlymatch. However, the curves from both samplesfollow the same trends. Taking into account thegeometric factor for both samples, the resultsfrom this study can be considered to be fairlyconsistent.

The sensor response and recovery behaviorfor various auxiliary phase coverages at theworking electrode of a type III potentiometricsensor are revealed for the first time through acombination of Figure 23 and Figures 21a-e.

Fig. 22: A typical EMF response curve for a type III CO2 potentiometric sensor.

Page 142: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey138

Fig. 23: The response (curve 1) and recovery (curve 2) behavior of the type III potentiometricCO2 sensor (sample #1) with increasing PEVD auxiliary phase at the working electrode.

Furthermore, according to an earlier discussion,the increase in PEVD auxiliary phase thicknessis uniform, and linearly related to the PEVD fluxthroughout the process. With the help of thecross-section SEM image in Figure 21f, thehorizontal scale in Figure 23 can be convertedto the thickness of the auxiliary phase on top ofthe Pt porous thick film at the working electrode.By taking the final thickness as 3 μm when thePEVD flux reaches 3.24 C after step 14, and aninitial thickness of zero when the PEVD flux is0.468 C at step 1, the sensor response behaviorat various auxiliary phase thicknesses is plottedin Figure 26.

Both the response and recovery times firstdrop dramatically with increasing auxiliaryphase thickness, reach a minimum point, andthen increase steadily with increasing auxiliary

phase thickness. The minimum response timeis 35 s when the auxiliary phase thickness isabout 0.3 to 0.5 μm. The minimum recovery timeis 160 s corresponding to an auxiliary phasethickness of about 1.2 to 1.5 μm. Since most ofthe pores in the Pt thick film are under 3 μm insize and are filled with the auxiliary phase atthis time, the aspect ratio of the workingelectrode of the sensor is very low (Figure 21d)when the recovery time of the sensor reaches itsminimum value.

The results indicate that sensor responsebehavior is not only related to the thickness ofthe auxiliary phase, but is also controlled byother working electrode geometric factors - mostlikely the aspect ratio of the working electrodesurface. Because of the high aspect ratio, theresponse and recovery times of the sensor are

Page 143: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 139

limited by surface adsorption, desorption and gasphase diffusion at small PEVD auxiliary phasethicknesses.33 Recovery time, which correspondsto a desorption process at the working electrode,is affected more by the aspect ratio than theresponse time, which corresponds to anadsorption process. Accordingly, in order tomake a potentiometric sensor with a fastresponse, the best geometric structure for theworking electrode is one which has a low aspect

ratio and is covered with as thin a layer ofauxiliary phase as possible. For Pt thick filmmetallic electrodes, this thickness is about 1 to1.5 μm depending on the average pore size andthickness of the Pt electrode itself.

In conclusion, because of PEVD's abilityto control the deposition process and its uniqueproduct phase growth behavior, it is possible toprepare, by means of PEVD, a working electrodewith the best geometric structure. The response

Fig. 24: The response time (a) and recovery time (b) of sample #2 at various gas flow rates(curve (A) at 40 sccm and curve (B) at 80 sccm).

Page 144: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey140

behavior of a potentiometric sensor depends onthe thickness of the auxiliary phase and theaspect ratio of the working electrode surface. Inan optimized condition, a potentiometric CO

2

sensor can be obtained with a response time ofless than 1 min and a recovery time of less than3 min, for a CO

2 partial pressure at the working

electrode varying from 30 to 300 ppm at 500°C.

Fig. 25: Comparison of the response time (a) and recovery time (b) for the two sensors at thesame working electrode flow rate of 40 sccm.

Page 145: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 141

This is about 10 times lower than previouslyreported values under the same sensingconditions.34,41-43

This investigation not only confirms thereaction mechanism proposed, but alsodemonstrates the ability of PEVD to controlauxiliary phase thickness and the aspect ratio ofthe working electrode of a sensor.

Applying PEVD to CompositeAnode Fabrication for SolidOxide Fuel Cells

Solid oxide fuel cells (SOFCs) are solid-state energy conversion devices with thepotential advantages of high efficiency, silentoperation and low emissions. However, the highoperating temperature (1000°C) of SOFCs

places stringent requirements on components,and the practical application of SOFCs is limitedby a number of problems.52-55 Among them, theperformance of the anode is a significant one.Investigations have shown that approximatelyone-third of the total potential loss in a state-of-the-art SOFC is caused by anode polarization.54,55

In addition, the compatibility of anodes withsolid electrolytes and the long-term stability ofanodes in an SOFC operating environment arenot satisfied from a commercialization point ofview.

Investigations in this field have indicatedthat it is imperative to fabricate efficient andcompatible anodes for SOFCs to minimizepolarization loss and concurrently achieve longterm stability. In this section, a critical reviewof previous studies is given and several criteriafor a theoretically ideal anode are summarized.

Fig. 26: Response and recovery times vs. the thickness of the auxiliary phase.

Page 146: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey142

In searching for the best fabrication techniquesfor anodes in our laboratory, PEVD was used tofabricate efficient and compatible compositeanodes in the hope of lowering polarization lossand simultaneously achieving long term stabilityin SOFCs.

SOFC Anodes

Previous Investigations of SOFC AnodesAccording to the principle of SOFCs, at an

SOFC anode, fuel gas is oxidized in theelectrochemically active region, that is, close toa three-phase boundary of an ionic conductingphase, an electronic conducting phase and avapor phase where all reacting species areavailable. The basic requirement of the anodematerials is to possess both high electricconductivity and high anodic reaction rate.53

Since anodes work in a reducing fuel gasenvironment, theoretically pure porous metallicelectrodes can be used. For instance, Ni,54,56,57

Ru55 and Pt58 have been studied as anodematerials. Pure metallic anodes have highelectric conductivity. However, as schematicallyshown in Figure 27, contact between the puremetallic electrode and the solid electrolyte istwo-dimensional in a solid state electrochemicalsystem. Unlike an aqueous electrochemicalsystem, the electrochemically active area islimited to the region close to the three-phaseboundary along this contact surface. Thus, thenumber of electrochemical reaction sites isinsufficient, particularly when SOFCs operateat low temperature and high current density.High overpotential loss is to be expected. Inaddition, because of the thermal expansioncoefficient mismatch between the metallicelectrode and solid electrolyte, induced stressescan be a problem. Since there is no "wetting" ata solid-solid contact, the thermal stresses caneasily create cracks, which deteriorate thecontact further after several heating and coolingcycles. Furthermore, vapor loss, sintering andpoisoning of metallic electrodes over a longperiod of exposure to harsh SOFC operatingconditions also cause serious stability problems.

In order to overcome the problems with puremetallic anodes, ceramic-metal (cermet)composite anode concepts have been

overwhelmingly accepted. Many methods ofproducing composite anodes have beeninvestigated during the past 10 years. Theseinclude slurry coating,54,56,57 wet powderspraying59 and vapor deposition.60-66 Each ofthese methods offers advantages; however, eachpresents its own difficulties such as higher sheetresistance, high costs and performancedegradation.

Among these techniques, a slurry coatedcermet anode provides a compromise because it isan inexpensive method that has been shown to givereproducible results in terms of porosity and layerthickness.67,68 The process consists of coating theelectrolyte substrate with a slurry of mixed metallicand ceramic particles and, after drying, subjectingit to a number of sintering cycles. Themicrostructure of a slurry-coated cermet isschematically shown in Figure 28. The role of thecermet structure is to provide both ionic andelectronic conducting paths through connectedceramic and metallic particles, respectively. Inaddition, there have to be sufficient contact pointsbetween the ceramic and metallic particles. Thus,a slurry-coated cermet anode expands theelectrochemically active area from the region closeto the three-phase boundary on a two-dimensionalsolid electrolyte/anode interface to the entire three-dimensional anode volume. This reducesoverpotential loss and improves the performanceof SOFCs significantly. For instance, Singhal66

reported that a decrease in polarization wasobserved in a power generation test using a slurry-coated Ni/yttria stabilized zirconia (YSZ) cermetanode. However, in order to match the thermalexpansion of the solid electrolyte of an SOFC, themetallic phase content in this kind of cermet anodemust be as low as possible.69 According topercolation theory,56 no continuous metallic phasewill exist to provide an electronic conducting pathwhen the metallic phase content is lower than 30%.Even within this limitation, the mixed ceramic andmetallic particles reduce the electronic conductingpath dramatically, resulting in a high sheetresistance. Consequently, slurry-coated cermetanodes have their limitations; the reduction inoverpotential loss is offset by increasing sheetresistance and thermal compatibility problems withthe solid electrolyte.

Page 147: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 143

Furthermore, slurry-coated cermet anodeshave various long-term stability problems,particularly due to the fine particle size of themetallic component.54,70 It has also been reportedthat the tolerance for impurities in fuels is verylimited.71,72 High levels (100 ppm) of H

2S may

cause significant irreversible performance lossdue to anode poisoning.

The Criteria for a Theoretically IdealSOFC Anode

Although the current performance of SOFCanodes is still far from satisfactory, they aremainly being studied solely in terms of theelectrochemically active area, the electricconductivity, thermal expansion, stability andreactivity with the solid electrolyte. It is

Fig. 27: Two-dimensional schematic of the microstructure of a pure metallic SOFC anode.

Fig. 28: Two-dimensional schematic of the microstructure of a slurry-coated cermet anode.

Page 148: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey144

commonly believed, however, that anodeperformance is not only attributable to thematerials, but also to the interfacial structure.Consequently, previous investigations in thisarea have indicated the importance of a numberof microstructural criteria for the best SOFCanodes. These criteria can be summarized asfollows:1. Overpotential loss is inversely proportional

to the electrochemically active area. Thus,a composite anode with three phases ispreferred, i.e., ceramic (ionic conduction),metallic (electronic conduction) and pore(gas diffusion) phases mixed together toexpand the electrochemically active area toa three-dimensional anode volume.56,62,67,73

2. Ionic path impedance through the ceramicphase and electronic path impedancethrough the metallic phase have been foundto be dominant factors in determining theelectrode characteristics.74 In order toprovide a low sheet resistance anode, it isimperative that the metallic and ceramicphases have maximized continuous pathsthat allow ionic and electronic migrationfrom the electrolyte/anode interfacethroughout the entire anode.

3. Due to thermal expansion incompatibility,a metallic phase will not by itself adherevery well to the solid electrolyte of an

SOFC.69 Thus, it is desirable to have athermal expansion compatible ceramicphase in intimate contact with both the solidelectrolyte and the metallic electrode at theanode/solid electrolyte interface of anSOFC for ‘‘wetting’’ purposes.

4. The metallic phase is vulnerable in SOFCoperating environments. It suffers fromvapor loss, sintering, and poisoning overtime. Consequently, it is recommended thatthe ceramic phase both support and protectthe metallic phase to achieve long-termstability.55,60

According to the above, the ideal anodemicrostructure is shown in Figure 29. However,finding a cost-efficient way to fabricate an SOFCanode with this kind of microstructure assumesreal difficulties. The challenge of improvinganode performance is not only based on havingsuitable materials to serve as anodes, but alsohaving proper fabrication techniques toincorporate the materials into practical SOFCstack configurations. A good example is thedevelopment of electrochemical vapordeposition (EVD).6,64,65 This technique has madeit possible to fabricate fully dense thin ionicconducting films on a porous substrate as thesolid electrolytes of SOFCs and, consequently,to minimize the internal resistance loss of thesolid electrolytes.75-77

Fig. 29: Two dimensional schematic of the microstructure of the theoretically ideal compositeanode.

Page 149: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 145

In searching for the best fabricationtechniques for anodes, PEVD was applied tofabricate efficient and compatible compositeanodes to lower polarization loss andsimultaneously achieve long term stability inSOFCs.

PEVD Composite Anode DesignAccording to previous applications to solid

state potentiometric sensors, PEVD seems to bea possible technique to deposit in-situ a layer ofan oxygen ion conducting phase on a metallicanode of an SOFC. Thus, a composite anodewith both a PEVD product and a metallic anodecould be realized to overcome theaforementioned anodic limitations.

According to the principles of PEVDprocess design, consideration of PEVDprocessing starts with the PEVD product. Yttriadoped zirconia was chosen to be the product inthe current investigation due to its high stabilityand high oxygen ionic conductivity in the anodeenvironment. The details of the PEVD processdesign for anodic PEVD reactions to form yttria-doped zirconia at a Pt thick film metallic anode ofan SOFC are schematically shown in Figure 30.

The PEVD process takes advantage of thesolid electrochemical cell of an SOFC. Oxygenis chosen to be the solid state transportedreactant. At the source side (the cathode of theSOFC), oxygen in the source gas phase isreduced to oxygen anions (O2-) through acathodic reaction

O2 + 4e– → 2O2- (69)

to supply the solid state transported reactantduring the PEVD process.

Oxygen anions travel from the source sidethrough the solid electrolyte to the sink side(anode) under the combination of the influenceof an applied dc electric field and an oxygenchemical potential gradient. At the sink side (theanode of the SOFC), the oxygen anions reactelectrochemically with both zirconium andyttrium reactants from the sink vapor phase toform the desired product, yttria doped zirconia,and release electrons to the metallic anode.Electrons travel through the external electricalcircuit back to the source side for further cathodicreaction.

ZrCl4 and YCl

3 were chosen as sink vapor

reactants due to their relatively high vaporpressures. As with conventional CVD, the Y

Fig. 30: PEVD process for fabricating a composite SOFC anode.

Page 150: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey146

doping level or concentration of Y2O

3 in the

PEVD product (Y2O

3-ZrO

2) can be controlled

by the partial pressures of YCl3 and ZrCl

4 in the

sink vapor phase.The main anode reaction for the PEVD

process is:

ZrCl4 + 2O2- - 4e– → ZrO

2 + 2Cl

2 (70)

The anode doping reaction is:

2YCl3 + 3O2- - 6e– → Y

2O

3 + 3Cl

2 (71)

Since the chlorine released from both anodicreactions is in vapor form, it can be driven awayeasily through the sink vapor phase. The overallreaction for ZrO

2 formation in this PEVD

process is:

ZrCl4 + O

2 → ZrO

2 + 2Cl

2 (72)

and the overall doping reaction for Y2O

3

formation is:

4YCl3 + 3O

2 → 2Y

2O

3 + 6Cl

2 (73)

The PEVD process is closely related toelectrochemical vapor deposition (EVD), whichwas developed to form gas-tight YSZ thin filmsas solid electrolytes at Westinghouse.5,78,79

Recently, both PEVD and EVD techniques andtheir applications were reviewed by Tang et al.7

It was shown that both processes havefundamental similarities in terms of mass andcharge transport through the products requiredfor further deposition. The differences betweenEVD and PEVD for depositing yttria-dopedzirconia is that a solid electrochemical cell isused in PEVD to set the driving force for oxygenion transport inside the solid electrolyte and thedeposition product.

Utilization of solid electrochemical cells isone of the most significant advantages of thePEVD technique, since deposition processcontrol and monitoring are easy to realize.

ExperimentalThe PEVD sample utilized in this

investigation is a solid electrochemical cell witha ytterbia and yttria stabilized zirconia pellet(8%Yb

2O

3-6%Y

2O

3-ZrO

2) as the solid

electrolyte to conduct oxygen anions from thesource to the sink side. A commercially availablePt thick film paste was screen printed on thecenter of both surfaces of the solid electrolytedisk. Two Pt meshes, with spot welded Pt leads,

were then pressed on the top of the porous Ptthick films, and co-sintered to ensure goodelectrical contact. The sample was then mountedon the end of a small fully dense alumina tubeand secured with high-temperature cement.

Figure 31 shows a schematic diagram of alab-made PEVD reactor for depositing yttria-doped zirconia. The sample tube was placed atthe center of a large fully dense alumina reactortube in an electric furnace. Thus, the sample tubeseparated the reactor tube into two chambers;the air chamber inside the sample tube and thechloride chamber between the sample andreactor tubes. Both chambers were evacuatedseparately.

Both sample electric leads were connectedto a dc power supply as shown in Figure 31.The external electric circuit can be operatedeither under closed circuit or open circuitconditions via Gate A. The open circuit emf andthe closed circuit applied dc potential weremonitored by a digital electrometer. The closedcircuit current was measured by an ammeter. Thesublimation tubes for both chloride sources weremade of quartz glass as shown in Figure 31. Theworking end of the tube was ‘‘T’’ shaped to storethe chloride powders, and K type thermocoupleswere embedded in the tubes close to the powders.The positions of the sublimation tubes wereadjusted manually during the deposition processto ensure that both ZrCl

4 (>99.9%) and YCl

3

(>99%) were heated at the proper sublimationtemperatures for the desired vapor pressures.Each vaporized chloride was supplied to thereaction zone using Ar as a carrier gas, whichpassed through a series of driers and oxygenremoval units before entering the chloridechamber. At the source side, air was bled intothe sample tube.

In this PEVD process, the central reactionzone was maintained at 1000°C. The partialpressure ratio of ZrCl

4 vs. YCl

3 was 8:1. A dc

applied potential of 450 mV was selected, andcurrent in the range of 500 to 100 μA wasrecorded for 300 min. In order to examine thePEVD product at the anode of the samples, bothplan-view and cleavage cross-section specimenswere prepared. The phases, microstructure andchemical composition of the anode were studiedusing XRD, SEM and EDX, respectively.

Page 151: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 147

ResultsFigures 32a and b are SEM secondary

electron (SE) images of the anode of a plan-viewsample before and after PEVD, respectively.PEVD products had formed at the edges of thepores, and the small step-like features of the Ptgrains before PEVD had disappeared. Thisindicates that a layer of PEVD product hadformed at the anode to wrap the Pt thick film.Since the porosity of the anode did not decreasemuch after PEVD and the grain boundaries ofthe Pt thick film are still visible in Figure 32bthrough the PEVD product at a 20 keV operatingvoltage in the SEM, the product layer coveringthe Pt metallic electrode must be quite thin (lessthan 1 μm).

In addition, anode crystallographicinformation after PEVD was obtained withXRD. The XRD spectrum of the PEVDcomposite anode is presented in Figure 33. Threephases exist in the XRD spectrum: a yttriastabilized zirconia phase (cubic), a pure zirconiaphase (monoclinic) and a metallic Pt phase. ThePt phase shows up in the spectrum because thePEVD product on top of the Pt is thin enough toallow x-rays to penetrate the product phase toreach the underlying Pt phase. Based on therelative peak intensity, yttria stabilized zirconiais the major phase in the PEVD product.However, a certain amount of pure zirconia isevident. Thus, the zirconia in the PEVD product

is partially stabilized (< 8%). It is worth pointingout that the equilibrium partial pressure ratio ofYCl

3 to ZrCl

4 was set at about 1:8 during PEVD.

The reason for this yttrium deficiency in thePEVD deposited phase requires furtherinvestigation.

The microstructure of the PEVD compositeanode is further displayed by a cross-sectionSEM SE image in Figure 34. It shows that thedeposited PEVD product phase (yttria stabilizedzirconia) is in intimate contact with both the solidelectrolyte and the Pt electrode. In the anode/solid electrolyte interface region, the depositedphase formed a dense layer encompassing thePt electrode. This provides extra ‘‘wetting’’ forthe metallic electrode and solid electrolytecontact. Conventional vapor depositiontechniques are unable to deposit products at thebottom of this kind of high aspect ratio andirregularly shaped substrate. The deposited phasecontinuously covers the entire metallic electrodesurface from its contact with the solid electrolyteto the top of the composite anode. Thus, there islittle Pt phase shown in this cleavage sample,since the break is mainly through the pores inthe anode region of the specimen.

In order to show the phase boundarybetween the solid electrolyte and PEVD product,an EDX line scan for Yb was performed along a6 μm long dotted line between point A and C.

Fig. 31: PEVD reactor setup.

Page 152: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey148

The electronic signal (gray level) and Yb Lαintensity are recorded in Figures 35a and b,respectively. The Yb x-ray intensity curve inFigure 35b dropped across the microscopicboundary. The valley in the gray level curve inFigure 35a indicates the position of the interfacein the SEM SE image in Figure 34.

DiscussionBased on the preceding microstructural

analysis results, it is clear that PEVD is capableof depositing a thin layer of yttria-doped zirconiato form a composite anode. This phase is notonly in intimate contact with both the solidelectrolyte and metallic electrode, but also covers

15 μm

a

15 μm

b

Fig. 32: SEM SE plan-view images of the anode of the sample before (a) and after (b) PEVD.

Page 153: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 149

Fig. 33: XRD spectrum of the anode of the sample after PEVD. Also shown are representativespectra from standard Y2O3-ZrO2, ZrO2 and Pt specimens.

A

B

C

5 μm

Fig. 34: SEM SE image of the anode/solid electrolyte interfacial region. (A) Substrate (ytterbiaand yttria stabilized zirconia); (B) Pt metallic electrode; (C) PEVD product (yttria stabilizedzirconia).

25000

20000

15000

10000

5000

0

Cou

nts

20 30 40 50 60 70 801>30-1468: Y0.15 Zr0.85 O1.93 -Yttrium Zirconium Oxide2>36-0420: Baddeleyite,syn - ZrO2

3>04-0802: Platinum, syn - Pt

Page 154: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey150

Gray level

A C

6 μm

Yb Lα Intensity

Fig. 35: EDX line scan from point A to point C. (a) gray level and (b) Yb Lα intensity.

(b)

(a)

Page 155: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 151

the entire porous metallic (Pt) electrode surface.This coverage by the ceramic phase protects themetallic electrode from vapor loss, sintering andpoisoning in the harsh SOFC operatingenvironment. The intimate contact of thedeposited ceramic phase in the interface regionalso improves the contact between the solidelectrolyte and metallic electrode. Furthermore,at the interface between the solid electrolyte andcomposite anode, the ceramic phase is dominant.This increases the thermal shock resistance ofthe interface. PEVD yttria-doped zirconia alsohas continuous oxygen ionic conducting pathsin the anode. Since the deposited ceramic phaseis thinner at the other areas than the solidelectrolyte/electrode interface, the porosity of theanode does not decrease significantly. Thisensures that all three phases for the SOFC anodereaction coexist in the PEVD composite anode.This is the optimum way to expand theelectrochemically active area to a three-dimensional anode volume. Unlike slurrycoating of cermet anodes, formation of ionicconducting paths in PEVD composite anodesreduces the overpotential loss but does not sacrificethe electronic conducting path. Thus, the sheetresistance of the PEVD composite anode remainsvirtually the same as the pure metallic anode.

According to the previous discussions, theabove mentioned PEVD composite anodemicrostructure is largely based on PEVD'sunique electro-crystallization behavior.3,80 Thus,PEVD has the capability of fabricatingcomposite anodes to meet all the criteria fortheoretically ideal anodes as illustrated inFigure 29. Furthermore, with regard to costefficiency, PEVD is a single step process forcomposite anode formation. It can be conductedin situ to coat the pure metallic anodes, or evento repair damaged composite anodes for SOFCs.

PEVD ProcessElectrochemical Studies

In the previous sections, PEVDthermodynamic equilibrium relationships werediscussed in connection with electro-crystallization phenomena occurring in PEVDprocesses for applications in sensors and fuel

cells. However, the migration of charged ionicand electronic carriers in an electric field duringPEVD is a kinetic process. Overpotential existsboth in the bulk of the solid phases and withinthe diffuse double layer formed at the phaseboundaries. Consequently, the applied potentialand current in a PEVD process do not followexactly the linear relations predicted from thethermodynamic equilibrium approach.Furthermore, it is necessary to discuss thechanges at the working electrode during a PEVDprocess. Because such changes are accompaniedby electrochemical reactions for PEVD productformation, the thermodynamic approach must,therefore, be complemented by kineticconsiderations to evaluate electrocrystallizationbehavior during a PEVD process.

The task related to studying PEVD workingelectrode kinetics in this section is to explainthe sequence of partial reactions constituting theoverall PEVD electrochemical reaction forproduct formation at the working electrode. Thedependence of current density, or of reaction rate,upon working electrode overpotential andtemperature was studied by both a steady-statepotentiostatic method and a dynamic potentialsweeping method (solid electrolyte cyclicvoltammetry), in the hope of resolving PEVDreaction rate-limiting steps. The results from thisinvestigation will help in understanding thekinetics of the PEVD reaction and subsequentproduct formation at the working electrode of aPEVD system, improve knowledge of PEVDkinetics, and elucidate the possibility of furtherprocess control in PEVD.

Overpotential in a PEVD System

When a current flows through an electro-chemical cell in the hypothetical PEVD systemproposed in section 2, the applied dc potentialV

a defines the potential difference V

WC between

the working and counter electrode. This valuedeviates from its open-circuit value V°

WC, which

is generally computable via a Nernst equation

( )

( ) A

IIIAIA

CA

WA

AWC qza

a

qnz

kTV ,,ln

μμ −==

+

+° (74)

where all terms have been defined in section 2.

Page 156: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey152

According to the fundamental theory ofoverpotential for aqueous electrochemicalsystems,81,82 the electrochemical celloverpotential is defined as the potentialdifference deviation from the open-circuit value.The electrochemical cell overpotential η

WC is

then defined as:

A

III,AI,AaWCWCWC qz

VVVμ−μ

−=−=η �

(75)

Thus, the applied electric field and thechemical potential difference of solid-statetransported reactant (A) between the sink andsource determine the total overpotential η

WC of

the PEVD system. Accordingly, Eqn. 41 can beexpressed as

I = -Gtη

WC (76)

where the PEVD current I and the totaloverpotential of the PEVD system η

WC are

linearly related to each other. The slope is theconductance -G

t, which has been defined in

section 2. However, this equation was obtainedunder the assumption that equilibria areattainable at all interfaces in the PEVD system.In practice, this assumption is valid only in alimited number of cases. Generally, when acurrent passes through the PEVD system,various kinds of overpotentials might exist at anypart of the system, such as the counter electrode,solid electrolyte and working electrode. Theoverpotential η

WC of the electrochemical cell in

the PEVD system is the sum of three terms:

ηWC

= ηW

+ ηC

+ ηE, WC

(77)

where ηW

, ηC are the overpotentials of the

working (W) and counter (C) electrodes,respectively, and η

E, WC is the ohmic overpotential

due to the resistance of the solid electrolyte (E)between the working and counter electrodes.Thus, the current vs. applied potential curve ina PEVD process is usually not linear as expectedfrom Eqn. 76. In order to further understandmass and charge transport during PEVD, thekinetics of a PEVD process and, consequently,various kinds of overpotential should beconsidered as well.

Since only the working electrodeoverpotential and current reveal, respectively, thethermodynamic and kinetic information of a

PEVD reaction for product formation, it isimperative to distinguish the working electrodeoverpotential η

W from the total overpotential η

WC.

This is possible with the help of a referenceelectrode (R). The overpotential between theworking and reference electrodes can also beexpressed as

ηWR

= ηW

+ ηR

+ ηE, WR

(78)

where ηR is the overpotential of the reference

electrode, and ηE,WR

is the ohmic overpotentialdue to the resistance of the solid electrolytebetween the working and reference electrodes.During a PEVD process, no current flowsthrough the open circuit between the referenceand working electrode; therefore, both η

R and

ηE,WR

are zero.83-85 Thus, it is reasonable toassume that the potential difference V

WR between

the working and reference electrode reveals theoverpotential at the working electrode η

W only.

VWR

= ηW

(79)

The working electrode overpotential ηW can

be measured by an electrometer between theworking and reference electrodes.

When a PEVD system is at equilibriumunder open circuit conditions, athermodynamically defined reversible inner (orGalvani) potential φ°

W is set up at the working

electrode. The equilibrium involved is a dynamicone, in which the rates at which charge carrierspass through the interface at the workingelectrode in both directions are equal. This rateis the exchange current density i

0.

i+ = |i

-| = i

0 (80)

When current flows through an electrode,its inner potential φ

W assumes a value different

from the equilibrium inner potential φ°W

. Thisdeviation of the electrode potential is theoverpotential at the working electrode.86

ηW

= φW

- φW

° (81)

An anodic (positive) and cathodic (negative)current always generates a positive and negativeoverpotential, respectively. Although workingelectrode overpotential is usually associated withworking electrode/electrolyte interfaces inelectrochemistry, in reality it refers to, and ismeasured as, deviations in the inner potential ofthe working electrode. The formation of thecurrent-controlled overpotential in the working

Page 157: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 153

electrode originates in the hindrance of theoverall electrochemical reaction for PEVDproduct formation. The total working electrodeoverpotential can be divided into activation η

a,

resistance ηΩ, concentration ηc and

crystallization ηy overpotential according to the

four possible types of rate control in PEVDelectrochemical reactions. The totaloverpotential of the working electrode is givenby the algebraic sum of the various components,since several of them usually operatesimultaneously.

η = η

a + ηΩ

+ ηc + η

y (82)

Resistance overpotential ηΩ and activationoverpotential η

a are characteristic of irreversible

reactions and are, therefore, termed ‘‘irreversibleoverpotentials’’. Since deviations from theequilibrium potential due to changes in theconcentrations of the reactants are largelyreversible, concentration overpotential η

c is

known as a ‘‘reversible polarization’’.Crystallization overpotential η

y is more

complicated. It can be caused either by‘‘reversible polarization’’ or ‘‘irreversiblepolarization’’. The details will be discussed later.

In electrode kinetics, as in chemical reactionkinetics, the slowest partial reaction is ratedetermining for the total reaction. The magnitudeand type of working electrode overpotential is,therefore, governed by the slowest partial reactionwhich might have been included in themeasurement. Thus, distinguishing variousoverpotentials during PEVD is critical tounderstand the kinetics of a PEVD process.However, the various components of the totalworking electrode overpotential can only beresolved under suitable conditions. If several ofthe reactions have slow reaction rates of similarorders of magnitude, the correspondingoverpotentials are superimposed to form the totaloverpotential. Separation of the variouscomponents becomes very difficult. Beforemoving on to distinguish the overpotentials atthe working electrode during a PEVD reaction,their causes will first be discussed.

Activation OverpotentialAn overall electrode reaction differs from

an overall chemical reaction in that at least one

partial reaction of the overall electrode reactionmust be a charge-transfer reaction. Activationoverpotential at the working electrode of thePEVD system is due to slow charge transferreactions at the working electrode/solidelectrolyte interface (location (II) of Figure 3).During the charge-transfer reaction, chargecarriers (A+ and e–) are transported against thepotential controlled activation energy from solidelectrolyte (E) and working electrode (W) intoPEVD product (D) across the electrical doublelayer. The rate of the charge-transfer reaction isdetermined by the potential difference across thedouble layer. Thus, it is a measure of how easilycharged particles (A+ and e-) cross the interfacesat location (II).

If only the charge-transfer reaction ishindered and not any of the other preceding orfollowing partial reactions, the experimentallymeasurable total overpotential at the workingelectrode η

W of the PEVD system equals the

activation overpotential ηa and is related to the

PEVD current via the Butler-Volmer equation:87

⎥⎦

⎤⎢⎣

⎡⎟⎠⎞⎜

⎝⎛ ηα−−⎟

⎠⎞⎜

⎝⎛ ηα=

RT

Fexp

RT

FexpII ca

o (83)

where I0 is the exchange current at the interface.

It measures the polarizability of a solidelectrolyte/electrode interface. It is worth notingthat I

0 is, in general, strongly dependent both on

temperature and on gas composition. αa and α

c

are the anodic and cathodic transfer coefficients,respectively, and were introduced by Erdey-Gruzand Volmer.88 Generally, transfer coefficientshave values ranging from zero to unity (0 < α < 1).It has been experimentally shown that, within acertain potential range, this coefficient isindependent of potential.

When |η| >100 mV then the Butler-Volmerequation reduces to its ‘‘high fieldapproximation’’ form:

RT

F

I

Iln a

o

ηα=⎟⎟⎠

⎞⎜⎜⎝

⎛ (84)

for anodic (I > 0, η > 0) operation and

RT

F

I

Iln c

o

ηα=⎟⎟⎠

⎞⎜⎜⎝

⎛− (85)

Page 158: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey154

for cathodic (I < 0, η < 0) operation. Thus, in aconventional Tafel plot of ln |I | vs. η, a linearregion (Tafel region) is obtained at η > 100 mV.The exchange current I

o can be obtained by

extrapolating the linear part of the ln |I | vs.η plot to η

a = 0. The slopes of the linear parts of

the plot give the transfer coefficients αa and α

c.

Resistance OverpotentialResistance overpotential ηΩ, which

corresponds to an ohmic potential drop at theworking electrode, is usually negligible in acommon electrochemical system, provided theelectrode is sufficiently conductive. However,resistance overpotential can be created by anumber of causes, such as the presence of surfacefilms. In the PEVD system, the ‘‘surface film’’is the product of the PEVD process. Thus,resistance overpotential could be a majorcontributor to the overall overpotential at theworking electrode. The solid transported reactant(A) must migrate from location (II) through thedeposit (D) to react with the sink vapor phase atlocation (III) of Figure 3. Accordingly, thistransport process in a solid phase is thecombination of both ionic (A+) and electronic(e–) carriers migrating under an electrochemicalpotential gradient. If the other overpotentials atthe working electrode of the PEVD system arenegligible, the transport rate is related to the totaloverpotential at the working electrode η

W and

can be expressed as:

I = -GDη

W (86)

where GD is the conductivity of (A) in deposit

(D) as defined in section 2. Accordingly, if GD

is constant during a PEVD process, the transportrate or PEVD current is linearly related to theoverpotential η

W at the working electrode.

Concentration OverpotentialConcentration overpotential η

c in a PEVD

process is due to slow mass transfer of gaseousreactants and/or products involved in the overallworking electrode reaction. During the passageof a current, the activities (or concentrations) ofthe gaseous reactants near the working electrodesurface undergo a concentration change from thebulk sink gas phase, resulting in a correspondingshift in the equilibrium electrode potential.

Concentration overpotential can be furthersubdivided into diffusion overpotential η

d and

reaction overpotential ηr.

Diffusion overpotential ηd is caused by

depletion or enrichment of the reactants at thesurface of the working electrode. A transportprocess is involved in the overall electrodereaction by which the substances formed orconsumed during the reaction are transported toor from the working electrode surface. This masstransport is necessary for the overall electrodereaction and takes place by diffusion to or fromthe surface, since the substances which are tobe converted according to Faraday's law arepresent in sufficient quantities only in the bulkof the sink gas phase. Therefore, a concentrationgradient or, more accurately, an activity gradientis established at the electrode surface. Purediffusion overpotential η

d is encountered if mass

transport by diffusion to or from the surface isthe slowest process among the partial reactionsinvolved.

Reaction overpotential ηr is caused by

chemical reactions whose rates are lower thanthe preceding or following charge transferreactions. The equilibrium concentrationsrequired to produce the reversible EMF of thecell are not established quickly enough.

The diffusion and chemical reaction ratesdepend only on the concentration of gaseousreactants at the working electrode surface, andby definition, are independent of electrodepotential. When concentration overpotentialdominates the total overpotential at the workingelectrode, a limiting current I

L exists. This

limiting current is the maximum current obtainedwhen the electrochemical reaction is completelymass-transfer controlled.89

In aqueous electrochemistry, concentrationoverpotential is frequently important due to lowreactant and/or product diffusivities in theaqueous phase and a low operating temperature.In the solid electrolyte cell of the PEVD system,mass transfer in the gas phase and chemicalreaction at higher temperatures are usually rapidand, consequently, concentration overpotentialis not that significant, especially at lowPEVD currents and high PEVD operatingtemperatures.

Page 159: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 155

Crystallization OverpotentialCrystallization overpotential is treated as a

special type of overpotential. In the PEVDsystem, any barrier to the process by whichatoms are incorporated into or removed from thecrystal lattice of the PEVD product (D) leads tocrystallization overpotential η

y.

According to Stranski’s theory of crystalgrowth, each component of the depositedproduct (D) must first find its way to a suitablesite at the edge of a lattice plane before it can beaccepted into the lattice.90 The additionaloverpotential observed when this reaction isinhibited is known as crystallizationoverpotential.

Electrocrystallization in PEVD may be splitinto the following three stages:a. Transition,b. Surface diffusion to the growth center, andc. Inclusion in the lattice.

The characteristics of the observedcrystallization overpotential are againdetermined by the stages which proceed at thelowest rate. Usually, step (c), inclusion into thelattice, is not a rate limiting step.

If step (a), the transition of chargedcomponents, is a limiting step, aninhomogeneous electric field is set up at thesurface of the deposit (D) to guide the chargedcomponents into the active positions, and a linearrelationship should, therefore, exist between theprocess rate and overpotential. Thisoverpotential, which is due to distortion of theelectric field, is usually small and is part of theresistance overpotential.

If step (b), surface diffusion to the growthcenter, is a rate limiting step, componentconcentration gradients are formed at the surfaceof the deposit (D). The observed overpotentialcould then be interpreted as a form ofconcentration overpotential, and is independentof the working electrode potential of the PEVDsystem.

On the basis of previous experimentalevidence in this field, it has not as yet beenpossible to decide in favor of one or the other ofthe two theoretical transport mechanisms, as nounequivocal conclusions may be drawn from themeasured steady-state current-potential curves.

On the other hand, impurities will have a stronginfluence on crystallization overpotential.

PEVD System forElectrochemical Studies

PEVD System SetupThe PEVD process for Na

2CO

3 auxiliary

phase deposition at the working electrode of apotentiometric CO

2 sensor was selected for the

current electrochemical studies. Various kindsof overpotentials at the working electrode duringthe PEVD process were evaluated by bothsteady-state potentiostatic and dynamic potentialsweeping methods in the hope of understandingthe process kinetics.

The PEVD system used in this investigationis schematically shown in Figure 36. A Na+-β/β’’-alumina disc, 16 mm in diameter and 5 mmin thickness, was used as the solid electrolytewith a working electrode on one side and bothcounter and reference electrodes on the other.To simplify data interpretation, the sameelectrode material, a Pt thick film, was used forall three electrodes, so the measured potentialdifference V

WR could be directly related to the

average inner potential difference between theworking and reference electrode.91,92 In order tomake good electrical and mechanical contact,Pt meshes, with spot-welded Pt wires, weresintered on the Pt thick films as electroncollectors and suppliers.

At the source side of the PEVD system, acounter electrode and a reference electrode weredeposited on substrate areas of 1 cm2 and 0.1cm2, respectively. The thickness of bothelectrodes was about 3 μm. Because rapidequilibration must be established for theelectrochemical reaction at the source sideduring the electrochemical studies, it isimportant to minimize polarization at the counterand reference electrodes. Thus, the sourceNa

2CO

3 in this PEVD system wrapped both the

counter and reference electrodes due to a meltingand quenching technique. The Na

2CO

3 powder

was first heated in an alumina crucible above itsmelting point at 852°C. Then the source side ofthe PEVD system was quickly dipped into themelt. After cooling to room temperature, a layer

Page 160: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey156

of Na2CO

3 covered both the counter and

reference electrodes. This type of source had alower overpotential loss.

At the sink side of the PEVD system, aporous Pt thick film about 7 μm in thickness wasapplied to a substrate area of 1 cm2. As discussedin section 3, the PEVD process can be dividedinto two stages. In stage I the PEVD productdoes not cover the entire Pt thick film, while instage II, it does. According to previousexperiments, the kinetics of the PEVD processare different in both stages. In order to revealthe kinetics of PEVD working electrodereactions for stage I and stage II separately, twokinds of PEVD samples with working electrodeNa

2CO

3 coverage corresponding to stage I and

stage II were prepared by PEVD. The Na2CO

3

depositions were similar to those discussed insection 3. Na

2CO

3 coverage at the working

electrode was controlled during a potentiometricPEVD process by controlling processing currentand, subsequently, confirmed by SEMmicrostructure studies.

During electrochemical studies, either astage I or stage II PEVD sample system was

placed in the constant temperature zone of a tubefurnace to maintain a uniform temperature atboth the sink and source sides. The same gasphase (ultrazero air) was fed into both sides ofthe system at a high flow rate (larger than 100sccm). The three electrodes of the PEVD systemwere connected to the external circuit to givetwo solid electrochemical cells. One was a closedcircuit cell from the counter electrode to theworking electrode:

(counter) CO2, O

2, Na

2CO

3, Pt|Na+ - β/β’’

-alumina|Pt, Na2CO

3, CO

2, O

2 (working) (87)

The other was an open circuit cell from thereference electrode to the working electrode:

(reference) CO2, O

2, Na

2CO

3, Pt|Na+ - β/β’’

-alumina|Pt, Na2CO

3, CO

2, O

2 (working) (88)

Because the atmosphere at both the sourceand sink sides was the same, the chemicalpotential of sodium was equal and fixed by theNa

2CO

3 phase in equilibrium with the same

atmosphere at all three Pt electrodes, when noelectric field was present. At this point, theequilibrium electrochemical reaction

Fig. 36: The PEVD system setup.

Page 161: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 157

32221

2 CONaOCOe2Na2 ⇔+++ −+ (89)

prevailed at all three electrodes. Accordingly, theequilibrium potential was zero under both openand closed circuit conditions if there was noapplied electric potential. By adjusting V

WR in

the open circuit electrochemical cell, theworking electrode overpotential η

W varied

according to Eqn. 79. Correspondingly, thecurrent through the closed circuit between theworking and counter electrodes changed toreveal the kinetics of PEVD working electrodereactions as well as the mass and charge transportrate through the PEVD system. A negative V

WR

or ηW increased the chemical potential of Na at

the working electrode, and reaction (89) movedto the right forming Na

2CO

3 at the working

electrode. A positive VWR

decreased the chemicalpotential of Na at the working electrode, andreaction (89) moved to the left resulting inNa

2CO

3 decomposition at the working electrode.

PEVD Reaction Rate Limiting Steps atthe Working Electrode

For Na2CO

3 formation at the working

electrode of a PEVD sample, the overall

electrochemical reaction can be divided into sixrate-limiting steps as schematically shown inFigure 37. These steps areStep 1: Charge transfer reaction at location (II).

Na+ (E) + e– (W) → Na(D) (90)

If this step is the rate-limiting step for thePEVD reaction at the working electrode, theworking electrode overpotential is dominated byan activation overpotential η

a.

Step 2: Solid-state transported reactant Nadiffusion from location (II) to (III).

Na(II) → Na(III) (91)

If this step is the rate-limiting step, theworking electrode overpotential is dominated bya resistance overpotential ηΩ. This can beconsidered separately for both stage I and stageII PEVD samples. For Na

2CO

3 formation at the

working electrode of a stage I PEVD sample,the working electrode of the PEVD system hasnot been covered by the product. Thus, anelectronically shorted surface exists to transportelectrons from location (II) to (III). Growth ispreferred along the Y direction (Figure 7b). Theprocess is limited by the diffusion of Na+ fromlocation (II) to (III).

Fig. 37: The kinetic steps for PEVD reaction at the working electrode.

Page 162: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey158

Step 2a: Na+ (II) → Na+ (III) (92)

For Na2CO

3 formation at the working

electrode of the PEVD system during stage IIgrowth, the Pt electrode in the PEVD systemhas already been covered with a layer of Na

2CO

3.

Further growth is in the X direction to increasethe thickness of the product. According to theprevious discussion, this step is limited byelectron diffusion.

Step 2b: e–(II) → e– (III) (93)

Step 3: Gas phase diffusion and surfaceadsorption of CO

2 and O

2 from the bulk of sink

gas phase (S) to the working electrode surfaceat location (III).

)III(O)III(CO)S(O)S(CO 221

2221

2 +→+ (94)

If this step is the rate-limiting step for thePEVD reaction at the working electrode, theworking electrode overpotential is dominated bya concentration overpotential η

c (diffusion

overpotential ηd).

Step 4: Surface transport of neutral reactantsat the working electrode surface to the reactionsites (r).

)r(O)r(CO)III(O)III(CO 221

2221

2 +→+ (95)

If this step is the rate-limiting step, theworking electrode overpotential is dominated bya crystallization overpotential η

y. This

crystallization overpotential behavior is similarto a concentration overpotential.

Step 5: Surface transport of chargedreactants at location (III), the product surface,to the reaction sites (r).

Na+ (III) + e– (III) → Na+ (r) + e–(r) (96)

If this step is the rate-limiting step, theworking electrode overpotential is dominated bya crystallization overpotential η

y. This

crystallization overpotential behavior is like aresistance overpotential.

Step 6: Chemical reaction at the reactionsites (r) to form the product Na

2CO

3.

)r(O)r(CO)r(e2)r(Na2 221

2 +++ −+

)r(CONa 32→ (97)

If this step is the rate-limiting step, theworking electrode overpotential is dominated bya concentration overpotential η

c (reaction

overpotential ηr).

Steady-State PotentiostaticStudies

The purpose of steady-state potentiostaticstudies is to obtain a series of PEVD workingelectrode overpotentials and correspondingsteady-state current values at various processingtemperatures. According to the previousdiscussion, each possible kinetic limiting stepfor the overall PEVD reaction causes differenteffects in steady-state I-η curves. Furthermore,from the temperature dependence of the PEVDcurrent, the activation energy for various PEVDprocessing conditions can be obtained to determinethe possible reaction rate-limiting step.

Experimental MethodsDuring the steady-state potentiometric

study, a potentiostat-galvanostat was connectedto the three electrodes of the PEVD sample. Aconstant dc electrical potential V

WR across the

open circuit electrochemical cell between theworking electrode and reference electrode wasset up manually with the potentiostat-galvanostat. According to Eqn. 79, V

WR is

equivalent to the working electrode overpotentialη

W of a PEVD system. At each η

W, a current I,

that flows through the closed-circuitelectrochemical cell between the working andcounter electrode, was then measured by thepotentiostat-galvanostat. However, it is currentdensity, instead of current, that is directly relatedto the kinetics of a PEVD reaction at the workingelectrode. In order to relate the potentiostat-galvanostat measured current directly to thekinetics of a PEVD reaction at the workingelectrode, it is imperative to maintain the reactionarea at the working electrode relativelyunchanged during the time of testing. Asdiscussed previously, the PEVD workingelectrode undergoes constant change due to theformation of PEVD products. This is especiallytrue for a stage I PEVD sample. No steady-statecurrent can be obtained at a constant V

WR. Thus,

a steady-state potentiostatic study is unsuitableto study the kinetics of stage I PEVD reactions.

For a stage II PEVD sample, on the otherhand, a steady-state current can be obtained at aconstant V

WR after a period of charging time. This

Page 163: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 159

is because the working electrode surface reactionarea is constant during stage II PEVD growth.For instance, the current response of a stage IIPEVD sample after V

WR is increased from 20 to

40 mV at 530°C is recorded in Figure 38. Thecurrent increases sharply upon increasing V

WR,

and then decays exponentially to approach astationary value. This kind of current responseis common for an electrochemical system witha non-negligible capacitance. In order to reacha steady-state current, a period of time isnecessary to allow the charging current to dieout. However, because the PEVD productthickness continues to increase at the workingelectrode during the delay time, the solid-statetransport distance in the PEVD product willincrease. Thus, it is advantageous to shorten thewaiting time for the current reading at each step.According to Figure 38, a 60 s waiting time is acompromise solution, since the charging currentcomponent at 60 s is less than 20% of the finalsteady-state current. In this way, the I-η behavior

for a stage II PEVD reaction was alsoexperimentally repeatable.

Experiments on a stage II PEVD samplewere conducted at six temperatures from 500 to550°C at 10°C intervals. At each temperature,V

WR was increased step-wise from 0 to 450 mV

with 60 s for each step. The resultant currentflow through the working and counter electrodewas measured simultaneously. Both V

WR and I

were recorded by a dual channel analog recorder.

ResultsCurrent - Overpotential Behavior

The PEVD reaction current, 60 s afterchanging the working electrode overpotential ateach step, from a stage II PEVD sample is recorded.The results were repeatable. Two kinds of plotsare commonly used to show the dependence ofthe current on overpotentials at varioustemperatures. One is a current vs. overpotential plotas shown in Figure 39; the other is a ln(current) vs.overpotential plot (Tafel plot) in Figure 40.

Fig. 38: Current decay during the charging process.

Page 164: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey160

Fig. 39: Current-overpotential behavior (I-η curves) of a stage II PEVD process at varioustemperatures.

At lower temperatures, e.g. 500°C, the I-ηcurve is almost linear from the origin up to acathodic overpotential of 450 mV. This kind oflinear I-η behavior occurs since resistance orcrystallization overpotential dominates the totalworking electrode overpotential. According tothe previous discussion, the PEVD reaction rate-limiting step at the working electrode is eitherStep 2b (electron diffusion) or Step 5 (surfacetransport of charged reactants).

At higher temperatures, e.g. 550°C, thecurrent-overpotential behavior is similar to thatfor common electrochemical systems. There aretwo regions separated at about 260 mV. In thelower overpotential region, a linear curve from100 to 260 mV is obtained on the Tafel plot(Figure 40). This I-η logarithmic relationindicates that activation overpotential dominatesthe total working electrode overpotential, andthe PEVD reaction rate-limiting step is Step 1(charge transfer reaction). In the higheroverpotential region, the current does not

respond to further increase in overpotential. Thislimiting current can be caused by concentrationoverpotential η

c due to either Step 3 (diffusion

overpotential ηd) or Step 6 (reaction

overpotential ηr). This can also be caused by

crystallization overpotential ηy due to slow

surface transport of neutral reactants (Step 4).The I-η behavior at four intermediate

temperatures (540, 530, 520, and 510°C) wasalso studied to show the transition from lowertemperature to higher temperature kineticbehavior. It was found that the 510 and 520°Ccurves are similar to the lower temperature oneat 500°C, in which the current response to theoverpotential is linear through the entire testingrange. The 540°C curve resembles the 550°Ccurve, in which a linear logarithmic response isfollowed by a limiting current at higheroverpotential. At 530°C, all three kinds of kineticresponse, i.e., logarithmic, linear and constant withincreasing overpotential, exist in the I-η curve.Thus, the kinetic transition from low temperature

Page 165: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 161

to high temperature behavior in the currentPEVD system occurs around 530°C.

Activation Energy of the PEVD ReactionIn order to further distinguish the PEVD

reaction rate-limiting steps, activation energiesof the PEVD reaction were studied at twooverpotentials. Figure 41 is the Arrhenius plotof ln(I) vs. 1/T at an overpotential η

W = 100 mV.

Two regions are resolved. In the highertemperature region above 530°C, an activationenergy of 185 kJ/mol or 1.9 eV is obtained. Thisis close to the previously reported activationenergy for a charge transfer reaction.93,94 In thelow temperature region below 530°C, anactivation energy of 516 kJ/mol or 5.4 eV isobtained. This value is much higher than wouldbe expected for Step 5, surface diffusion ofcharged particles. Thus, the possible kineticlimiting step is Step 2b, the diffusion of electronsin the product Na

2CO

3. However, the value of

5.4 eV is a little less than the activation energy

for electronic conduction, which should be closeto the bandgap E

g of the product. For inorganic

oxysalts, the Eg is expected to be ~6.0 eV. One

possible explanation is that impurity levels mayexist between the conduction and valence band.95

Figure 42 is an Arrhennus plot of ln(I) vs.1/T at an overpotential η

W = 400 mV. Again

there are two regions. The slope of a linearregression curve in the low temperature regionis similar to that at the lower overpotential, η =100 mV. Thus, the kinetic limiting step is stillStep 2b, the diffusion of electrons. Thecalculated activation energy E

a = 4.9 eV in this

plot is actually a little lower than the previousone (5.4 eV). This difference could be due tothe changes in the working electrode duringproduct formation. Thus, the most reliableactivation energy for Step 2b could be extractedfrom the slopes of the I-η curves at the lowertemperatures as shown in Figure 43.Accordingly, the activation energy is 464 kJ/mol,or 4.8 eV.

Fig. 40: Tafel plot (ln(I) vs. η) of the current-overpotential behavior in Figure 39.

Page 166: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey162

Fig. 41: Arrhenius plot at η = 100 mV.

Fig. 42: Arrhenius plot at η = 400 mV.

Page 167: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 163

At higher temperatures in Figure 42, anactivation energy of 84 kJ/mol or 0.8 eV isobtained. Although increasing temperature willincrease gas phase diffusion through an increasein molecular diffusion velocity, the mean freepath will decrease. The result is only a weakthermal activation of gas phase diffusion forStep 3. The activation energy value is more likelydue to Step 4 (surface diffusion of neutralreactants) or Step 6 (chemical reaction).

Exchange Current for the PEVD SystemThe exchange current I

0 and cathodic

transfer coefficient αc for the PEVD system can

be extracted from standard Tafel plots (Figure 40)as described in detail previously, and provide ameasure of the nonpolarizability of the solidelectrolyte/electrode interface.96,97 The values ofI

0 and α

c at various temperatures are shown in

Table 3. The activation energy of the exchangecurrent can then be obtained from an Arrhenius

plot in Figure 44 as 200 kJ/mole (2.1 eV), whichfits in with those in the literature in the range of1.2 to 2.7 eV.98

The cathodic transfer coefficient αc is

generally invariant with temperature.99 A constantvalue from this experiment further indicates thereliability of using the steady-state potentiostaticmethod to study stage II PEVD reactions.

SummaryThe current-overpotential behavior of the

cathodic PEVD product formation at theworking electrode during stage II of PEVD hasbeen studied at various temperatures. Therepeatability of the I-η curves in stage II of aPEVD process is fairly good. Thus, the changein geometric factor of the working electrodeduring the period of potentiostatic study isnegligible. Accordingly, the PEVD reaction rate-limiting steps at the working electrode for a stageII PEVD process are collected in Table 4.

Fig. 43: Arrhenius plot of the slope of the I-η curves at lower temperatures.

Page 168: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey164

Temperature (°C) Exchange Current I0 (μA) Cathodic Coefficient αc

550 45.5 0.58

540 32.2 0.59

530 22 0.60

Table 3. Temperature Dependence of Exchange Current and Cathodic TransferCoefficient

PEVD Parameters

Temp. (°C) ηW (mV) I-η Relation Dominant η

Rate Limiting Steps

Activation Energy (eV)

500, 510, 520 0 - 450 Linear ηΩ Step 2b,

Diffusion of Electrons

4.8

0 - 220 Logarithmic ηa Step 1, Charge

Transfer Reaction

1.9

230 - 380 Linear ηΩ Step 2b,

Diffusion of Electrons

4.8

ηy

Step 4, Surface Diffusion of

Neutral Reactants

530

390 - 450 Limiting

ηc Step 6,

Chemical Reaction

0.87

0 - 260 Logarithmic ηa Step 1, Charge

Transfer Reaction

1.9

ηy

Step 4, Surface Diffusion of

Neutral Reactants

540, 550°C

270 - 450 Limiting

ηc Step 6,

Chemical Reaction

0.87

Table 4. Stage II PEVD Steady-State Potentiostatic Study Results

Page 169: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 165

Cyclic Voltammetry StudiesThe technique of cyclic voltammetry or,

more precisely, linear potential sweepchronoamperometry, is used routinely inaqueous electrochemistry to study themechanisms of electrochemical reactions.99-103

Currently, cyclic voltammetry has become a verypopular technique for initial electrochemicalstudies of new systems and has proven veryuseful in obtaining information about fairlycomplicated electrochemical reactions.99 Therehave been some reported applications of cyclicvoltammetry for solid electrochemicalsystems.104-106 It is worth pointing out that,although the theory of cyclic voltammetryoriginally developed by Sevick,107 Randles,108

Delahay,109 and Srinivasan and Gileadi110 andlucidly presented by Bard and Faulkner,99 is verywell established and understood in aqueouselectrochemistry, one must be cautious whenapplying this theory to solid electrolyte systemsof the type described here, as some non-trivialrefinements may be necessary.

Because of the geometric change at theworking electrode due to growth of the PEVDproduct, the results from a steady-statepotentiostatic study are not applicable to stage Iof PEVD. In this study, a solid electrolyte cyclicvoltammetry (SECV) method was applied fortwo reasons:a. It is possible to resolve complicated

electrochemical reactions and qualitativelyreveal the general current-overpotentialbehavior.

b. Both anodic and cathodic potentials areapplied during a single scan, which is fastenough to minimize the working electrodechange of a PEVD sample during testing.

Experimental SetupDuring the SECV study, the PEVD system

and all experimental parameters were similar tothose in the steady-state potentiostatic work. Theonly difference was the external circuit, in whicha CAS-100 system (Gamry, Inc.) was used toconnect with a PEVD sample. This setup

Fig. 44: Activation energy of the exchange current.

Page 170: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey166

permits linear variation in time of the workingelectrode potential with respect to the referenceelectrode V

WR according to

( ) υτ

ττυ

τυ

1,2,

2,

1,

22

;

20;

WRWR

WRWR

WRWR

VV

ttVV

ttVV

−=

≤<−=

≤<+=

(98)

where VWR,1

is the initial (t = 0) working electrodepotential corresponding to the beginning of eachcycle, V

WR,2 is the switching potential, τ is the

time for one scan and υ (V/s) is the sweep rate.The current I flowing between the working andthe counter electrode can be recordedsimultaneously to reveal the rate of the PEVDreaction taking place on the working electrode.

Results and Discussion for Stage II ofPEVD

The validity of the SECV method was firsttested for a stage II PEVD sample at 550°C.Thus, the results from SECV and the steady-state potentiostatic method could be compared.

General Features of an SECV SpectrumFigure 45 shows a three-dimensional SECV

spectrum for a stage II PEVD system at 550°C.This spectrum can be projected to three planes,i.e., the working electrode overpotential - PEVDcurrent (V

WR-I) plane, the PEVD current - time

(I-t) plane and the working electrodeoverpotential -time (V

WR-t) plane.

Traditionally, the most important projectedcurve, ‘‘cyclic voltammogram’’, is the one onthe V

WR-I plane. The peaks labeled C and A are

the major features of the voltammogram. The

Fig. 45: A three-dimensional SECV spectrum and its projection planes for a stage II PEVD sampleat 550°C.

Page 171: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 167

cathodic peak C centered at VWR

= -290 mVcorresponds to PEVD product (Na

2CO

3)

formation at the working electrode; the anodicpeak A at V

WR = 320 mV corresponds to Na

2CO

3

decomposition at the working electrode. Themain reason that the current follows differentpaths on the V

WR-I plane upon increasing and

decreasing VWR

is due to the fact that part of thecurrent is used to charge the solid electrolyte/electrode interface, which has a nonzerocapacitance C

d. The ‘‘thickness’’ ΔI of the cyclic

voltammogram is of the order of 2υCd. Thus ΔI

decreases with decreasing sweep rate υ andvanishes at υ = 0, together with the cathodicpeaks labeled C and the anodic peaks labeledA.93,94,98 At this limit the entire cyclicvoltammogram collapses into a single curvebetween A and C. The electrochemicalliterature99 provides a more detailed discussion.

The projected curve on the I-t planeindicates the change in PEVD current as well asthe reaction rate as a function of time.Conventionally, I < 0 corresponds to cathodiccurrents, i.e., I/F expresses the rate of supply ofNa+ to the working electrode. The area of thecathodic current peak on the I-t plane is 0.02631C, which corresponds via Faraday’s law to thereduction of 2.7 × 10-7 mol of Na to form 14 μgof Na

2CO

3. This amount of Na

2CO

3 is equivalent

to an increase of about 12.5 nm in productthickness during stage II PEVD. Thus, thechange to the working electrode is insignificantduring a single scan.

The projected curve on the VWR

-t planerecords the change of the imposed workingelectrode overpotential waveform during the V

WR

scan. An important parameter in cyclicvoltammetric studies is the sweep rate υ. Thelinear sweep rate for Figure 45 was 30 mV/s.

Effects of Sweep RateThe cyclic voltammograms for various

sweep rates at 550°C are reported in Figure 46.According to the theory of cyclic voltammetry,99

the peak overpotential shift and peak currentconvey important information. A reversibleredox reaction will not exhibit peak shifting withsweep rate change. In an aqueouselectrochemical cell, the shift is generally caused

by an irreversible redox reaction due to slowcharge transfer compared with diffusion in theelectrolyte. In such cases, the peak curent I

p

linearly increases with υ.99 However, when thecharge transfer reaction does not involve achemisorbed reactant, then, in practically allother cases, I

p varies linearly with υ1/2. In this

study, the current for both peaks is linearlyrelated to υ1/2 as shown in Figure 47.

Figure 46 also shows the effect of sweeprate υ on the cathodic peak potential V

WR,c.

Increasing υ shifts VWR,c

to more negativepotentials. According to the theory of cyclicvoltammetry, the magnitude of peak shift atvarious sweep rates permits direct computationof the cathodic transfer coefficient α

c:

F

RT

lnd

dE

c

c,p

α−=

υ (99)

Figure 48 shows the usefulness of solidelectrolyte cyclic voltammetry (SECV) forextracting transfer coefficients. The peakpotentials are plotted against the logarithm ofthe sweep rates. The α

c value can be obtained

from the slope of the linear regression curve. Itis calculated to be 0.63, which is close to thevalue, 0.59, obtained from the steady-statepotentiostatic study. Similarly, based on theequation for anodic peaks,

F

RT

lnd

dE

a

a,p

α=

υ (100)

From the slope of the linear regression curvein Figure 49, the anodic transfer coefficient α

a

is 1.

Effect of Holding TimeBy holding the working electrode

overpotential at 600 mV (anodic overpotential)for a period of time, then scanning to -1000 mV(cathodic overpotential), cyclic voltammogramsare generated as shown in Figure 50. Thecathodic peaks shift to lower (or more negativeoverpotentials) as the holding time increases.This indicates that the PEVD reaction is lesslimited by the higher overpotential limiting step.According to the results from steady-statepotentiostatic studies (Table 4), the higheroverpotential limiting step could be Step 3, Step

Page 172: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey168

Fig. 46: Cyclic voltammograms at various sweep rates during stage II PEVD (550°C).

Fig. 47: Cathodic and anodic peak currents in Figure 46 vs. the square root of the sweep rate.

Page 173: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 169

Fig. 48: Linear regression of cathodic overpotential vs. logarithm of sweep rate.

Fig. 49: Linear regression of anodic overpotential vs. logarithm of sweep rate.

Page 174: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey170

4 or Step 6. These experimental results indicatethat Step 4 is more likely to be the higheroverpotential limiting step, since Na

2CO

3

decomposes at the working electrode during thetime of holding at an anodic overpotential. Thelonger the holding time, the more thedecomposition proceeds. Step 3 and Step 6, gasphase diffusion and chemical reaction,respectively, will not be affected by thisdecomposition. On the other hand, surfacediffusion of neutral reactants in Step 4 will beenhanced by the decomposition, since theproducts from decomposition are close to thereaction sites for formation. Thus, the limitingcurrent for Step 4 will increase at the sameworking electrode overpotential. This delays thekinetic limitation step switch from Step 1 toStep 4. An interesting phenomenon is that thepeak overpotential shift is linearly related to theholding time as shown in Figure 51.

SummaryIn this section, a number of SECV

experimental methods have been applied for thefirst time to study a PEVD system. The resultsfrom a stage II PEVD sample not only confirmthe capability of SECV to distinguish variousPEVD reaction rate-limiting steps, but also offercomplementary information to the steady-statepotentiostatic studies. For instance, SECVresults further indicate that the rate limiting stepat higher temperatures and higher overpotentialsis Step 4. Since very little product is depositedon the working electrode during a single scan,SECV is also applicable to study stage I PEVDbehavior.

Application of SECV to the Study ofStage I of PEVD

A stage I PEVD sample was tested at fivetemperatures (450, 475, 500, 525, and 550°C).

Fig. 50: Cyclic voltammetric curves at various holding times.

Page 175: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 171

Spectra at Lower TemperaturesFigures 52a, 53a, and 54a are cyclic

voltammograms for various sweep rates at 450,475, and 500°C, respectively. Thevoltammograms have similar features to thoseobtained from a stage II PEVD sample at ahigher temperature (550°C). Only one pair ofcathodic and anodic peaks exists. The cathodicand anodic peaks shift to more negative andpositive potentials at higher sweep rates,respectively. Accordingly, PEVD reaction rate-limiting steps under these conditions are thecharge transfer reaction (Step 1) at lowerworking electrode overpotentials and surfacediffusion of neutral reactants (Step 4) at higherworking electrode overpotentials.

Similar to the discussion for stage II, bothcathodic and anodic transfer coefficients for thestage I PEVD sample can be obtained from thepeak potential shifts resulting from changing

sweep rates. The cathodic coefficients at eachtesting temperature are obtained by the peakoverpotential vs. ln υ plots in Figures 52b, 53b,and 54b. Similarly, the anodic coefficients ateach testing temperature are obtained by the peakoverpotential vs. ln υ plots in Figures 52c, 53c,and 54c. The resulting α

c and α

a values are listed

in Table 5, and are compared with those valuesfrom the stage II PEVD sample.

According to fundamental electrochemicaltheory, α

c and α

a should not change with

processing temperature. The values for stage Iare fairly constant. The significant increase inboth coefficients from stage I to stage II ispresumably due to the change in the solidelectrolyte/working electrode interface duringPEVD product (Na

2CO

3) formation. This

indicates that the geometry of the auxiliary phaseNa

2CO

3 at the working electrode is also

kinetically related to the charge transfer reaction

Fig. 51: Cathodic peak shift at various holding times.

Page 176: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey172

Fig. 52: (a) Cyclic voltammetric curves at 450°C, (b) cathodic coefficient, and (c) anodic coefficient.

Page 177: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 173

Fig. 53: (a) Cyclic voltammetric curves at 475°C, (b) cathodic coefficient, and (c) anodic coefficient.

Page 178: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey174

Fig. 54: (a) Cyclic voltammetric curves at 500°C, (b) cathodic coefficient, and (c) anodic coefficient.

Page 179: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 175

at the working electrode. A PEVD processimproves the solid electrolyte/electrodeinterface.

Spectra at Higher TemperaturesThe cyclic voltammograms for stage I

PEVD reactions at higher temperatures are morecomplicated. The voltammograms for varioussweep rates at 525 and 550°C are reported inFigures 55 and 56, respectively. Two pairs ofpeaks (labeled M and N for cathodic peaks, andM’ and N’ for anodic peaks) are distinguishable.Thus, extra PEVD reaction rate-limiting stepsmust be involved under these conditions.

Generally speaking, the cathodic and anodicpeaks exist as a pair, and each pair of peaksrepresents a redox reaction. The second pair ofpeaks could be due to an additional redoxreaction other than the one in Eqn. 90. However,a solid electrochemical system is usually veryselective to only one mobile ionic species, whichundergoes the charge transfer reaction. Previousinvestigation has found that double peaks couldalso result from different potential states in redoxreactions for ionic species with highervalence.82,111 For instance, during oxygenreduction, the oxygen has to obtain an electrontwice to reduce to O2-. In the current case,sodium ion is single valent. It is not likely tohave two redox reaction potential states.Moreover, the shapes of the M peaks are differentfrom those of redox reactions.

According to fundamental cyclicvoltammetry theory, a peak results when anoverpotential related rate-limiting step switchesto another rate-limiting step. In the case of theN peaks, the PEVD reaction rate-limiting step

switches from Step 1 to Step 4. As has beendiscussed previously, the diffusion of chargedparticles through the PEVD product is also aworking electrode overpotential related step.Thus, it is possible that M peaks are due to aswitch from Step 2a to Step 1 at certain workingelectrode overpotentials. Thus, a resistanceoverpotential is dominant at low workingelectrode overpotential. As a result, the PEVDcurrent permitted by the charge transfer reactionis limited by the transport of Na+ across theproduct under those PEVD conditions. It wasalso found that the repeatability of the M peaksin voltammograms was poor. The M peaks inthese voltammograms do not have the sameshape, peak overpotential and peak current underthe same experimental conditions. This ispossibly because M peaks are very sensitive togeometric factors at the working electrode ofstage I PEVD samples during each SECV scan.

As shown in Figure 56, the cathodic Mpeaks are broader than the anodic M’ peaks. Thereason is that the PEVD processes fordecomposition and formation at the workingelectrode are different. The broader M peakcould result from diffusion of Na+ across theproduct to form Na

2CO

3 in two steps,

First step: Na+ + CO3

2- → NaCO3

– (101)

Second step: Na+ + NaCO3

– → Na2CO

3 (102)

When the process is limited by the diffusionof Na+ inside the product, the first step willincrease the electrochemical potential of thesodium ion at the surface of the PEVD product(location (III)). Thus, the thermodynamicdriving force will decrease causing furthertransport of Na+ in the product to pursue the

PEVD Stage Stage I Stage II

Temperature 450°C 475°C 500°C 550°C

αc 0.51 0.52 0.51 0.63

αa 0.60 0.55 0.57 1

Table 5. Comparison of the Cathodic and Anodic Transfer Coefficients from theTwo Stages

Page 180: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey176

Fig. 55: Cyclic voltametric curves at 525°C.

Fig. 56: Cyclic voltammetric curves at 550°C.

Page 181: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 177

second step. Two cathodic M peaks can indeedsometimes be resolved. The decomposition ofNa

2CO

3 is a one step process.

Na2CO

3 → 2 Na+ + CO

32- (103)

The cause of the M peaks has been furtherconfirmed with an SECV holding technique at550°C. In this experiment, the V

WR was held at

600 mV for various times, then scannednegatively to -1000 mV at the cathodic side. Theresults are plotted in Figure 57. The longer theholding time, the more significant the M peaksvs. N peaks. As more decomposition of theproduct occurs, the Na+ diffusion lengthdecreases, and the PEVD current is less limitedby Step 2a. The negative shift of the N peaks isa feature that has been discussed previously fora stage II PEVD sample.

SummaryAlthough SECV studies are not able to give

quantitative results for stage I PEVD behavior,PEVD reaction rate-limiting steps are

qualitatively revealed. At lower temperaturesand working electrode overpotentials, the PEVDreaction rate-limiting step is Step 1, the chargetransfer reaction. At higher temperatures andlower working electrode overpotentials, the rate-limiting step is Step 2a, the diffusion of Na+

across the product. At higher working electrodeoverpotentials for all temperatures, the rate-limiting step is Step 4, the surface diffusion ofneutral reactants.

Conclusions

During the last 20 years, we have witnessedthe active development of solid state ionicsassociated with the study of ionic and electronicprocesses in solid state ionic materials. Theinvestigation of energy converting applicationsto use ionic transport in solid state ionic materialsis booming. The present availability ofnumerous types of solid state ionic materialsallows for electrochemical reactions to be carried

Fig. 57: The effect of holding time on cyclic voltammetric curves during the first stage of PEVD at550°C.

Page 182: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey178

out with the surrounding vapor phase to formproducts of interest. This recent interfacing ofvapor deposition and electrochemistry has ledto the development of polarized electrochemicalvapor deposition (PEVD) during the course ofthe current study.

The significance of this new technique isthat PEVD applies solid state ionic techniquesto modify a widely accepted CVD techniqueunder controlled conditions in a solidelectrochemical cell. Compared with other solidstate ionic techniques, PEVD takes advantagenot only of energy transformation but alsomaterial transport itself during ionic andelectronic transport in solids to form desiredproducts. Thus, PEVD has brought Wagner'selectrochemical tarnishing theory to a new fieldfor making man-made products under well-defined thermodynamic and kinetic conditions.

PEVD holds promise of a wide range ofpotential applications for solid state ionicdevices. During the course of the currentresearch, PEVD has been applied for fabricatingtwo types of solid state ionic devices, i.e.,potentiometric gaseous oxide sensors and solidoxide fuel cells. These applications of PEVDhave shown that PEVD is the most suitabletechnique to improve solid electrode / electrolytecontact and, consequently, the performance ofthose solid state ionic devices. Furthermore, the"active" use of material transport through thesolid by PEVD offers more interestingopportunities not only for forming depositionproducts but also for studying the physicalproperties of the products under well-definedthermodynamic and kinetic conditions.

It has been more than 60 years sinceWagner's electrochemical tarnishing theory wasdeveloped. Finally, the two parallel suggestionsof material transport and energy transformationin the theory are interconnected, and newlydeveloped PEVD will make solid state ionicdevices better to serve today's ever-growingenergy and environmental demands.

References

1. C. Wagner, Z. Phys. Chem., Vol.B21, 1933,p.25.

2. T. Takahashi, Superionic Solids and SolidElectrolytes, Materials Sciences Series,A.L. Laskar and S. Chandra, eds, AcademicPress, New York, 1989, p.1.

3. E.Z. Tang and T.H. Etsell, Solid State Ionics,Vol.91, 1996, p.213.

4. J. Hladik, Physics of Electrolytes, J. Hladik,ed, Academic Press, London, U.K., 1972,p.35.

5. A.O. Isenberg, Proceedings of Symp. onElectrode Materials and Processes forEnergy Conversion and Storage,Electrochemical Soc., Princeton, NJ,Vol.77-6, 1977, p.572.

6. A. O. Isenberg, Solid State Ionics, Vol.3-4,1981, p.431.

7. E.Z. Tang, T. H. Etsell, and D.G. Ivey, HighTemperature Materials and Processes,Vol.19, 2000, p.25.

8. W.L. Worrell and J. Hladik, Physics ofElectrolytes, J. Hladik, ed, Academic Press,London, U.K., 1972, p.747.

9. O. Tillement, Solid State Ionics, Vol.68 ,1994,p.9.

10. A.J. de Bethune, Journal Electrochem.Soc., Vol.102, 1955, p.288.

11. D.O. Raleigh, Proceedings of ElectrodeProcesses in Solid State Ionics, NATO Adv.Study Inst. Ser. C, Ajaccio, Corsica,M. Kleitz and J. Dupuy, eds., D. Reidel,Dordrecht/Boston, 1975, p.119.

12. H. Gerisher, Proceedings of ElectrodeProcesses in Solid State Ionics, NATO Adv.Study Inst. Ser. C, Ajaccio, Corsica,M. Kleitz and J. Dupuy, eds., D. Reidel,Dordrecht/Boston, 1975, p.277.

13. C. Ilschner-Gensch and C. Wagner, JournalElectrochem. Soc., Vol.105, 1958, p.198.

14. R.A. Rapp and D.A. Shores,Physicochemical Measurements in MetalsResearch, R.A. Rapp, ed., Interscience,New York, Vol.4, 1970, p.123.

15. N.B. Pilling and R.E. Bedworth, JournalInst. Met., Vol.29, 1923, p.529.

16. G. Tammann, Z. Anorg. Chem., Vol.111,1920, p.78.

17. W. Gopel, Sensors and Actuators B, Vol.18-19, 1994, p.1.

18. F. Forlani, Sensors and Actuators B, Vol.3,1991, p.165.

Page 183: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 179

19. M.J. Madou and S.R. Morrison, ChemicalSensing with Solid State Devices , AcademicPress, Inc., Boston, 1989.

20. W. Gopel, Sensors and Actuators, Vol.16,1989, p.167.

21. W. Weppner, Sensors and Actuators, Vol.12,1987, p.107.

22. M. Gauthier and A. Chamberland, JournalElectrochem. Soc., Vol.124, 1977, p.1579.

23. M. Gauthier, A. Chamberland, A. Belanger,and M. Poirier, Journal Electrochem. Soc.,Vol.124, 1977, p.1584.

24. W. L. Worrell and Q.G. Liu, Sensors andActuators, Vol.2, 1982, p.385.

25. K. T.Jacob and D.B. Rao, JournalElectrochem. Soc., Vol. 126, 1979, p.1842.

26. G. Adachi and N. Imanaka, ChemicalSensor Technology, Yamazoe, et al. eds.,Kodansha Ltd., Tokyo and Elsevier,Amsterdam, Vol.3, 1991, p.131.

27. R. Cote, C. W. Bale, and M. Gauthier,Journal Electrochem. Soc., Vol.131, 1984,p.63.

28. Y. Saito, K. Kobayashi, and T. Maruyama,Solid State Ionics, Vol.3-4, 1981, p.393.

29. Y. Saito, T. Murayama, and K. Kobayashi,Solid State Ionics, Vol.14, 1984, p.265.

30. Y. Saito,T. Murayama, Y. Matsumoto,K. Kobayashi, and Y. Yano, Solid StateIonics, Vol.14, 1984, p.273.

31. W. Weppner, German Patent DF 2926172C2, 1979.

32. Y. Shimizu, Y. Okamoto, S. Yao, N. Miura,and N. Yamazoe, Denki Kagaku, Vol.59,1991, p. 465.

33. R. Akila and K.T. Jacob, Sensors andActuators, Vol.16, 1989, p.311.

34. N. Miura,S. Yao,Y. Shimizu, andN. Yamazoe, Sensors and Actuators B,Vol.13-14, 1993, p.387.

35. S. Yao, S. Hosohara, Y. Shimizu, N. Miura,H. Hutata, and N. Yamazoe, Chem. Lett.,Vol.1991, 1991, p.2069.

36. S. Yao,Y. Shimizu, N. Miura, andN. Yamazoe, Chem. Lett., Vol.1992, 1992,p.587.

37. T. Maruyama, S. Sasaki, and Y. Saito, SolidState Ionics, Vol.23, 1987, p.107.

38. N. Yamazoe and N. Miura, IEEE

Transactions on Components, Packaging,and Manufacturing Technology, Vol.18(A),1995, p.252.

39. G. Hotzel and W. Weppner, Proc. 6th Riso-Internat. Symp. on Transport-StructureRelations in Fast Ion and Mixed Conductors,Riso Natl. Lab., Roskilde, Denmark, 1985,p. 401.

40. N. Yamazoe and N. Miura, Sensors andActuators B, Vol. 20, 1994, p.95.

41. N. Miura, S. Yao, Y. Shimizu, andN. Yamazoe, Journal Electrochem. Soc.,Vol.139, 1992, p.1384.

42. W.F. Chu, D. Fischer, H. Erdmann,M. Ilgenstein, H. Koppen, and V. Leonhard,Solid State Ionics, Vol.53-56, 1992, p.80.

43. V. Leonhard, D. Fischer, H. Erdmann,M. Ilgenstein and H. Koppen, Sensors andActuators B, Vol.13-14, 1993, p.530.

44. E.Z. Tang, T.H. Etsell, and D.G. Ivey,Sensors and Actuators B, Vol.45, 1997,p.131.

45. W. Gopel, Progress in Surface Science,Vol.20, 1985, p.9.

46. D.M. Haaland, J. Electrochem. Soc.,Vol.127, 1980, p.796.

47. T. Maruyama, X. Ye, and Y. Saito, SolidState Ionics, Vol.24, 1987, p.281.

48. T. Maruyama, Materials Sci. and Eng. A,Vol.146, 1991, p.81.

49. N. Miura, S. Yao, Y. Shimizu, andN. Yamazoe, Sensors and Actuators B,Vol.9, 1992, p.165.

50. E.Z. Tang, T.H. Etsell, and D.G. Ivey,Ceramic Sensors III, PV96-27, TheElectrochemical Society Proceeding Series,The Electrochemical Society, Pennington,NJ, 1996, p.131.

51. J. Fouletier, H. Seinera, and M. Kleitz, JournalAppl. Electrochem., Vol.4, 1974, p.305.

52. A. Negishi, K. Nozaki, and T. Ozawa, SolidState Ionics, Vol.3-4, 1981, p. 443.

53. T. Inoue, K. Eguchi, T. Setoguchi, andH. Arai, Solid State Ionics, Vol.40-41, 1990,p.407.

54. T. Kawada, N. Sakai, H. Yokokawa,M. Dokiya, M. Mori, and T. Iwata, SolidState Ionics, Vol.40-41, 1990, p.402.

55. M. Suzuki, H. Sasaki, S. Otoshi,A. Kajimura, and M. Ippommatsu, Solid

Page 184: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Tang, Etsell, and Ivey180

State Ionics, Vol.62, 1993, p.125.56. D.W. Dees, T.D. Claar, T.E. Easler,

D.C. Fee, and F.C. Mrazek, JournalElectrochem. Soc., Vol.134, 1987, p.2141.

57. S. Murakami, Y. Akiyama, N. Ishida,Y. Miyake, M. Nishida, Y. Itoh, T. Saito,and N. Furukawa, Denki Kagaku, Vol.59,1991, p.320.

58. T. Setogichi, K. Okamoto, K. Eguchi, andH. Arai, Journal Electrochem. Soc.,Vol.139, 1992, p.2875.

59. N.M. Sammes, M.S. Brown andR. Ratnaraj, Journal Mat. Sci. Letters,Vol.13, 1994, p.1124.

60. A.O. Isenberg, US Patent, 4,582,766, April15, 1986.

61. A.O. Isenberg, US Patent, 4,597,170 (July1, 1986).

62. Z. Ogumi, T. Ioroi, Y. Uchimoto, Z.Takehara, T. Ogawa and K. Toyama, J. Am.Ceram. Soc., Vol.78, 1995, p.593.

63. U.B. Pal and S.C. Singhal, JournalElectrochem. Soc., Vol.137, 1990, p.2937.

64. M.F. Carolan and J.N. Michaels, Solid StateIonics, Vol.37, 1990, p.189.

65. M.F. Carolan and J.N. Michaels, Solid StateIonics, Vol.37, 1990, p.197.

66. S.C. Singhal, Proc. Second Internat. Symp.on SOFC, Athens, Greece, F. Grosz, P.Zegers, S.C. Singhal, and O. Yamamoto,eds., Commission of the EuropeanCommunities, Luxembourg, 1991, p.25.

67. S. Sridhar and U.B. Pal, PowderTechnology, Vol.88, 1996, p.173.

68. R.E. Jensen, US Patent 4, 971, 830 1990.69. T.E. Easler, B.K. Flandermeyer, T.D. Claar,

D.E. Busch, R.J. Fousek, J.J. Picciolo, andR.B. Poppel, Abstracts of the 1986 Fuel CellSeminar, Tucson, AZ, 1986, p.72.

70. S. Murakami, N. Akiyama, N. Ishida,T. Yasuo, T. Saito, and N. Furukawa,Proceedings of Second Internat. Symp. onSOFC, Athens, Greece, F. Grosz, P. Zegers,S.C. Singhal, and O. Yamamoto, eds.,Commision of European Communities,Luxembourg, 1991, p.561.

71. J. Weissbart and R. Ruka, Fuel Cells,G.J. Young, ed., Reinhold, New York, Vol.2,1963, p.37.

72. D. W. Dees, U. Balachandran, S.E. Dorris,J.J. Heiberger, C.C. McPheeters, andJ.J. Picciolo, Proc. Symp. on Fuel Cells,R.E. White and A.J. Appleby, eds., TheElectrochemical Society, Pennington, NJ,1989, p.130.

73. A.O. Isenberg, Abstracts of the 1982 FuelCell Seminar, Newport Beach, CA., 1982,p.154.

74. T. Kawada, N. Sakai, H. Yokokawa,M. Dokiya, M. Mori, and T. Iwata, SolidState Ionics, Vol.40-41, 1990, p.402.

75. M. Doklya, N. Sakai, T. Kawada, andH. Yokokawa, Proceedings of 1989Intersociety Energy Conversion EngineeringConference, Vol.3, 1989, p.1547.

76. Y. Balachandran, S.E. Dorris, J.J. Picciola,R.B. Poeppel, C.C. McPheeters, andN.Q. Minh, Proceedings of 1989 IntersocietyEnergy Conversion Engineering Conference,Vol.3, 1989, p.1553.

77. K. Eguchi, T. Setoguchi, H. Itoh, andH. Arai, Extended Abstracts of 40th Meetingof the International Society ofElectrochemistry, Kyoto, Japan, 1989, p.362.

78. L.G.J. de Haart, Y.S. Lin, K.J. de Vries,and A.J. Burggraaf, Journal Eur. Ceram.Soc., Vol.8, 1991, p.59.

79. J.P. Dekker, V.E.J. van Dieten, andJ. Schoonman, Solid State Ionics, Vol.511992, p.143.

80. E.Z. Tang, D.G. Ivey, and T.H. Etsell,Micron, Vol.29, 1998, p.251.

81. K.J. Vetter, Electrochemical Kinetics,Theoretical and Experimental Aspects,Academic Press, New York, 1967.

82. C.G. Vayenas, S. Bebelis, I.V. Yentekakis,and H.G. Lintz, Catalysis Today, Vol.11,1992, p.303.

83. S. Bebelis and C.G. Vayenas, JournalCatal., Vol.118, 1989, p.125.

84. S. Neophytides and C.G. Vayenas, JournalCatal., Vol.118, 1989, p.147.

85. C.G. Vayenas and S. Neophytides, JournalCatal., Vol.127, 1991, p.645.

86. W.A. Caspari, Z. Physik. Chem., Vol.30,1899, p.89.

87. G. Hotzel and W. Weppner, Solid StateIonics, Vol.18-19, 1980, p.1223.

Page 185: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Polarized Electrochemical Vapor Deposition 181

88. T. Erdey-Gruz and M. Volmer, Z. Physik.Chem. Vol.150A, 1930, p.203.

89. J.O’M. Bockris and A.K.N. Reddy,Modern Electrochemistry, Plenum Press,New York, Vol.2, 1970.

90. I.N. Stranski, Z. Physik. Chem., Vol.1361928, p.259.

91. C.G. Vayenas, S. Bebelis, and S. Ladas,Nature, London, Vol.343, 1990, p.625.

92. S. Ladas, S. Bebelis, and C.G. Vayenas,Surf. Sci., Vol.251-252, 1991, p.1062.

93. D.Y. Wang and A.S. Nowick, JournalElectrochem. Soc., Vol.126, 1979,p.1155.

94. D.Y. Wang and A.S. Nowick, JournalElectrochem. Soc., Vol.128, 1981, p.55.

95. G. Couturier, J. Salardenne, C. Sribi, andM. Rosso, Solid State Ionics, Vol.9-10,1983, p.699.

96. C.G. Vayenas, S. Bebelis, andS. Neophytides, Journal Phys. Chem.,Vol.92, 1988, p.5083.

97. N.L. Robertson and J.N. Michaels,Journal Electrochem. Soc., Vol.137,1990, p.129.

98. D.Y. Wang and A.S. Nowick, JournalElectrochem. Soc., Vol.126, 1979,p.1166.

99. A.J. Bard and L.R. Faulkner,Electrochemical Methods: Fundamentalsand Applications, Wiley, New York,1980.

100. B. Beden, F. Kadirgan, C. Lamy, and

J.M. Leger, Journal Electroanal. Chem.,Vol.142, 1982, p.171.

101. A. Papoutsis, J. M. Leger, and C. Lamy,Journal Electroanal. Chem., Vol.234,1987, p.315.

102. E. Lamy-Pitara, L. Lghouzouani, Y.Tainon, and J. Barbier, JournalElectroanal. Chem., Vol.260, 1989,p.157.

103. C.G. Vayenas, A. Ioannides, and S.Bebelis, Journal Catal., Vol.129, 1991,p.67.

104. P. Fabry and M. Kleitz, JournalElectrochem. Soc., Vol.126, 1979,p.2183.

105. L.J. Olmer, J.C. Viguie, and E.J.L.Schouler, Solid State Ionics, Vol.7, 1982,p.23.

106. E.J.L. Schouler and M. Kleitz, JournalElectrochem. Soc., Vol.134, 1987,p.1045.

107. A. Sevick, Collect. Czech. Chem.Commun., Vol.13, 1948, p.349.

108. J.E.B. Randles, Trans. Faraday Soc.,Vol.44, 1948, p.327.

109. P. Delahay, New Instrumental Methodsin Electrochemistry, Interscience, NewYork, 1954.

110. S. Srinivasan and E. Gileadi,Electrochim. Acta, Vol.11, 1966, p.321.

111. Y. Jiang, A. Kaloyannis, and C.G.Vayenas, Electrochim. Acta, Vol.38,1993, p.2533.

Page 186: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

183

Chapter 6

Chemical Vapor Infiltration:Optimization of Processing Conditions

Stewart K. Griffiths and Robert H. NilsonSandia National LaboratoriesLivermore, California 94551-0969

Abstract

A combined analytical and numerical method isemployed to optimize process conditions forcomposites fiber coating by chemical vapor infiltration(CVI). For a first-order deposition reaction, theoptimum pressure yielding the maximum depositionrate at a preform center is obtained in closed form andis found to depend only on the activation energy ofthe deposition reaction, the characteristic pore size,and properties of the reactant and product gases. Itdoes not depend on the preform specific surface area,effective diffusivity or preform thickness, nor on thegas-phase yield of the deposition reaction. Further,this optimum pressure is unaltered by the additionalconstraint of prescribed deposition uniformity.Optimum temperatures are obtained using an analyticalexpression for the optimum value along with numerical

solutions to the governing transport equations. Thesesolutions account for both diffusive and advectivetransport, as well as both ordinary and Knudsendiffusion. Sample calculations are presented for coatingpreform fibers with boron nitride.

Introduction

Chemical vapor infiltration (CVI) is widelyused in advanced composites manufacturing todeposit carbon, silicon carbide, boron nitrideand other refractory materials within porous fiberpreforms.1-3 Because vapor phase reactants aredeposited on solid fiber surfaces, CVI is clearlya special case of chemical vapor deposition(CVD). The distinguishing feature of CVI is thatreactant gases are intended to infiltrate apermeable medium, in part at least, prior to

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p183-203 DOI:10.1361/chvd2001p183

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 187: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Griffiths and Nilson184

deposition. Of course deposition also occurs,and is often greatest, on external surfaces wherereactant concentrations are greatest.

CVI processes, employing a variety ofchemistries, are usually performed in large batchfurnaces at reduced pressures and elevatedtemperatures. In-furnace times typically range froma few hours to even weeks, resulting in costsranging from $100 to over $1000 per kg for high-temperature high-performance composites.4 Evenunder ideal conditions the processing time forthese materials represents a significant fraction ofthe finished product cost, so optimizing processesconditions is important. In addition to the obviousbenefit of lower product costs, lower prices areimportant to increasing the commercialapplications for these materials, now largelylimited to military and aerospace uses.

The most common application of CVI incomposites manufacturing is in densifying thepreform. In this use, reactant gases are employedto deposit solids within a fiber preform with theintent of filling all or most of the inter-fibervoid. These solids bind the fibers together andform the continuous matrix of the composite. Asecond common use of CVI is in coating thepreform fibers prior to densification. Thesecoatings serve as high- temperature oxidationinhibitors and as debonding agents to permitlimited motion between the fibers and thecomposite matrix. Such motion is desirable as itimproves the mechanical properties of acomposite.

In either densification or fiber coating, it isgenerally desirable to minimize processing timesubject to constraints on the required coatingthickness or degree of densification. In fibercoating processes it is sometimes sufficient thatthe coating thickness be everywhere greaterthan a prescribed minimum. However, it isusually desirable that the coating thickness ordensification be relatively uniform through thepart thickness. This ensures uniformity ofmechanical properties and is often required toproduce high strength. Uniformity isparticularly critical in densification processesintended to fill most of the open porosity,because excess surface deposition may seal theexternal surface before the center reaches therequired minimum density.

These conflicting goals of minimizingprocessing time while maintaining depositionuniformity can only be met by careful selectionof processing conditions. For example, anincrease in temperature will increase depositionrates and reduce process time, but only at theexpense of reduced uniformity. This is becausehigher deposition rates cause greater depletionof reactant gases diffusing toward the preformcenter. As a result, reactant concentrations anddeposition rates at the center will be smaller thanthose at the surface. This non-uniformity may bereduced by reducing the operating pressure, asthis tends to lower reaction rates while notdiminishing the diffusive mass flux. However,this pressure reduction strategy becomescounter-productive when the mean free pathfalls below the characteristic microscaledimension, because diffusion fluxes then fallwith decreasing pressure. Thus, temperature,pressure, and preform microstructure are allimportant in determining the relative rates ofspecies transport and chemical reaction.

In addition to process time and uniformity,other factors must be considered in selectingoptimal conditions. As in any CVD process, aspecific temperature range will usually berequired to obtain a desired morphology of thedeposited material. Specific process conditionsmay also be required either to induce or toinhibit certain gas-phase reactions, such as thoseinvolved in the production of necessarydeposition precursors or those leading toundesirable gas-phase nucleation of particles.Here, we will present an optimization approachthat can be used to maximize deposition ratessubject to any of these constraints.

Mathematical modeling of the CVI processhas been largely focused on the densificationproblem.5-8 This is a difficult problem because thepreform void fraction is altered significantly bythe deposition process. The evolvingmicrostructure strongly affects reactant transportthrough the preform, and so must be addressedin any meaningful analysis of densification.Because of this difficulty, most analyses ofdensification have employed numericalmethods. Here we focus attention on the simplerproblem of fiber coating. In this case, themicrostructure may be considered invariant

Page 188: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Infiltration: Optimization of Processing Conditions 185

through the deposition process, so long as thecoating thickness is a small fraction of theoriginal fiber size. Under this restriction, it ispossible to derive analytical results that providephysical insights and scaling relationships noteasily derived from numerical calculations.

The remainder of this article is devoted tomathematical modeling of the CVI fiber coatingprocess. We begin by presenting the governingequations for one-dimensional transport andchemical reaction of a typical reactant or productspecies. The dimensionless parameters thatcontrol deposition uniformity are then derived,and the role of each is illustrated by presentingsample solutions to the governing equations.We then combine analytical and numericalmethods to maximize the centerline depositionrate for CVI fiber coating processes involving afirst-order deposition reaction. Two generalexpressions for the optimum pressure andoptimum temperature are first derived usinganalytical methods. The optimum pressure isthen obtained in closed form, while theoptimum temperature is determined with theaid of numerical solutions to the governingdiffusion and reaction equations.

These numerical solutions account foradvective transport of the reactant gases, as wellas both ordinary and Knudsen diffusion. Finally,we consider the influence on the optimumpressure of a constraint on the depositionuniformity. In this case, the optimum pressuremaximizing centerline deposition rates isobtained in closed form using the method ofLagrange multipliers.

The results of this analysis are presentedin a dimensionless form readily applicable to arange of preform thicknesses, fiber diameters,fiber volume fractions, and depositionchemistries. To illustrate the application of theseresults to a practical problem, the optimumprocess conditions are determined for a sampleproblem in which a boron nitride coating isdeposited from boron trichloride and ammonia.

Governing Equations

To calculate centerline deposition rates, weconsider the region within a preform, as shown

in Figure 1. Diffusive and advective transportin the volume between fibers and theaccompanying reactant depletion due todeposition on fiber surfaces are described bycontinuity equations for each gas-phase species,along with momentum and energy equationsfor the gas mixture. Here we consider one-dimensional transport in which speciesconcentrations vary only with the transverseposition through the preform thickness. Underthis idealization, conservation of mass for asingle reactive species may be written as

( ) υ=ρ−⎟⎠⎞⎜

⎝⎛ρ sSuf

dx

d

dx

df'DD

dx

d(1)

where x is the distance from the preform center,ρ is the gas molar density, f is the reactivespecies mole fraction, D is the effectivecoefficient of binary diffusion for the reactivespecies, D' is the dimensionless effectivediffusivity of the porous preform, and u is thelocal molar-average fluid speed. The preformspecific surface area, sυ is the fiber surface areaper unit volume, and S is the surface depositionrate.

Again assuming one-dimensional transport,continuity for the combined reactive and inertspecies can be expressed as

( ) υψ=ρ sSudx

d (2)

where the parameter ψ is the net molar yield ofgaseous products per mole of reactant; that is,the molar ratio of gaseous products lessreactants to reactants. By this definition, valuesof the parameter are limited to ψ ≥ -1 becausewe are considering here only one reactivespecies. The limiting value of ψ = -1 correspondsto a simple single-species deposition reactionyielding solids but no gas-phase products.

If the deposition process is a first-orderreaction having Arrhenius temperaturedependence, the surface reaction rate, S, can beexpressed as the product of the surfaceimpingement rate and a reaction probability, φ.In terms of the gas molar density and reactantmole fraction this is

RT/Eabewheref4

S −=φφρυ= (3)

Page 189: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Griffiths and Nilson186

The mean molecular speed, υ–, of the reactivespecies is given by

2/1

m

RT8⎟⎠⎞⎜

⎝⎛

π=υ (4)

where R is the ideal gas constant, T is the gastemperature, and m is the reactive speciesmolecular weight. The parameters b and E

a are

the surface reaction pre-exponential constantand the apparent activation energy,respectively.

The coefficient of diffusion in Eq.1 mustaccount for both ordinary and Knudsendiffusion. At high gas densities, collisions ofthe reactive species with gas molecules are muchmore common than are collisions with fibersurfaces. In this limit, the diffusive flux of the

reactive species is governed by ordinary diffusion.At sufficiently low pressures or sufficiently hightemperatures, however, the mean free path of thereactive species becomes large relative to the sizeof the inter-fiber pores. In this limit, collisions ofthe reactive species with fiber surfaces arepredominant, and the diffusive flux is controlledby Knudsen diffusion. To account for both of theseconditions, the overall effective coefficient ofbinary diffusion for the reactive species can beapproximated by the Bonsanquet interpolationformula,9

Knm D

1

D

1

D

1 += (5)

where Dm denotes the effective binary

coefficient of ordinary diffusion for the reactivespecies in the gas mixture, and D

Kn denotes the

fa

fc

ρuf

dx

df'DDρ

Fig. 1: Schematic cross-section of a porous fiber preform. Reactants diffuse from the preformsurfaces toward the center, and are depleted by deposition on fiber surfaces.

a x

Page 190: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Infiltration: Optimization of Processing Conditions 187

coefficient of Knudsen diffusion for the reactivespecies in the inter-fiber volume. Based on simplekinetic theory, Eq.5 may be rewritten as10

Kn1

Kn

3

d

d

d

3D

α+αυ=

αλ+αλυ= (6)

where υ– is again the mean molecular speed ofthe reactive species, and Kn = λ/d is the Knudsennumber based on properties of the reactivespecies and the inter-fiber pore size. Theeffective mean free path for the reactive speciesalone is given by

pN2

RT2σπ

=λ (7)

where p is the total pressure, N is the Avogadronumber, and σ is the molecular diameter of thereactive species.

The parameter α in Eq. 6 is the ratio of theeffective binary coefficient of ordinarydiffusion for the reactive species and the mixtureof other void gases to the coefficient of ordinaryself diffusion for the reactive species. As shownin the Appendix, this parameter depends onlyon the composition of the gas mixture and isindependent of both the pressure andtemperature for ideal gases. Thus for fixed gascomposition, the parameter α is constant.

The continuity equations 1 and 2 must beaccompanied by momentum and energyequations. In this analysis, we supplant theenergy equations with an assumption that allgas species are at a uniform and constanttemperature, T. Likewise, the momentumequation is replaced with an assumption thatthe pressure is uniform over the preformthickness.

The governing transport equations areclosed using an ideal gas equation of state,p = ρRT. Because both the pressure andtemperature are uniform and constant, thisrelation requires that the gas molar densitywithin the preform is also uniform and constant.

To complete the mathematical statementof the transport and deposition problem, bound-ary conditions must be specified for the reactivespecies fraction and fluid speed. The second-order equation governing the reactive speciesrequires two conditions. One is imposed by

symmetry, requiring that the gradient of thereactive species vanish at the origin. For thesecond we assume that the reactive species molefraction is fixed at the preform surface.

0xat0dx

df ==

axatff a == (8a,b)

In additon, the first-order equationgoverning the fluid speed requires a singleboundary condition. Again this is obtained froma symmetry condition at the preform center,u = 0 at x = 0 (9)requiring no flow into or out of the plane ofsymmetry.

To normalize the governing equations, weintroduce a dimensionless position, z = x/a, andtwo dimensionless dependent variables, f * = f /f

a

and u* = ua/DD'. Note that the normalizedvelocity u* is equivalent to a local Pecletnumber, indicating the relative magnitudes ofthe advective and diffusive fluxes of the reactivespecies. Applying these definitions to thetransport equations yields the dimensionlessgoverning equations

( )***

fudz

d

dz

df

dz

d +−⎟⎠⎞⎜

⎝⎛

**

***

*f

dz

dffu

dz

df

f

D

D

1 β=⎟⎠⎞⎜

⎝⎛ −

∂∂

(10)

for the reactive species, and

***

*

**f

dz

df

f

D

D

u

dz

du βψ=∂∂+ (11)

for total species conservation. The constant βon the right of these equations is

RT/Es a2

2

eKn

Kn1

4

3

f'DD

aS −υ ξα

α+=ρ

=β (12)

and the new parameters appearing here are thenormalized preform thickness,

'dD

abs2

2υ=ξ (13)

and the normalized reaction yield, ψ* = ψfa.

Boundary conditions for the normalized

Page 191: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Griffiths and Nilson188

variables follow from Eqs. 8 and 9 in theobvious manner.

The usual interpretation of the parameterβ, referred to here as the deposition modulus, isthat it is the square of the ratio of thecharacteristic time for diffusion to thecharacteristic time for surface deposition. In thisview it is equivalent to the square of the Thielemodulus commonly appearing in analyses ofporous-bed catalysis. Another usefulinterpretation of this parameter is that it is theratio of two rates - the rate of deposition on thepreform fiber surfaces, Ssνa, to the maximumrate of diffusive transport, ρDD'f

a/a. Thus when

β is small, the actual rate of diffusive transportwill be less than this maximum, and the meangradient of the reactant fraction will be smallerthan the maximum value of f

a/a. Under any of

these interpretations, small values of β areassociated with high uniformity of both thereactant fraction and coating thickness.

One additional relation can be obtainedfrom Eqs.1 and 2 by integrating each equationonce and combining the two results. This yields

dz

df

f1u

*

**

**

ψ+ψ= (14)

giving the normalized fluid speed in terms ofthe normalized reactant mole fraction and itsderivative alone. This relation applieseverywhere and so is useful in separating thecoupled reactive species and total conservationequations. Substituting Eq.14 into either Eq.10or 11 to eliminate u* gives

*

** dz

df

f1

1

dz

d +⎟⎟⎠

⎞⎜⎜⎝

⎛ψ+

*

*

2*

** ff

D

D

1

dz

df

f1

1 β=∂∂

⎟⎟⎠

⎞⎜⎜⎝

⎛ψ+ (15)

This species equation can now be solvedwithout explicit knowledge of the local fluidspeed. Fluid speeds can be computed after thefact from Eq. 14.

Equation 15 further provides useful insightinto the relation between advective anddiffusive fluxes of the reactive species. In

dimensional form the advective flux at anyposition is ρuf , while the magnitude of thediffusive flux is ρDD' df/dx. The local ratio ofadvective to diffusive fluxes is therefore given by

*

*

**

**

*

**

1f1

f

dz/df

fu

dx/df'DD

uf

ψ+ψ≈

ψ+ψ==

ρρ

(16)

where the approximate equality on the right isdue to the fact that f * ≈ 1 when the depositionrate is fairly uniform over the preform thickness.Taking into account the signs for each flux, wesee that the total flux of the reactive speciesdiffers from the diffusive flux by a factor of1/(1 + ψ*f *). This is also apparent in Eq.15,where this term serves as an apparent diffusivityin what otherwise appears as a simple diffusion-reaction equation. When ψ* is positive, the totalflux of the reactive species is therefore reducedby the flow of gas toward the preform surface.When ψ* is negative, the total flux is increasedby flow toward the preform center. Note that thetotal flux is quite sensitive to the depositionchemistry- For a net production of only onemole of gas per mole of reactant, ψ = 1, theadvective transport reduces the net flux ofreactive species toward the preform center byup to a factor of two. As such, this advectiveinhibition of diffusion in the inter-fiber volumemay significantly reduce deposition uniformitywhen the reactant fraction is large.

The derivative of the diffusivity on theright of Eq. 15 is the order of the diffusivitywith respect to the reactant fraction. From Eq. 6it is given by

** f

1

Kn1

1

f

D

D

1∂∂α

αα+=

∂∂

(17)

However, under a rather broad range ofconditions its value is near zero. For a simplebinary mixture of the reactant and a singlediluent gas, ∂α/∂ƒ* is exactly zero becausebinary diffusivities of low-pressure gases do notdepend on species concentrations. Further,effective binary diffusivity of the reactant anda gas mixture also shows no dependence on thereactant fraction provided that the compositionof the mixture does not vary with the reactantfraction. This of course is the case whenever thereactant fraction is small.

Page 192: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Infiltration: Optimization of Processing Conditions 189

Under the simplifying assumption that thediffusivity is independent of the reactantfraction, the governing conservation Eq. 15may be written as

**

** fdzdf

f11

dzd β=⎟⎟⎠

⎞⎜⎜⎝

⎛ψ+ (18)

In the limit ψ* → 0, Eqn. 18 possesses the wellknown solution11

( )( )β

β=

cosh

zcosh*f (19)

No such closed-form solution exists for the moregeneral case ψ* ≠ 0. The general form ofEq. 18 can be solved for small values of thedeposition modulus, β, though as we will seelater, such solutions are not applicable to theproblem of interest.12 Fortunately, very accuratenumerical solutions to the boundary valueproblem posed by Eqs. 8 and 18 are readilyobtained using a numerical shooting technique.

Normalization of Variables

To solve generally for the optimumconditions and to plot resulting deposition rates,it is useful to rewrite the pressure, temperature,density and deposition rate in terms ofnormalized variables. For this purpose, thenormalized values are defined as p* = p/p

R,

T* = T/TR, and ρ* = ρ/ρ

R. Similarly, the

normalized deposition rate and molecular speedare S* = S/S

R and, υ–* = υ–/υ–

R. The reference

temperature, density and speed for a first-orderdeposition reaction are taken as

21

2R m

RT8,dN2

,R

ET R

Ra

R ⎟⎠⎞⎜

⎝⎛

π=υ

σπα=ρ=

(20)

We additionally require that the referencedensity, pressure and temperature satisfy theideal gas equation of state, p

R = ρ

RRT

R..

The normalized density and normalizedmolecular speed follow directly from thereference values,

**

*

** Tand

Kn

1

T

p =υα

==ρ (21a,b)

Now taking SR = b ρ

Rυ–

R f

a/4 as the reference

deposition rate, the normalized deposition ratemay be written as

*T/1*T/1

efT

pefS *

*

***** −− =υρ= (22a,b)

A second useful normalization of thedeposition rate is the simple modification ofS* given by S** = ξ2S*. Note that the normalizedcenterline deposition rate can be obtained fromEqs.22a, b simply by taking f * = f

c* where f

c* is

the normalized reactant fraction at z = 0. Thepressure, temperature and all other variables inthese expressions are uniform over the preformthickness.

Finally, Eq.12 may be rewritten to obtainan expression for the deposition modulus thatdepends only on the normalized pressure,temperature and preform thickness.

*T/12*

**

eT

Tp

4

3 −ξ+=β (23)

Thus, because, β and ψ* are the only parametersin Eqs. 10 and 11, the normalized depositionrate at the preform center is uniquely determinedby the normalized pressure, temperature,preform thickness and reaction yield.

Discussion of DepositionRates

The deposition modulus at low temperaturesis small, and the profile of the reactantconcentration through the preform thickness isvery uniform. In this case, the deposition rate atthe center is nearly as large as that at the preformsurface. With increasing temperature, thedeposition modulus increases and the reactantconcentration at the preform center falls. In thiscase, the centerline deposition rate becomessmall relative to that at the surface. Thisbehavior is illustrated in Figure 2. Here we seethat the normalized centerline reactant fractionfalls monotonically with increasing values ofthe deposition modulus. The centerline reactantfraction does not exhibit any sort of maximum,as is well known, and the deposition uniformity,U = f

c*, falls smoothly as the deposition

modulus is increased.

Page 193: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Griffiths and Nilson190

Although uniformity always falls withincreasing values of the deposition modulus,this observation provides no insight into howthe magnitude of the centerline deposition ratevaries as the temperature and depositionmodulus are increased. Low temperaturesnecessarily correspond to small deposition rates.As such, the deposition rate on the centerline iscomparable to that at the surface when thetemperature is low and the deposition modulusis small, but the rates everywhere within thepreform, including the preform center, are small.In contrast, when the temperature is high andthe deposition modulus is large, the depositionrate at the preform surface is large, but reactantdepletion through the preform thickness issevere. In this case, the deposition rate at the

preform center is very small compared to that atthe surface, and the centerline deposition rateis again small. Between these extremes oftemperature lies the maximum centerlinedeposition rate.

Figure 3 shows sample calculations of thenormalized deposition rate through the preformthickness. We see that the deposition rate at thepreform surface increases monotonically withincreasing values of the deposition modulus.At the preform center, however, the depositionrate increases only up to a value of β ≈ 4. At stilllarger values the centerline rate begins to fall,gradually approaching zero as β → ∞. Thus forthe conditions shown, the centerline depositionrate exhibits a maximum when the depositionmodulus is about β ≈ 4.

1.0

0.8

0.6

0.4

0.2

0.00.0 0.2 0.4 0.6 0.8 1.0

Normalized Position - z

Nor

mal

ized

Rea

ctan

t Fra

ctio

n - f

*

ψ* = 0

β = 1

2

3

5

1020

Fig. 2: Distribution of normalized reactant fraction through the preform thickness. Reactantfractions depend only on the dimensionless reaction yield, ψ *, and the deposition modulus, β.

Page 194: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Infiltration: Optimization of Processing Conditions 191

The conditions giving rise to the maximumcenterline deposition rate do not yield themaximum surface rate, nor do they yield themaximum mean deposition rate. This is shownin Figure 4, where the centerline, surface andmean normalized deposition rates are shown asa function of the normalized temperature. Herewe see that both the surface and mean ratesincrease smoothly with increasing temperatureand that only the centerline rate exhibits amaximum. For the conditions shown, thismaximum occurs at T* ≈ 0.0491, correspondingto β ≈ 4.453 and a deposition uniformity ofU = f

C* ≈ 0.239.

Maximum CenterlineDeposition Rate

To identify optimum conditions for the CVIfiber coating process, we seek to maximize thedeposition rate at the preform center. Thismaximum deposition rate is defined by therequirement that the variation in rate withrespect to both the pressure and temperature iszero. Because the pressure, temperature anddeposition rate are all normalized only byconstants, these conditions apply equally to thedimensional and normalized derivatives. The

0.0 0.2 0.4 0.6 0.8 1.0

2.5

2.0

1.5

1.0

0.5

0.0

Normalized Position - z

Nor

mal

ized

Dep

ositi

on R

ate

- S**

ξ = 104 ψ* = 0

p* = 2

β = 20

10

5

3

2

1

Fig. 3: Normalized deposition rate through preform thickness. Maximum centerline depositionrate occurs at a specific value of the deposition modulus, β; its value is β ≈ 4.453 for theconditions shown.

Page 195: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Griffiths and Nilson192

Fig. 4: Surface, mean and centerline deposition rates. For a given pressure, the maximumcenterline deposition rate occurs at a specific temperature and corresponding value ofthe deposition modulus.

conditions for the maximum in terms of thenormalized variables are

0T

Sand0

p

S*

*

*

*

=∂∂=

∂∂

(24a,b)

where both derivatives of the deposition rateare evaluated at z = 0. Now using Eq. 22b for thenormalized deposition rate, Eq. 24a may bewritten as

0p

1f

fp1

p

S

S

1*

*

***

*

*c

c

=∂

β∂ββ∂

∂β+=∂∂

(25)

where again f *c, is the normalized reactant

fraction at the preform center. Similarly, Eq. 24bmay be written as

0T

1f

f2

1

T

1

T

1

T

S

S

1*

*

****

*

*

c

c

=∂β∂

ββ∂

∂β+⎟⎠⎞⎜

⎝⎛ −=

∂∂

(26)

The derivatives of the deposition modulus withrespect to pressure and temperature can now beobtained from Eq. 23. They are

*** Tp1

p

1

+=

∂β∂

β (27)

and

***

*

** T

1

Tp

p

T

1

T

1⎟⎟⎠

⎞⎜⎜⎝

+−=

∂β∂

β (28)

Combining these results with Eqs. 25 and 26then yields

1.0

0.8

0.6

0.4

0.2

0.0

0.040 0.045 0.050 0.055 0.060

Surface

Mean

U

ξ = 104

ψ* = 0

p* = 2

Normalized Temperature - T*

Nor

mal

ized

Dep

ositi

on R

ate

- S**

Center

Page 196: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Infiltration: Optimization of Processing Conditions 193

β∂∂β−=+

*c

*c

*

* f

fp

T1 (29)

for Eq. 24a, and

⎟⎟⎠

⎞⎜⎜⎝

⎛+

−β∂

∂β−=−**

*

*

*c

*c

* Tp

p

T

1f

f2

1

T

1 (30)

for Eq. 24b. This pair,of equations, along with asolution to the boundary value problem toobtain the derivative ∂f

c*/∂β, can now be solved

to obtain the optimum pressure and optimumtemperature yielding the maximum centerlinedeposition rate.

We would generally expect that Eqs. 29and 30 must be solved together to obtain theoptimum pressure and temperature as a pair.Surprisingly, this is not the case here.Combining these to eliminate the derivativeon the right of each yields

⎟⎟⎠

⎞⎜⎜⎝

⎛+

−⎟⎟⎠

⎞⎜⎜⎝

⎛+=−

**

*

**

*

* Tp

p

T

1

p

T1

2

1

T

1(31)

which can be rearranged to eliminate thenormalized temperature and give the simpleresultp* = 2 (32)for the optimum pressure alone. Using thedefinition of the normalized pressure, thedimensional optimum pressure is

2a

R dN

E2p2p

σπα== (33)

This is a remarkable result in that the optimumpressure does not depend on the processtemperature, the preform specific surface area,or the preform thickness. It depends only on theactivation energy for the deposition reaction,the composition of the gas mixture, speciesproperties of the mixture gases, and the inter-fiber pore size.

Using this result for the optimum pressure,the optimum temperature can now be obtainedfrom Eq. 29 as

β∂∂β−=+

*c

*c

* f

f2

T1 (34)

Given ∂fc*/∂β as a function of T* from

numerical solutions to Eq.18, this expressioncan be solved for the optimum normalizedtemperature.

For the special case of ψ* → 0, againcorresponding to no net reaction yield or to avery small reactant fraction, Eq.19 yields

ββ−=β∂

∂βtanh

2

1f

f

*c

*c

(35)

giving an optimum temperature specified by

ββ=+ tanhT2 * (36)

Under the further restriction that ξ → ∞,corresponding to T* → 0, the last term on theleft of Eq. 36 may be neglected. This special caseyields β ≈ 4.2656 and a corresponding centerlinereactant fraction of ƒ*

C, ≈ 0.2495. The optimum

temperature can then be obtained by using theoptimum pressure, p* = 2, in Eq. 23. This gives

22

* 6875.5

3

4e1

T

2 T/1

* ξ≈

ξβ=⎟

⎠⎞⎜

⎝⎛ + −

(37a,b)

This equation can be solved easily by successivesubstitution. Using a guessed value of thetemperature in the first factor on the left ofEq.37a, the exponential term is inverted to obtainan improved value for the guess. When repeated,this procedure converges in just a few cycles forall conditions of practical importance. Forξ = 104, the temperature obtained from Eq. 37b isT* = 0.04898. The maximum centerline depositionrate can be computed from Eqs.19 and 22b oncethe pressure and temperature have been obtained.

When T* is not small, Eqs. 36 and 37a mustbe solved together as an implicitly coupledsystem. Similarly, when ψ* is not negligible, Eqs.34 and 37a must be solved together, along withan appropriate solution of Eq. 18 to provide ∂f

c*/

∂β and fc*.

Optimum Conditions

Sample calculations of the optimum pressureand optimum temperature and the maximumcenterline deposition rate are shown inFigure 5 as a function of the normalized preformthickness, ξ. These results are all for the specialcase of negligible reaction yield, ψ* = 0. As noted

Page 197: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Griffiths and Nilson194

above, the optimum pressure is independent ofξ and is given by p* = 2. The most surprisingfeature of this figure is that the depositionmodulus and deposition uniformity at theoptimum conditions vary by only about 15%as the normalized preform thickness varies byeight orders of magnitude. In essence, theoptimum conditions yielding maximumcenterline deposition rate occur when thecenterline reactant fraction is f

c*, = U ≈ 0.25,

regardless of the preform thickness. This resultprovides a very simple practical method ofdetermining the optimum conditions. Inaddition, because the optimum depositionmodulus is nearly constant at β ≈ 4.3, Eq. 12provides a simple means of adjusting the process

temperature to maintain the optimum value asthe preform thickness is varied.

Despite these weak variations in theoptimum process conditions, the maximumnormalized deposition rate varies inversely withthe square of the preform thickness. The reasonfor this is that the normalized temperature issmall over the range of conditions shown. Inthis limit of T* → 0, Eqs. 22b and 23 can becombined to express the normalized depositionrate in terms of the normalized preformthickness: S* ≈ 4√T* βf

c*/3ξ2. Thus the maximum

deposition rate differs by less than a factor ofthree from a squared dependence on the preformthickness as the thickness varies from102 to 1010.

102

101

100

10-1

10-2

10-3

102 103 104 105 106 107 108 109 1010

Normalized Thickness - ξ

Nor

mal

ized

Opt

imum

Con

ditio

ns

ψ* = 0 p* = 2

β

S**

U

T*

Kn

Fig. 5: Normalized optimum conditions as a function of normalized preform thickness. Optimumdeposition uniformity is insensitive to preform thickness, but maximum deposition ratesfall as S ∝ S**/ξ2.

Page 198: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Infiltration: Optimization of Processing Conditions 195

The results presented so far have been forthe special case of no normalized reaction yield,ψ* = 0. In general this parameter will not besmall unless the ambient reactant fraction isnegligible, f

a << 1. To examine the effects of

non-zero reactant yields, we have computed theoptimum temperature, deposition modulus,deposition rate, and centerline reactant fractionfor a wide range of ψ*. Note that the optimumpressure does not depend on ψ*, and so is stillgiven by p* = 2. These results are shown inFigure 6. By the noted additional normalizationof the temperature and deposition rate, thissingle Figure gives the optimum conditions forall practical values of both the normalizedreaction yield and normalized preformthickness.

We see in Figure 6 that the optimumdeposition modulus, uniformity at the optimum,and the maximum deposition rate all decreasesignificantly with increasing values of thenormalized reactant yield. The reason for this isthat positive values of the reaction yieldcorrespond to a net production of gas in thedeposition reaction and a corresponding flowaway from the center toward the preform surface.This outward flow impedes the inward diffusionof the reactive species, and so gives rise to greaternonuniformity, lower reactant fractions at thepreform center, and correspondingly lowercenterline deposition rates. This increasedresistance to diffusion therefore requires areduced temperature to maximize the centerlinedeposition rate. Note that although the normalized

102

101

100

10-1

10-2

10-3

-1 0 1 2 3 4 5Normalized Reaction Yield - ψ*

Nor

mal

ized

Opt

imum

Con

ditio

ns

ξ = 100

102

105

1010 p* = 2

U

S** (In ξ2)1/2

T* In ξ2

β

Fig. 6: Normalized optimum conditions as a function of normalized reaction yield. Larger reactionyields require slightly lower temperatures and give significantly reduced maximumdeposition rates.

Page 199: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Griffiths and Nilson196

temperature appears nearly constant over thisrange of ψ*, the very small variation present givesrise to a large effect on the optimum depositionmodulus and the maximum centerlinedeposition rate. For this reason, the value of T *

is most accurately determined by solvingEq.37a using the value of β read from Figure 6.

Character of MaximumDeposition Rate

Although Eqs. 32 and 34 define optimumconditions giving the maximum deposition rateat the preform center, they provide no insightinto the character of this maximum. To gainthis insight, we need to examine the variationof the deposition rate in the vicinity of the

optimum conditions. This is depicted inFigure 7. Here the normalized deposition rateis shown as a function of the normalizedpressure, for fixed values of the normalizedpreform thickness. At each pressure along eachcurve, the corresponding temperature andnormalized deposition rate are computed from Eqs.30 and 22b. Using this approach, the centerlinedeposition rate is maximized with respect to thetemperature, even though the pressure is notnecessarily optimum.

We see in Figure 7 that the deposition rateexhibits a weak one-sided maximum; at allpressures above the optimum value, thedeposition rate is nearly constant. The reasonfor this lies in the tradeoff between the linearpressure dependence of the deposition and thenonlinear pressure dependence of the

102

101

100

10-1

10-2

10-3

10-4

10-5

10-6

10-6 10-4 10-2 100 102 104

Normalized Pressure - p*

ξ = 100

102

105

1010ψ* = 0

T*

S**

β

Fig. 7: Normalized deposition rates near the optimum pressure. Deposition rates exhibit aweak one-sided maximum with the deposition rate falling slowly at pressures above theoptimum.

Nor

mal

ized

Con

ditio

ns

Page 200: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Infiltration: Optimization of Processing Conditions 197

diffusivity. Combining Eqs. 25 and 26, andsetting ∂S*/∂T* = 0, Eq.25 may be rewritten as

( )( ) ***

**

*

*

*

*

T2T1p2

p2T

p

S

S

p

+−−=

∂∂

(38)

At very low pressures Knudsen diffusion isdominant, corresponding to αKn = αλ/d= T*/p* >> 1. In this limit, Eq. 38 yields a linearrelation between the pressure and deposition rate.

0pas1p

S

S

p **

*

*

*

→→∂∂

(39)

Similarly, at high pressures ordinary diffusion isdominant, corresponding to T*/p* << 1. In thislimit, the deposition rate gradually falls to aconstant

( ) ∞→−

−→∂∂ *

*

*

*

*

*

*

pasT12

T

p

S

S

p (40)

as the pressure increases without bound.

Optimum Pressure at FixedUniformity

The conditions yielding the unconstrainedmaximum centerline deposition rate give adeposition uniformity of only about 25%. Whilethis may well be acceptable for some fibercoating processes, there are likely applicationsfor which it is not. We now consider the problemof maximizing the centerline deposition rate,subject to an additional constraint that thedeposition uniformity satisfies some minimumrequirement. Assuming that the requireduniformity is better than that obtained in theunconstrained case, the constrained maximumcenterline deposition rate should occur whenthe uniformity constraint is just marginallysatisfied. This permits replacing the inequalityconstraint of a minimum uniformity by anequality constraint that is satisfied exactly.

The constrained maximum deposition rateis defined by the requirement that the variationin rate with respect to all independent variablesis zero along the direction of constantuniformity. To obtain this maximum we employthe method of Lagrange multipliers.13 Thistechnique introduces one new unknown

constant for each constraint and yields oneadditional equation for each independentvariable. The two new equations are

0T

U

T

Sand0

p

U

p

S**

*

**

*

=∂∂κ−

∂∂=

∂∂κ−

∂∂

(41)

where κ, the Lagrange multiplier, is the newunknown constant. Together with the originalconstraint on the deposition uniformity, this pairof equations can be solved for the optimumpressure and temperature yielding the constrainedmaximum centerline deposition rate.

Rearranging Eqs. 41a and 41b to eliminateκ. yields

**

*

**

*

p

U

T

S

T

U

p

S

∂∂

∂∂=

∂∂

∂∂

(42)

By the definition U = S*c/S* = f

c*, the derivatives

of the uniformity with respect to pressure andtemperature may be written as

*

*c

*c

* p

1f

fp

U

U

1∂

β∂ββ∂

∂β=∂∂

(43)

and

*

*c

*c

* T

1f

fT

U

U

1∂β∂

ββ∂∂β=

∂∂

(44)

Now using these results along with thedefinitions given previously in the left ofEqs. 25 and 26, Eq. 42 above can be expressed as

***

*

* p

1

2

1

T

1

T

p

T

1

∂β∂

β⎟⎠⎞⎜

⎝⎛ −=

∂β∂

β (45)

Finally, using the definitions of Eqs. 27 and 28for the derivatives of the deposition modulus,Eq.44 becomes

⎟⎟⎠

⎞⎜⎜⎝

⎛+

−⎟⎟⎠

⎞⎜⎜⎝

⎛+=−

**

*

**

*

* Tp

p

T

1

p

T1

2

1

T

1 (46)

This is exactly the same as Eq.31 obtainedpreviously, and again this can be rearranged togive

2a*

dN

E2por2p

σπα== (47)

Thus, we find that the optimum pressuremaximizing the centerline deposition rate for a

Page 201: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Griffiths and Nilson198

specified uniformity is the same as that obtainedin the unconstrained problem. The optimumtemperature in this case can now be obtaineddirectly from the prescribed depositionuniformity and a numerical solution to Eq. 18.

Optimization Strategies

The preceding results suggest a strategyfor optimizing process conditions in coatingprocesses: first, the pressure is fixed at theoptimum value; the temperature is then varieduntil the desired uniformity is obtained, keepingin mind that the maximum possible centerlinedeposition rate will occur at a uniformity ofabout 25%. Using this strategy, the time-consuming process of empirically varying boththe pressure and temperature to produce at onceboth the desired uniformity and maximumdeposition rate can be avoided.

This result also suggests a possible strategyfor minimizing in-furnace times for the morecomplex densification process. As describedearlier, preform transport properties varysignificantly during densification, and thismight have a strong influence on the optimumtime dependent pressure and temperaturehistories. This influence appears, however, tobe limited to effects on the temperature. Theoptimum pressure does not depend on transportproper- ties, but instead depends only on thepreform effective pore diameter, and porediameters fall by at most about an order ofmagnitude during most densification processes.We also know from Figure 7 that depositionrates are relatively insensitive to pressure in thevicinity of the optimum value. Thus a goodstrategy for densification might be to fix thepressure somewhat above the optimum valuebased on the initial pore size and to hold thisconstant during the process. The pressure wouldthen be a bit high early in the process, but wouldbe a bit low toward the end. At this fixedpressure, the temperature history alone can thenbe varied to obtain the desired final uniformityand final degree of densification. This strategyshould yield mean deposition rates comparableto those of a full optimization of the pressure

history, but again avoids simultaneous variationof both pressure and temperature. Decouplingthe problem in this way should greatly reducethe experimental or computational effortneeded to obtain an optimum process cycle.

The recoummended optimum pressures forthese coating and densification strategies arein good agreement with previous numericalresults. Ofori and Sotirchos determined theoptimum pressure and temperature to densify apreform to some specified extent in theminimum time, subject to a constraint on thedeposition uniformity.14 That analysisconsidered the deposition of silicon carbidefrom a mixture of hydrogen and methyl-trichlorosilane (MTS) under isobaric, isothermalconditions. Here we compare their results withthe optimum pressure given by Eq.47. Therequired molecular properties of the two gasesare m

l = 149 g/mol, m

2 = 2 g/mol,

σ1 = 5.87 Å, and σ

2 = 2.83 Å, where the subscript

1 refers to MTS and 2 refers to hydrogen.15 ByEq.A5 of the Appendix, these values give adiffusivity ratio of α = 11.2 for all species molefractions. The remaining required parameters areN = 6.02 × 1023 molecules/mol and E

a = 120 kJ/

mol.14 These values yield p = 59 kPA ford = 50 μm. For d = 5 μm and d = 0.5 μm, Eq. 47gives p = 590 kPa and p = 5.9 MPa.

The optimum pressures read fromFigures 1, 5, 7, and 9 of the Ofori and Sotirchospaper range from about 40 to 90 kPa for d = 50μm, from about 400 to 900 kPa for d = 5 μm, andfrom about 4 to 9 MPa for d = 0.5 μm. Althoughit is difficult to pick these optima veryaccurately from the Figures, these ranges ofvalues are in excellent agreement with thevalues obtained from Eq. 47. Aside from thisgood quantitative agreement, we note that theiroptimum pressures consistently exhibit theinverse dependence on pore size given byEq. 47. Their Figures 1, 5, 7, 8, 13, and 15further suggest that the optimum pressure fordensification, even under a uniformityconstraint, does not depend on transportproperties of the preform, the pre-exponentialdeposition rate constant, or the specifieddeposition uniformity. This again is inagreement with Eq. 47.

Page 202: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Infiltration: Optimization of Processing Conditions 199

Sample Calculations

To illustrate the application of this analysis,we consider the sample problem of depositinga thin coating of boron nitride on fibers withina preform using boron trichloride and ammonia.The preform half-thickness is a = 5 mm, fiberdiameter is d

f = 10 μm, and the porosity is ∈ = 0.6.

From simple geometry, the preform specificsurface area is given by sν = 4(1-∈) / d

f = 1.6 ×

10-5 m-1. Likewise, the effective pore diameteris given by d = 4∈/sν

= 15 μm.16 For simplicitywe assume that the fibers are arranged in aregular hexagonal array. Effective thermalconductivities, equivalent to the effectivediffusivity, have been computed for this geometry.The result at a fiber porosity of 0.6 is D' = 0.43.17

Boron trichloride and ammonia react rapidlyin the gas phase to form C1

2BNH

2 and HCI.18

For arbitrary flow rates of these two gases, thisgas-phase reaction can be described by

BC13 + G NH

3 → 4 HCl + C1

2BNH

2 + (G - 1) NH

3 (48)

where G is the ratio of the volumetric flow rateof ammonia to that of the boron trichloride. TheC1

2BNH

2 species is then the deposition

precursor for the surface reaction given by

C12BNH

2 → BN(s) + 2 HCl (49)

Form these two reactions the reactant fractionis given by f

a = 1 / (G + 1) provided that the

reactant flow rates are sufficiently large thatproduct gases do not significantly accumulateinside the furnace. Under this restriction, thereactant fraction is f

a = 0.33 for a relative flow

rate of G = 2. From the surface reaction we seethat two moles of gas-phase product areproduced for each mole of reactant, givingψ = 1 and a normalized reactant yield ofψ* = ψ f

a = 0.33. The parameters E

a and b for the

surface reaction probability are approximately147 kJ/mol and 446, respectively.19

The diffusivity ratio, α, is computed usingkinetic theory,

21

j1

2

1

j1n

2jj

1 mm

mj2

2x

x1

11⎟⎟⎠

⎞⎜⎜⎝

⎛+⎟⎟⎠

⎞⎜⎜⎝

⎛σ

σ+σ−

=α ∑

=(50)

where the mole fractions of Cl2BNH

2, NH

3 and

HCl are xl = x

2 = x

3 = 0.33, and the

corresponding species molecular weights are98, 17, and 36 g/mol. The molecular diametersare 4.7, 2.6 and 3.3 Å, respectively.15 Thesevalues yield α = 2.3. A more accurate estimateof the diffusivity ratio could be computed using,for example, the more complete Chapman-Enskogtheory for the transport properties of gases.

Using these basic parameters, thenormalized preform thickness can be computedfrom Eq.13 as ξ = 1.66 × 104. The referencepressure and temperature given by Eq.20 arep

R = 38 kPa (290 torr) and T

R = 17680 K, yielding

a reference deposition rate of SR=5.39 × 104

mol/m2s. Now from Figure 6, or from the solutionof Eqs.18, 29, and 30, the optimum conditionsare given by p* = 2 and T* ≈ 0.0465,corresponding to a dimensional optimumpressure of 77 kPa (580 torr) and optimumtemperature of 549°C. The deposition modulusat these conditions is β ≈ 4.18, the associateduniformity is U ≈ f

c/f

a = 0.216, and the normalized

centerline deposition rate is S** ≈ 0.253. Thiscorresponds to a dimensional deposition rateof S = S

RS**/ξ2 = 1.74 × 10-5 mol/m2s. At a BN

solid density of 2.0 g/cm3 and molecular weightof 24.8 g/mol, this gives a centerline depositionrate of 0.78 μm/hr.

Note that even the small normalizedreaction yield of our sample problemsignificantly affects the optimum conditionsand maximum deposition rate. If we takeψ* = 0, but leave all other problem parametersunchanged, the resulting optimum temperatureincreases to 551°C and the maximum centerlinedeposition rate increases to 0.92 μm/hr. Thus anormalized reaction yield of onlyψ* = 0.33 has reduced the maximum depositionrate by over 15%.

As illustrated in Figure 7, the depositionrate is insensitive to pressure over a wide rangeof values near the optimum. In our sampleproblem, reducing the pressure an order ofmagnitude to 7.7 kPa (58 torr) still gives amaximum centerline deposition rate of 0.67 μm/hr at an optimum temperature of 642°C. Againreducing the pressure to 770 Pa (5.8 torr) yieldsa maximum deposition rate of 0.23 μm/hr at atemperature of 704°C. Thus a pressure two ordersof magnitude below the optimum value onlyreduces the maximum deposition rate by about

Page 203: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Griffiths and Nilson200

a factor of three in this sample problem. However,reducing the pressure just one more decade, to77 Pa (580 mtorr), yields a maximum centerlinedeposition rate of just 0.030 μm/hr at anoptimum temperature of 717°C. At this pressurethe deposition rate is falling about linearly withthe pressure and will continue this behavior asthe pressure is further reduced.

Over the pressure range from about 0.8 to80 kPa (6 to 600 torr), the maximum centerlinedeposition rate increases by about a factor ofthree while the optimum temperature decreasesfrom 642 to 549°C. This insensitivity to pressuremay permit placing additional constraints onthe process conditions without seriouslyreducing deposition rates. For example, themorphology of deposited BN may depend onthe process temperature. If higher temperaturesyield the desired morphology, then lowerpressures may be preferred. Likewise, the gas-phase reaction of boron trichloride andammonia is known to precipitate solidammonium chloride if pressures are sufficientlyhigh. In this case, the total pressure may need tobe reduced below the optimum value. Byreducing the pressure and slightly increasingthe temperature, precipitation can be avoided,again without significantly reducing thedeposition rate.

Summary

To help optimize CVI process conditions,we have derived analytical expressionsdescribing the pressure and temperature givingthe maximum centerline deposition rate for afirst-order deposition reaction involving asingle reactive species. These analyticalexpressions account for both diffusive andadvective transport and both ordinary andKnudsen diffusion. The resulting optimumconditions are a function of only twodimensionless parameters: the normalizedpreform thickness; and the normalized reactionyield indicating the relative importance ofadvective transport away ftom the preformcenter due to the evolution of product gasesfrom the deposition reaction. Although theseresults also have use in optimizing preform

densification, the analysis employed here isstrictly applicable only to fiber coatingprocesses wherein the coating thickness remainssmall compared to the original fiber diameter.

The optimum pressure is obtained in closedform. Its value is proportional to the activationenergy of the deposition reaction and inverselyproportional to the characteristic preform poresize. Surprisingly, the optimum pressure doesnot depend on the preform thickness, specificsurface area or effective diffusivity, nor does itdepend on the reaction yield.

Optimum temperatures are obtained fromthe analytical expressions describing theoptimum conditions, along with the derivativeof the centerline reactant fraction with respectto the deposition modulus. Using an analyticalsolution to provide the derivative of thecenterline reactant fraction, a closed-formimplicit expression for the optimumtemperature is obtained for the special case ofno normalized reaction yield. For the moregeneral case, this derivative is computed fromnumerical solutions to the equations governingtransport and deposition. Optimumtemperatures are presented graphically for a verywide range of the normalized preform thicknessand normalized reaction yield.

As we would expect, the optimumtemperature and maximum centerlinedeposition rate depend strongly on the preformthickness. Surprisingly, however, the depositionmodulus and deposition uniformity at theoptimum conditions are nearly independent ofthe thickness for a given normalized reactionyield. This provides a simple means ofidentifying optimum conditions for preformsof varying thickness once the optimum is knownfor a single case. The optimum temperature fora new thickness is obtained when the depositionuniformity is unaltered, as determinedexperimentally or by using a simple algebraicrelation to give the same deposition modulusfor the new preform thickness.

The optimum conditions yield a weak one-sided maximum of the centerline depositionrate. The deposition rate falls linearly atpressures well below the optimum value, butremains nearly constant at all values above. Thereason for this behavior lies in the tradeoff

Page 204: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Infiltration: Optimization of Processing Conditions 201

between the linear pressure dependence of thedeposition reaction and the nonlinear pressuredependence of the diffusivity in the transitionbetween the ordinary and Knudsen regimes. Atvery low pressures, Knudsen numbers are large,Knudsen diffusion is dominant, and thecenterline deposition rate at the optimumtemperature increases linearly with increasingpressure. At very high pressures, however,Knudsen numbers are small, ordinary diffusionis dominant, and the maximum centerline ratefalls weakly as the pressure becomes infinite.

Conditions yielding the maximumcenterline deposition rate give a depositionuniformity of only about 25%. That is, thedeposition rate at the preform surface is roughlyfour times that at its center. Because this isprobably not acceptable for some coatingapplications, we have also examined theinfluence on the optimum conditions of aconstraint on the deposition uniformity. Usingthe method of Lagrange multipliers, we findthat the optimum pressure in this constrainedmaximization of the centerline deposition rateis the same as that obtained in the unconstrainedcase. This surprising result suggests that a goodstrategy for optimizing both fiber coating anddensification processes is to fix the pressure atthe optimum value, and then vary only thetemperature or temperature history to obtain thedesired final state of the preform. Therecommended optimum pressure, obtained hereanalytically, is in good agreement with thevalues obtained in a previous numericalanalysis of the optimum conditions for preformdensification when the deposition uniformityis constrained.

Although the discussions presented herefocus on fibrous preforms, all of these resultsare equally applicable to particulate materials.

Nomenclature

a Preform Thickness

b Deposition Reaction Pre-ExponentialConstant

d Inter-Fiber Pore Size

D Effective Binary Diffusivity

D' Effective Diffusivity of Porous Preform

Dm

Effective Ordinary Binary Diffusivity

DKn

Reactant Knudsen Diffusivity (Dkn

= ν d/3)

Ea

Deposition Reaction Activation Energy

f Reactive Species Mole Fraction

Kn Knudsen Number (Kn = λ/d)

m Reactive Species Molecular Weight

N Avogadro Number

p Total Gas Pressure

R Ideal Gas Constant

S Surface Deposition Rate

T Temperature

u Fluid Speed

U Deposition Uniformity (U = fc/f

a = f

c*)

υ– Reactant Mean Molecular Speed

x Transverse Position

z Normalized Transverse Position (z = x/a)

α Ratio of Diffusivities

β Deposition Modulus

λ Reactant Mean Free Path

ξ Normalized Preform Thickness

ρ Total Molar Density

σ Reactive Species Molecular Diameter

φ Reaction Probability

ψ Net Molar Yield of Deposition Reaction

Subscripts and Superscripts

a At Preform Surface

c At Preform Center

R Reference Value for Normalization

* Asterisk Denotes Normalized Variable

Appendix

The parameter α is the ratio of the effectivebinary coefficient of ordinary diffusion for thereactive. species and the mixture of otherfurnace gases to the coefficient of ordinary self

Page 205: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Griffiths and Nilson202

diffusion for the reactive species. Denoting thecoefficient for the mixture as D

lm and the

coefficient for the reactive species only as D11

,this may be written

11

m1

D

D=α (A1)

The values of the terms on the right of Eq.Al maybe computed by any number of methods, rangingfrom the very simple to very complete. Here weconsider a very simple method based on kinetictheory and the rigid sphere approximation.

The effective binary coefficient of ordinarydiffusion for the reactive species in a gas mixturemay be expressed as.10

∑=

=− n

2j j1Djx

m1D1x1

(A2)

where xj are the mole fractions of each species,

and Dlj is the binary coefficient of ordinary

diffusion for the reactive species paired withspecies j. In this case the subscript j = 1 refers tothe species of interest, while j = 2 and aboverefer to all other species in the mixture.Combining Eqs. A1 and A2 yields an expressionfor α in term of the binary coefficients ofdiffusion only.

j1D11Dn

2j jx1x1

11∑=−

=α (A3)

Now assuming the hard sphere molecularbehavior, the ratio D

11/D

1j may be written as

21

jm1mjm2

2

12j1

j1D11D

⎟⎟⎟

⎜⎜⎜

+⎟⎟

⎞⎜⎜

σ

σ+σ= (A4)

where σj and m

j are the atomic diameter and

molecular weight of the jth mixture species.Note that neither the pressure nor temperatureappear in this relation because D

11 and D

lj always

share the same functional dependence on both.Finally, combining Eqs. A3 and A4 gives thedesired expression for α.

21

jm1mjm21

2

12j1n

2j jx1x1

11

⎟⎟⎟

⎜⎜⎜

+

⎟⎟

⎞⎜⎜

σ

σ+σ∑=−

=α (A5)

We now see that the rigid sphere molecularmodel gives a value for α that depends only onthe mixture composition and the moleculardiameter and weight of all the mixture species.However, a more rigorous treatment based onChapman-Enskog theory would yield a slightpressure and temperature dependence for theratio D

11/D

1j. The value of α in that case would

also show a weak dependence on the processconditions for a fixed mixture composition.

We should also keep in mind that theprocess conditions may directly influence thecomposition of the furnace gas mixture, so inthis sense the diffusivity ratio α will vary withpressure and temperature due to variations in x

j,

whether or not the ratios Dil/D

lj are constant. In

this case, the present analysis may be combinedwith a simple zero or one-dimensional auxiliarymodel of reactant injection and transport withinthe furnace. Using the specified injection rateand assumed trial values for the optimumpressure and temperature, the results presentedhere can be used with such an auxiliary modelto compute the composition of the furnace gasmixture. From this estimate of the composition,a value for α and new candidate values for theoptimum pressure and temperature can then becalculated. This computational procedure maythen be repeated, each time using the finalestimates of the optimum conditions as initialguesses for the next iteration. This methodshould converge quickly because the value ofα is a fairly weak function of the composition.

Acknowledgment

The authors wish to thank Dr. G.S. Thurstonof Textron Specialty Materials and Dr. CraigShumaker of DuPont Lanxide Composites forsharing with us their broad experience incomposites manufacturing. This work wasfunded in part by the Defense AdvancedResearch Projects Agency (DARPA) HighTemperature Structural Materials Program underthe leadership of Dr. William Barker and in partby the US Department of Energy Office ofIndustrial Technologies, Advanced IndustrialMatgerials Program under the leadership ofDr. Charles Sorrell.

Page 206: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Infiltration: Optimization of Processing Conditions 203

References

1. P. McAllister and E.E. Wolf, Modeling ofChemical Vapor Infiltration of Carbon inPorous Carbon Substrates, Carbon, Vol.29,1991, p.387.

2. B.W. Sheldon and T.M. Besmann, Reactionand Diffusion Kinetics During the InitialStages of Isothermal Chemical VaporInfiltration, Journal of American CeramicSociety, Vol.74, 1991, p.3046.

3. V. Cholet and L. Vandenbulcke, ChemicalVapor Infiltration of Boron NitrideInterphase in Ceramic Fiber Preforms;Discussion of Some Aspects of theFundamentals of the Isothermal ChemicalVapor Infiltration Process, Journal ofAmerican Ceramic Society, Vol.76, 1993,p.2846.

4. G. Savage, Carbon-Carbon Composites,Chapman and Hall, London, 1993, p.372.

5. R.J. Melkote and K.F. Jensen, Gas Diffusionin Random-Fiber Substrates, AmericanInstitute of Chemical Engineers Journal,Vol.35, 1989, p.12.

6. B.W. Sheldon and H.C. Chang, MinimizingDensification Times During the Final Stageof Isothermal Chemical Vapor Deposition,Ceramic Transition, Vol.42, 1994, p.81.

7. S.V. Sotirchos, Dynamic Modeling ofChemical Vapor Infiltration, AmericanInstitute of Chemical Engineers Journal,Vol.37, 1991, p.1365.

8. J.Y. Ofori and S.V. Sotirchos, StructuralModel Effects on the Predictions ofChemical Vapor Infiltration Models,Journal of Electrochemical Society,Vol.143, 1996, p.1962.

9. C. Bonsanquet, and T.A. Report, BR 507,1944. See also W.C. Pollard andR.D. Present, Physics Review, Vol.73, 1948,p.762.

10. R.B. Bird, W.E. Stewart, and E.M. Lightfoot,Transport Phenomena, John Wiley & Sons,

New York, 1960, pp.510 and 571.11. E.W. Thiele, Relation Between Catalytic

Activity and Size of Particle, IndustrialEngineering Chemical, Vol.31, No.7, 1939,p.910.

12. S.K. Griffiths and R.H. Nilson, DepositionUniformity, Particle Nucleation and theOptimum Conditions for Chemical VaporDeposition in Multiwafer Furnaces, Journalof Electrochemical Society, Vol.144, No.4,1997, p.1399.

13. W. Kaplan, Advanced Calculus, Addison-Wesley, Reading, MS, 1973, p.184.

14. J.Y. Ofori and S.V. Sotirchos, OptimalPressures and Temperature for Isobaric,Isothermal Chemical Vapor Infiltration,American Institute of Chemical EngineersJournal, Vol.42, No.10, 1996, p.2828.

15. R.C. Reid, J.M. Prausnitz, andT.K. Sherwood, The Properties of Gasesand Liquids, Third Edition, McGraw-Hill,New York, NY, Mts Properties wereComputed Based on Critical Conditionsof 517 K and 3.28 MPa and an EstimatedAcentric Factor of 0.26, 1977, pp.24 and679.

16. G.L. Vignoles, Modeling Binary, Knudsenand Transition Regime Diffusion InsideComplex Porous Media, Journal of PhysicsColloq. IV, Vol.C5, 1995, p.159.

17. W.T. Perrins, D.R. McKenzie, andR.G. McPhedran, Transport Properties ofRegular Arrays of Cylinders, Proceedingsof Royal Society, Vol.A369, 1979, p.207.

18. M.D. Allendorf and T.H. Osterheld,Chemical Reactions in the CVD of BoronNitride from BC1

3 and NH

3, Proceedings

of 13th International ConferenceChemical Vapor Deposotion, Vol.96, No.5,1996, p.16.

19. N. Patibandla and K.L. Luthra, ChemicalVapor Deposition of Boron Nitride,Journal of Electrochemical Society,Vol.139, No.12, 1996, p.3558.

Page 207: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

205

Chapter 7

Metal-Organic Chemical VaporDeposition of High Dielectric (Ba, Sr)TiO3 Thin Films for Dynamic RandomAccess Memory Applications

Cheol Seong HwangSeoul National University, School of Material Science and EngineeringSan #56-1, Shillim-Dong, Kwanak-kuSeoul 151-742, Korea

Introduction

Since the early suggestion that ferroelectricthin film materials could be the high dielectriclayer in the capacitor of the ultra large scaleintegrated dynamic random access memorydevices (ULSI DRAMS) made by Parker andTasch,1 there has been a great deal of researcheffort to deposit multi-component ferroelectricoxide thin films as well as more recent industrialactivity. The term “ferroelectric” indicates theproperty of certain materials that have remnant

electric polarization in the absence of the electricfield, and the direction of the remnantpolarization can be reversed by the reversal ofthe applied field. Most of the ferroelectric oxidematerials, such as Pb(Zr, Ti)O

3, show much

larger dielectric constants than those of the non-ferroelectric dielectric materials, such as TiO

2,

ZrO2, etc. Therefore, in some literatures the

terms ferroelectric and high dielectric are notclassified. However, in principle, ferroelectricpolarization does not necessarily imply largedielectric constant as in the case of BaTiO

3. In

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p205-241 DOI:10.1361/chvd2001p205

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 208: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang206

the BaTiO3 crystal, the dielectric constant is

about 180 along the c-axis, which has theferroelectric polarization, whereas the valuealong the a-axis is about 4000 at roomtemperature.2 Therefore, in this chapter, theterms ferrociectric and high dielectric havespecific meanings.

Even though some ferroelectric materials,especially the relaxor ferroelectrics, have anextremely large dielectric constant, which is avery desirable property for the dielectric layerof capacitors in ULSI DRAMS, the usually largedielectric loss prevents the materials from beingused in the DRAMS. Furthermore, the quitelarge number of component cations of the relaxorferroelectric materials makes it almostimpossible to deposit thin films using chemicalvapor deposition (CVD) which is believed to bethe method of choice for mass production of thedevices.

There is a different application forferroelectric materials in solid state memorydevices the ferroelectric RAM (FeRAM).However, in this chapter, the FERAM and relatedissues will not be considered because the subjectwould be too diverse.

(Ba,Sr)TiO3 (BST) thin films are the

dielectric material of choice for the cell capacitorof DRAMs having giga-bit densities, such as 4and 16 giga-bit DRAMs, because it offersreasonably large dielectric constant with smalldielectric loss and leakage current.3-6 Althoughcurrent mass production CVD processes in thesemiconductor industry are limited to the simplebinary materialsy such as SiO

2, Si

3N

4 and TiN

etc., there is a strong belief that reliabledeposition of BST thin films containing threecations can be achieved by CVD.5,6 Althoughthe film material properties have been proven tobe adequate as capacitor dielectrics in terms ofthermal and mechanical stability and dielectricproperties, including leakage current, dielectricconstant, loss factor as well as frequency,temperature and voltage dependencies of allthese important parameters,7,8 actual integrationinto DRAM devices has been slow because ofunsolved problems related to the electrodes.Material selection and fabrication processesmust produce extremely small sizes. Anotherobstacle to their use is the lack of reliable, mass-

production compatible processes, especially forthe BST film deposition.

Before the metal-organic CVD (MOCVD)process of the BST film is discussed, the reasonsfor using the CVD process, despite its difficultiesshould be described. The storage node size ofthe capacitors in the current state-of-the-artDRAMs is about 0.15 × 0.35 × 1.0 μm3 withminimum spacing of about 0.15 μm between thenodes when the dielectric layer is a SiO

2/Si

3N

4

bi-layer or Ta2O

5, and the electrode materials are

poly-Si. Here 1 μm is the height of the nodes.Even smaller lateral dimensions are expectedwhen DRAMs use a BST thin film as thecapacitor dielectric layer. Even though the BSTfilms have a much smaller t

ox value, which

ensures a much larger capacitance per unit areacompared to conventional dielectric layers, thethree dimensional shape of the nodes is stillrequired because of a very stringent design rule.There are two typical shapes for a storageelectrode, shown in Figure 1, in which one isthe node type and the other is the concave type.For both cases, we can clearly see that aconf6rmal deposition technique is required forthe dielectric layer as well as the top electrodelayer. It should be remembered that currenttechnology for capacitor fabrication requiresCVD formation of the Si

3N

4 dielectric layer

followed by oxidation of it to form the SiO2/

Si3N

4 bi-layer followed by poly-Si CVD for the

top electrode. For the case of the concavecapacitor structure, a conformal CVD techniquefor the bottom electrode is also required. Themost probable electrode materials for the BSTare noble metals, such as Pt and Ru. Researchefforts for the development of the MOCVDprocesses for these metal layers become moreand more active.9-11 Some experimental resultson the CVD of these metal layers are alsoincluded in this article.

In contrast to the CVD processes forconventional dielectric layers, which haveexcellent precursors having gaseous or liquidform to produce stable and reproducibledeposits, the BST CVD process still does nothave proper precursors. It is well known thatsynthesis of the CVD precursors for alkalineearth elements, such as Ba and Sr, is verydifficult due to their large ionic size and

Page 209: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 207

polarizability.12,13 The inorganic halogenatedcompounds do not show any useful volatilitiesat normal vaporization temperatures, and theirdecomposition requires very high temperatureswhich render these compounds almost uselessfor DRAM processes. Therefore, it seems quitereasonable to start this chapter with a review anddiscussions of precursors which maybe used formass production. A discussion of the deliverytechnique for the precursors is also includedbecause it is very important for reproducibledeposition, and is one of the importantcomponents for a hardware system.

The CVD system components will bedescribed briefly to indicate the specific featuresfor BST CVD compared to conventionaldielectric CVD system. The CVD processes forBST thin films are then dealt with from the viewpoint of mass production compatibility. As withother CVD processes, the depositioncharacteristics of the BST CVD are quitedependent on the kind of precursors used. In thisarticle, experimental results on deposition usingdifferent precursors are described. Somereported results from other groups are alsosummarized in order to reach a generalconclusion.

The electrical characteristics of the filmsshould produce stable operation of DRAMdevices. The most important electrical propertiesare a small t

ox, all dielectric loss and low leakage

current, which should be less than roughly0.5 nm, 0.5% and 1 fA/cell, respectively. Eventhough the long term reliability properties, suchas time dependent dielectric breakdown (TDDB)and time dependent resistance degradation(TDRD), are also important for reliability, theyare not well understood yet. Therefore, someselected electrical properties of CVD BST filmsare described in comparison with sputtered BSTfilms. It is believed that this section will helpthe readers understand the nature of BST CVD,which is one of the most complex CVDprocesses.

As mentioned previously, we includereports and discussions of CVD processes formetallic electrodes and diffusion barrier layers.The diffusion barrier layer is interposed betweenthe electrode and poly-Si plug to preventchemical reaction between them. It becomesquite clear that all these CVD processes shouldbe developed simultaneously because of thestructural complexity of capacitors and processintegration requirements.

We include process integration for thecompleteness of the discussion. We are mindfulof the fact that awareness of the CVD processof the BST films can be attained only when theobjective of the processing is correctlyunderstood. It is believed that a brief descriptionof the process integration is helpful, irrespectiveof whether one is acquainted with DRAM

Fig. 1: Two representative shapes for storage electrodes.

BottomElectrode

BarrierSiO2 Poly

-Si

BottomElectrode

Barrier

TiSiPoly

-Si

Page 210: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang208

processes, to understand the implications of theCVD processes and to anticipate the futuredirection of research.

Precursors for CVD

Precursors for Group IIElements: Ba and Sr

Synthesis of metal-organic precursors forthe group II elements, Ba and Sr, having propervapor pressure (> 100 mTorr) is quite difficultbecause of the bulky and heavy nature of theions. For instance, the Ba2+ ion have a radius of0.135 nm and a weight of 2.28 × 10-22 g/ion,compared the group IV, Ti4+ ion, which has anionic radius of 0.068 nm and a weight of7.95 × 10-23 g/ion. Furthermore, the largepolarizability of the group II ions promotesformation of multinuclear aggregates which haveeven poorer volatility. Only those Ba compoundswith multidentate sterically encumbered anionshave proven useful for CVD applications.

Ba(thd)2 (thd = 2,2,6,6-tetramethyl-3,5-

heptanedionate) and Sr(thd)2 constitute the most

widely used CVD precursors due to their

stability in air and moderate vapor pressures.These compounds are derived from the moresimple β-diketonate compounds, such asacetylacetonates. Figure 2 shows the molecularstructures of Ba(acetylacetonate)

2 and Ba(thd)

2.

It can be understood that the more bulkystructure of the thd ligand screens the metal ionsfrom each other which reduces intermolecularattraction and improves volatility. Thesematerials for white powders at room temperatureand seem to degrade when they are exposed toair for a long time due to reaction with H

2O and

CO2. It has been observed that the vapor

transport efficiencies of these precursors degradewith time when they are heated to temperatureshigher than 200°C to obtain the proper vaporpressure. Purity and water content in theprecursors seem to seriously affect their transportefficiency. Figure 3 shows typical TGA data ofthe Ba(thd)

2 from two different suppliers. It can

be understood that vaporization of the materialrequires rather high temperature, and thebehavior is quite dependent on the synthesisroutes taken by different suppliers. Therefore, itis not simple to select proper commercialprecursors for successful deposition.

Fig. 2: Molecular structures of Ba(acetylacctonate)2 and Ba(thd)

2.

Page 211: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 209

Molecularity of the precursors having thethd ligand in the solid state is polymeric, andthe precursor molecules tend to aggregate evenin the vapor phase during transport. Actually,Ba(thd)

2 is more properly described as Ba

4(thd)

8

in the solid state because of its tendency tosaturate the coordination number of Ba2+ ions.Therefore, sublimation of the Ba(thd)

2 and

Sr(thd)2 usually requires temperatures higher

than about 180°C. From this point of view, therehas been much effort to improve the volatilityof group II precursors by adding an “adduct” tothe Ba(thd)

2 and Sr(thd)

2. The adducts saturate

the coordination number of the metal ions andreduce the tendency to aggregate.

One of the most extensively used adductsis tetraglyme (C

10H

22O

5); the Ba(thd)

2tetraglyme

and Sr(thd)2tetraglyme were commercialized by

ATMI in the USA and have been tested by CVDsystem companies14 and many device makers.15

It appears that the addition of the adductssuccessfully increases the shelf life of theprecursor at room temperature in solution forliquid delivery as well as in the solid state.However, dissociation of the adduct easilyoccurs during sublimation at high temperature,

which cannot be any help in improving therepeatability of film deposition.

B. Vaartstra et al.16 tried to improve adhesionbetween the adduct and the metal ion byadopting the simple or poly-amine adductutilizing multiple metal - nitrogen bondings.Among the various adducts, 1,1,4,7,7-pentamethyidiethylenetriamine (pmdt) wascommercialized as Ba(thd)

2pmdt and

Sr(thd)2pmdt. The multiple bonding between the

metal ion and N ions of the adduct improves thethermal stability of the precursor which resultsin improved transport characteristics when liquiddelivery is used. However, the pmdt adduct alsodissociates in the temperature region between120-150°C at 50 mTorr of pressure which alsomakes Ba(thd)

2pmdt less desirable as a CVD

precursor. Figure 4 shows the molecularstructure of the Ba(thd)

2pmdt molecule.

T. Kimura et al.17 tested a large number ofcandidate materials as adducts. They candrastically reduce the melting point of theSr(thd)

2 and increase the vapor pressure;

examples are triethylenetetramine (trien) ortetraethylenepentamine (tetraen). The decreasein melting point of the precursor indicates that

Wei

ght (

%)

Temperature (°C)

Fig. 3: TGA data for Ba(thd)2 from two different suppliers.

Page 212: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang210

intermolecular aggregation was effectivelyreduced by the saturation of the Sr2+ ion withthe adduct. The melting points of Sr(thd)

2trien

2

and Sr(thd)2tetraen

2 are 43.3 and 74.9°C,

respectively. The result is that transport of theprecursors is quite reproducible even using aconventional bubbling technique. The bubblingof precursors having liquid form does not resultsin surface area reduction which is a direct causeof reduction in vapor pressure with time for solidprecursors. This precursor can be used for60 hours at 130°C without any noticeable vaporpressure drop to deposit SrTiO

3 thin films.

Because of limited success due to addingadducts to the Ba(thd)

2 molecule, a new

precursor having a modified thd ligand wasrecently developed. In Ba(methd)

2tetraglyme,

one of the CH3 group in the thd ligand is

exchanged with the CH2OCH

2CH

2OCH

3 group

which appears to surround the Ba2+ ion moreeffectively than the simple thd ligand does dueto its more bulky structure.18 Schematicmolecular structure of this material is shown in

Figure 5. This material is liquid at roomtemperature and is very viscous, which does notpermit conventional bubbling at temperatureslower than 100°C. It is still required to heat thematerial up to 160°C which makes the adductunstable. Experimental data on the depositionof BST films using this precursor are not yetsufficiently available. However, somepreliminary data show that the decompositioncharacteristics are rather different from those ofBa(thd)

2tetraglyme.16

The more electronegative and bulky F ionsinstead of the CH

3 group in the ligand were

expected to decrease intermolecular forces andincrease volatility of the precursors. A typicalfluorinated precursor of Ba is Ba bis-hexafluoroacetylacetonate (Ba(hfa)

2). L. Wills

and B. Wessels19 used Ba(hfa)2tetraglyme to

deposit BaTiO3 thin films, where they sublirned

the precursor at temperatures ranging from 105to 125°C which is much lower than for Ba(thd)

2.

M. Nyman and S. Desu20 have reported bettervolatility of Pb bis-heptafluorodimethy-

Fig. 4: Molecular structure of Ba(thd)2pmdt.

Page 213: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 211

loctadione Pb(fod)2) compared to Pb(thd)

2

although these are not Ba precursors. Figure 6shows the molecular structures ofBa(hfa)

2tetraglyme and Pb(fod)

2. Even though

fluorinated precursors show better sublimationperformance than the compounds having the thdligand, the formation of a thermally stablefluoride, such as BaF

2, discourage their use as

precursors.21 However, the author’s researchgroup recently found that the incorporation of asmall amount of F into the BST film improvesthe electrical performance of the thin filmcapacitors.22 This issue will be discussed furtherin section 6.

Another strategy to improve transportefficiency of the Ba(thd)

2 and process

reproducibility is to use NH3, which is a simple

amine adduct, as a carrier gas for the precursor.This idea was suggested by A. Baron in 1990for the MOCVD of high T

c, superconducting

oxide films containing Ba ions.23 J. S. Lee et al.recently reported in - situ mass spectroscopicanalysis results of the MOCVD of SrTiO

3 thin

films using the NH3 carrier gas for the Sr(thd)

2

precursor instead of the conventional Ar.24 Theyobserved that the concentration of Sr in thereaction chamber was maintained constantduring the 100 min deposition time, whereaswhen using Ar carrier gas, it decreases with time.The better electrical performance of filmsdeposited using the NH

3 carrier gas is due to

uniform and reproducible transport of theprecursor materials. It is believed that duringsaturation of the NH

3 gas with the precursor

addition of adduct (NH3) to the precursor

molecule would happen to a certain extent andimprove the transport efficiency of the precursor.Therefore, use of NH

3 as the carrier gas for

precursors having thd ligands should be moreextensively studied.

Precursors for group IVElements: Ti

Contrary to the group 11 elements, we havemany CVD precursors for Ti. The inorganic

Fig. 5: Molecular structure of Ba(methd)2tetraglyme.

Page 214: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang212

TiCl4

25 has been extensively used for thedeposition of TiN thin films as the top electrodefor Ta

2O

5 dielectric capacitors as well as for

barriers layers in Al or Cu metalization becauseit has sufficient vapor pressure and is non-viscous liquid at room temperature. However,thermal decomposition of this precursor andelimination of Cl contamination in the filmusually requires high deposition temperatures(> 600°C). As discussed later, the BST CVDprocess requires a low deposition temperature,less than roughly 450°C, due to issues of processintegration and conformal deposition whichrender the, TiCl

4 useless for this case. We need

a metal-organic precursor.There are several simple alkoxides of Ti

having sufficient volatility.26 The mostextensively used alkoxide precursor isTi-isopropoxide (Ti(i-OC

3H

7)

4) due to its high

vapor pressure with good thermal stability. NECgroup27 in Japan has used this precursor incombination with Ba(thd)

2 and Sr(thd)

2 for the

deposition of BST films using an electroncyclotron resonance (ECR) MOCVD. However,it is highly probable that a ligand exchangereaction occurs between the precursors havingthe thd and isopropoxy ligands, respectively, due

to the different affinity of the ligands for themetal ions. The chemical reaction can result inmacro-molecules which act as nuclei forhomogeneous reaction in the gas phase.Therefore, a new Ti precursor which has a moresimilar chemical structure to that of the Ba andSr precursors is required.

Ti(thd)2(i-O-P

r)

2, where P

r = C

3H

7, has proven

to be more useful to use than simpleTi(i-OC

3H

7)

4 in combination with Ba and Sr

precursors28. However, even with the modifiedligand structure of Ti(thd)

2(i-O-P

r)

2, incorporation

of the Ti ions into BST films as a function oftemperature is still quite different from those ofthe Ba(thd)

2 and Sr(thd)

2 which makes the cationic

composition of the BST films very dependent onthe deposition temperature.29 This phenomenonwill be explained in detail in section 4.

Mitsubishi researchers reported thatconformal deposition of the BST film on apatterned wafer is improved when TiO(thd)

2 is

used instead of the Ti(thd)2(i-O-P

r)

230. It was

believed that the smaller sticking coefficient ofthe TiO(thd)

2 compared to that of the Ti(thd)

2

(i-O-Pr)

2 improves the conforinality of the

depositions.30 They also reported a furtherimprovement in conformal deposition by

Fig. 6: Molecular structures of Ba(hfa)2tetraglyme and Pb(fod)

2.

Page 215: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 213

utilizing Ti(thd)2(t-O-Bu)

2 instead of

TiO(thd)2

31. When BST films are deposited at450°C with this Ti precursor, about 80% stepcoverage was obtained on the trench pattern ofwidth : depth ratio of 1 : 3. The stickingcoefficient of. the Ti(thd)

2(t-O-Bu)

2 was

calculated to be only 1/5 that of the TiO(thd)2.

Recently, one more Ti precursor has beenintroduced, Ti(MPD)(thd)

2 (MPD = methyl-

pentanedioxide), but its effects on the BST filmdeposition are not yet well known. It is generallyunderstood that the optimum Ti precursor musthave chemical compatibility with the Ba and Srprecursors, such as non-interaction in solutionor in the gas phase and similar decompositioncharacteristics to the Ba and Sr precursors, inaddition to volatility. Most of the Ti precursorsdiscussed above have much better volatilities andthermal stabilities than those of the precursorsof the group II elements but are still lackingappropriate chemical compatibility with them.It is known that the thermal decomposition ofTi(thd)

2(i-O-P

r)

2 is quite different from that of

the Ba(thd)2 and Sr(thd)

2 from the increasing Ti

concentration in the BST film with increasingtemperatures.29 It is believed that the thermaldecomposition of Ti(thd)

2(i-O-P

r)

2 requires more

activation energy compared with Ba(thd)2 and

Sr(thd)2 due perhaps to the rather strong Ti-O

bonds in the precursor. To overcome thisproblem, a new chemical containing weakerTi-N bonding was developed having the codename of BST-NT132 the structure of which isshown in Figure 7. However, as discussed in thecomparison of conformality of films usingTi(thd)

2(i-O-P

r)

2 and TiO(thd)

2, the easy thermal

decomposition may possibly degradeconformality of the deposited thin films on apatterned surface.

Hardware Systems

Delivery Techniques forPrecursors

Conventional Technique and BubblingEven though the simplest way of delivering

the solid or liquid precursors is sublimating theprecursors by heating to a proper temperatureand carrying the vapor with a carrier gas. thistechnique, called bubbling, does not work withprecursors for the MOCVD of BST films. Asmentioned previously, the oligomerization of the

Fig. 7: Molecular structure of BST-NT1.

Page 216: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang214

precursors at the bubbling temperature,especially for group II elements, rapidly dropsthe vapor pressure of the precursors, even whenthey have adducts, so that the deposition processbecomes very unreproducible. For solidprecursors in powder form, including Ba and Srprecursors, the decreasing surface area withvolatilization decreases the transport efficiencyof the material even if oligomerization iseffectively suppressed. Liquid precursors do nothave this problem. Thus synthesis of precursorshaving liquid form is highly desirable if the onlyallowed option for delivery is bubbling.Unfortunately, it seems that with precursors forBST thin fihns, degradation in the vapor pressurewith time by oligomerization is so serious thatmass production is not possible with thistechnique. We need a different way of deliveringthe precursors which does not degrade thevolatility of the precursors, such as a liquid-delivery technique. Moreover, liquid precursorsusually have higher vapor pressure than solidprecursors. Thus, the synthesis of the liquidprecursors is still desirable even with the liquiddelivery technique.

Liquid Delivery TechniqueThe liquid delivery technique presently

appears to be the only option that we have formass production. This technique is based on theconcept of the point of use of the required

amount of the precursors. In this technique,precursors are usually dissolved in the propersolvents to make a “liquid source” when onlysolid precursors are available and to decreasethe viscosity of liquid precursors. A small flux,usually a few tenths ml/min, of the solution isextracted by a micro-pump or liquid mass flowcontroller (LMFC) from the source reservoirwhich is stored at room temperature so that theoligomerization reaction is minimized. Thesmall flux is flash vaporized in the “vaporizer”when the liquid contacts the hot surfaces of metalsponge or disks which are contained in thevaporizer. Then the vaporized precursors,accompanied by the vapor of the solvent, aredirected into the CVD reactor by the carrier gasas in the case of conventional bubbling.Figure 8 shows a generalized diagram of theliquid delivery system.

There are many precautions for successfulimplementation of the liquid delivery techniquefor the MOCVD of BST films. First of all, theremust be a proper solvent that can dissolveenough of the precursors. In addition, the boilingpoint of the solvent should be as close as possibleto that of the precursors. Otherwise, theconcentration of precursors in the source liquidwould be increased during the transport whichmakes the performance of the vaporizer unstable.Mitsubishi28,30,31 have exclusively usedtetrahydrofuran (THF; C

4H

8O) as the solvent for

Fig. 8: Generalized schematic diagram of a liquid delivery system.

Page 217: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 215

Ba(thd)2 and Sr(thd)

2 as well as TiO(thd)

2. THF

is an appropriate solvent because it not onlydissolves a reasonable amount of the precursors(0.1 mol/L) but also appears to act as an adductto the Ba and Sr precursors. However, the boilingpoint (65-66°C) of THF is much lower than thatof the precursors. Another solvent is n-butylacetate supplied by ATMI. Samsung29 usedsolutions of Ba(thd)

2tetraglyme, Sr(thd)

2

tetraglyme and Ti(thd)2(i-O-P

r)

2 dissolved in n-

butylacetate with a concentration of 0.15 mol/Lfor Ba and Sr precursors and 0.4 mol/L for theTi precursor. The n-butylacetate has a boilingpoint of 124-126°C.

Fortunately, most of the β-diketonateprecursors have quite good solubilities in avariety of solvents which opens many newcombinations of precursors and solvents forstable delivery. Indeed many new combinationsare under test.

The most critical factor determining overallperformance of the liquid delivery system is thedesign and performance of the vaporizer. Thedesign concept of the vaporizer is that the hotsurface area of the material that contacts thesource should be as large as possible for effectivevolatilization with the effective conduction ofheat from the heat source to the hot surface tomaintain temperature as constant as possible.Needless to say the hot surface material shouldbe chemically inert to the source chemicals atthe vaporization temperature. Unfortunately,these two factors are not mutually compatible,with the result that many hardware companiesdesign their own vaporizers using differentdesign concepts. The use of metal sponge as thecontact material, for example ATMI’s LDS300B, is very effective in increasing the surfacearea, but the heat transfer from the heating jacketwhich surrounds the vaporizer is not so efficientdue to the many small pores in the metal sponge.If the heat transfer is not efficient, a smallvariation in the flow of the cool liquid or flowof the flushing solvents might produce variationin the temperature of the vaporizing unitresulting in degradation of performance. Thedegradation in overall performance of thevaporizer is usually caused by clogging of thevaporizing unit which results from incompletevaporization of the precursors, selective

vaporization of the solvents and possibleoligomerization in the vaporizer. To alleviate thisissue, some manufacturers advise to flush thevaporizing unit using the solvents between eachrun. However, flushing induces a temporaryvariation of the vaporizer temperature, and theperformance is even further degraded when heattransfer is not efficient. Therefore, still specialcare and many trials are required to establishoptimum operation conditions of the liquiddelivery system. For the precursors of BST thinfilms the vaporizer temperature is usually setbetween 240 and 250°C.

When the vaporizer function is not perfect,we can reduce the risk of catastrophic failure ofthe system by monitoring in-situ the status ofthe vaporizer. One method is to monitor thechange of pressure inside the vaporizer withrunning time. When vaporizer clogging starts,the pressure increases abruptly, indicating thatthe vaporizer needs service. By gathering andinspecting data on the variation of the pressurewith running time, the user can understand theexperimental parameters that affect the vaporizerperformance.

Control of liquid flow does not seem to bea problem. There are two versions of liquid flowcontrol; one is to use a micro-pump or doublecylinder to pressurize the liquid and the other isto use a liquid mass flow controller. In eithercase, the control of liquid flow seems to beaccurate enough for CVD. One designprecaution is to minimize the volume of theliquid lines, otherwise changing the sourcecomposition takes a long time with a large wasteof expensive precursors.

Up to now, the precursor solutions for Ba,Sr, and Ti are usually contained in separatereservoirs to permit variation in the input ratioof the cationic elements. However, for mass-production it is much more desirable to use the“cocktail” source which contains all threeprecursors in one reservoir for more reproducibledeposition. Use of the cocktail source is notproper for research because changing thecationic composition is not easy. Furthermore,the cationic composition of BST films is not onlydependent on the input precursor ratio but alsoon the deposition temperature, as discussed below.Therefore, use of a cocktail source is possible only

Page 218: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang216

when all the experimental parameters are fixed foroptimum deposition conditions.

Solid Delivery TechniqueAnother design to deliver precursors

having powdery form is the solid deliverytechnique. Solid delivery is described asfollows. Quartz glass tubing having a thin slitopening filled with the powder precursormixture is provided with a steep temperaturegradient heating zone, usually using a halogenlamp, and cooling zone, using cooling water,resulting in the steady vaporization of theprecursors. A schematic diagram of thisdelivery system is shown in Figure 9. Z. Luused this technique to grow epitaxial LiNbO

3

thin films.33 J. C. Shin et. al. deposited (Pb,La)TiO

334 ferroelectric thin films using thd

precursors with a solid delivery technique. Thetechnique is very effective in precisely

controlling the composition of the film to obtainreproducibility. Because this technique does notrequire any other chemicals except theprecursors, potential influence of solvents onthe deposition characteristics is eliminated.Furthermore, the system is much more simpleand easy to install in the CVD reactor comparedto the liquid delivery system. All these factorsmake the technique useful for acaden-ticresearch. However, continuous deposition islimited by the length of the precursor tube,which cannot be made very long, in addition tothe rather serious particle generation problem.These two factors prevent the technique frombeing widely adopted as a mass-productioncompatible process. No commercial hardwarefor this technique is available at this moment,but it is believed that this technique is viableconsidering its excellent vapor transportcapability, easy installation and low cost.

Fig. 9: Schematic diagram of a solid delivery system.

To reactor

Carrier Gas

Solid Precursor

Cooling Zone

Thin Slit

VaporizedPrecursor

Heating Zone

Page 219: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 217

Deposition Reactors

Reactor TypesThere are two general types of CVD

reactors, one is the chamber type and the otheris the tube type. The tube type reactor is typicallya hot wall reactor and has been used in thesemiconductor industry for the deposition ofsimple binary thin films such as Si

3N

4. This type

of deposition reactor usually has quite largethroughput because a few hundred wafers canbe loaded and processed. However, the CVDprecursors should have large diffusivities in thegas phase and be stable over the homogeneousreactions to produce uniform deposition on alarge number of wafers. For tube type reactors,as for all hot wall type reactors, the CVDreaction occurs on the wall of the reactor as wellas on the wafers. This increases the consumptionof the precursors. Therefore, CVD reactors forBST thin films are the other type, except for avery recent report from Toshiba of Japan.6 Theyreported CVD of BST thin films utilizing a tubetype reactor which had a rotatory wafer holderto improve the uniformity of deposited films.Details of the CVD reactor have not beenreported yet, thus, in this section only the detailsof chamber type reactors are discussed.

Chamber type CVD reactors for BST filmsusually have warm wall configuration to preventcondensation of the precursors duringdeposition. The walls are heated to a temperatureapproximately 250°C, a little higher than theevaporation temperature of the precursors. Themost critical factors for performance of thechamber are design of the wafer heater and themethod of precursor gas injection.

Heater BlockWhen deposition is controlled by surface

chemical reaction conditions in the lowtemperature regime, uniformity over the wafersurface and repeatability between runs are almostentirely determined by the uniformity andrepeatability of wafer temperature. This is thecase for BST thin films for DRAM applications,as mentioned previously, so that a very stringentrequirement is control of the wafer temperature.Usually, the [(max. - min.)/ 2average] uniformity

value less than 1% is required, which means themaximum allowed temperature variation over an8" wafer is only a few degrees ceisius when theprocessing temperature is about 450°C. Tosatisfy this requirement, a two zone heatingmethod, in which the central and outer heatingelements are separated and controlledindependently, is usually used. It is worth notingthat the actual temperature of the wafer is fardifferent from that of the heater. To compensatefor this difference, the wafer temperature iscalibrated with the heater temperature, which isusually monitored during the processing,utilizing a so called thermocouple wafer whichhas several embedded thermocouple beads in aSi wafer. One important fact that should beremembered is that the actual wafer temperatureis very dependent on the type of thin films onthe wafer. Figure 10 shows the difference intemperature between the bare and platinized Siwafers as a function of the heater temperature.A special thermocouple wafer that has a100-nm-thick Pt thin film was fabricated for thismeasurement. We can understand that theplatinized wafer is much more effectively heatedthan the bare Si wafer due to the large reflectionof photons into the wafer due to the Pt thin film.It should be remembered that the major heattransfer mode in this case is radiation from theheating element to the backside of the wafer. Wecan see that the difference is not constant overthe temperature range. This result implies thatthe actual temperature and its distribution overthe wafer can be further varied when the metalfilm is patterned. It was observed that thetemperature of the center region of the platinizedwafer was higher when the film was continuouswhereas the edge region was hotter when it waspatterned with the storage node shape under thesame heating condition. All these factors requirethat special care be taken for the design of theheater.

Close contact of the wafer to the surface ofthe heater block is another important factor thatdetermines uniformity and reproducibility of thedeposition. In addition, the heating time of thewafer to the desired temperature after chamberloading, which takes normally a few minutes andreduces throughput, also depends on the contactstatus. The electrostatic chuck (ESC) may

Page 220: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang218

improve the situation but there has not beenany report on this issue. It seems that the lowtemperature version of the BST CVD processcompared to a process which uses a temperaturehigher than 600°C, makes the adoption of theESC highly probable.

The size of the heater block is usuallyslightly larger than that of the wafer, such as 10or 12" for an 8" wafer, to compensate for heatloss from the wafer edge. However, the largersize brings unwanted deposition of the filmmaterial on the exposed heater surface whichthen generates particles after repeateddepositions. This occurs even if the walltemperature is well controlled so as not todecompose and condense the precursors on thewall. This problem has been minimized byreducing heater size, as reported by joo et al.35.They designed a heater having almost the samesize as that of the wafer by taking special careto maintain uniformity of temperature. Thisimprovement may increase the up time of thechamber and its throughput.

Methods of Precursor Injection

Nozzle Type Gas InjectorIt appears that there are two major types of

precursor injection for BST CVD: simple nozzleinjector and shower head. The simple nozzleinjector is easy to fabricate as well as to operate.However, for uniform deposition with thisnonuniform precursor injection, certainimprovements in reactor geometry or substraterotation are required. The high speed rotatorysubstrate holder produces uniform deposits onlarge wafers for compound semiconductor use.For this case the various gases are injected byseparate nozzles which are installed on theinner top surface of the reactor. High speedrotation of the substrate generates a very thinand uniform fluid-dynamical and chemicalboundary layer with uniform mixing of the gaseswhich ensures uniform thickness and chemicalcomposition of the deposited films. Recently,this technology has been applied to multi-component oxide CVD.36 However, it is not still

Fig. 10: Differences in temperature between bare and platinized Si wafers as a function of heatertemperature.

Page 221: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 219

proven that this type of rotatory action of thesubstrate does not affect the long term reliabilityof the hardware system and the productionthroughput. A system that containsmechanically moving parts generally has moreproblems than a stationary system.

Another type of reactor using a simpleinjector is a reactor with a dome type cover.37 Inthis case, the injector is installed on the bottomplate of the reactor. Figure 11 shows the typicalconfiguration of this type of reactor. In this casethe dome is usually heated to a propertemperature by a separate heater to preventprecursor condensation on it. It is rather difficultto expect uniform deposition of a thin film fromthis asymmetrical gas injection geometry.However, it has actually been proved that goodthickness and compositional uniformity areobtained over the 8" wafer surface for Ta

2O

5 thin

films. Figure 12 shows the typical variation inthickness of a Ta

2O

5 thin film using this reactor.

An understanding of the possibility of uniformdeposition using this type of non-uniform gasinjection can be obtained from Figure 13. Whenthe precursor molecules are injected through theinjector nozzle from the bottom of the reactorto the dome wall, they stick to the inner surfaceof the dome for a very short time. Then they aredesorbed from the dome surface and move tothe wafer surface by diffusion process throughthe gas phase. During this short adsorption/desorption process, the precursor molecules losetheir original directionality. The result is auniform supply of precursor molecules on thelarge wafer surface due to the spherical shapeof the dome. In addition to the capability ofuniform supply, the warm dome surface suppliesthe precursor molecules with the proper thermalenergy during the adsorption/desorption process.The “warmed-up” molecules appear to improvethe uniformity and reproducibility of thedeposition reaction.

Fig. 11: Schematic diagram of a CVD reactor having a simple nozzle type gas injector. (courtesyof JUSUNG Engineering).

Dome

Heater

Nozzle

Vaporizer

Page 222: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang220

Fig. 12: Typical variation in the thickness of a Ta2O5 thin film using a dome type reactor.

Fig. 13: The kinetics of injected gas molecules take on inner wall of a dome type reactor.

Page 223: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 221

Shower Head Type Gas InjectorTo ensure a uniform supply of precursor

molecules over the wafer surface, shower headtype gas injectors have been successfully usedin many CVD chambers. Uniform deposition ofBST thin films by MOCVD also has beenattained by adopting shower heads.29 Typicalshower head shape for MOCVD BST is shownin Figure 14. For this application, the metal-organic precursor molecules usually carried byAr gas and reactant gases, such as O

2 and N

2O,

are supplied separately by a double shower headconfiguration into the shower head to alleviatethe premature reaction between them, as shownin the figure. Although this type of gas injectionusually ensures uniform supply of the gases overthe wafer, some special precautions are requiredto obtain reproducible deposition. Because theCVD reaction temperature is quite high,> 600°C, for the high temperature version ofBST deposition, the exit plate of the showerhead, which is in a face to face position with thewafer, is usually heated to a temperature whichis higher than the thermal decomposition

temperature of the precursors if a proper cooldown mechanism is not adopted. The proper cooldown mechanism is usually circulation of coolnitrogen or thermofluid inside the shower headplate. When the shower head plate temperatureis monitored in-situ, the temperature variationwas observed to be less than ± 0.5°C, when thethermofluid is used. However, the thermofluidsystem requires some space and makes the CVDsystem more bulky and complicated. For the lowtemperature version of BST film deposition,< 450°C, the thermofluid acts to heat the showerhead plate protecting the precursors fromcondensing inside the shower head. If eitherthermal decomposition or condensation of theprecursors take place, the system generatesnumerous particles and depositionreproducibility seriously deteriorates.Therefore, it can be stated that the shower headusually ensures more uniform supply ofreactant gas molecules over the wafer surface,but it costs more and requires moremaintenance labor compared to simple nozzletype gas injectors.

Fig. 14: Typical shape of the shower head for MOCVD BST. (courtesy of ULTECH Co.).

Heater

Gate Valve

Shower Head

Page 224: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang222

Film Deposition Processes

The much larger dielectric constant of aBST film (>200), compared to that of apolyerystailine Ta

2O

5 film on Si (20~25) or

amorphous SiO2/SiN bi-layer (6 ~ 7), is due to

its perovskite crystal structure, in which a largedipole moment is induced when the electric fieldis applied. Therefore, deposition of BST filmswith good crystalline quality is extremelyimportant to obtain a large capacitance. In mostdeposition methods, the higher the depositiontemperature the better the crystalline quality ofthe film as long as the stoichiometriccomposition is maintained.

However, there are several problems relatedto the high temperature deposition process.Firstly, oxidation of the barrier resulting in aincreased contact resistance with increaseddeposition temperature limits the depositiontemperature depending on the depositionmethod. Secondly, as discussed below, theconformal deposition property of the film on athree dimensional patterned geometry, i.e. thestep coverage, usually degrades with increasingCVD temperature. Giga bit density DRAMs areanticipated to have a storage pattern with aspectratio (width : height) of more than 1 : 3 andminimum feature size of far less than 0.15 μm.Therefore, good step coverage of the depositedthin films is also very important to ensure thereliability of the device. Even though it is not animportant issue, the higher depositiontemperature of BST films results in largerleakage current mainly due to increasedroughness of the bottom electrode surface.

Therefore, it is quite logical to emphasizelow temperature MOCVD (wafer temperatureof less than roughly 500°C) of BST thin filmseven though the status of the research is stillbehind that of the high temperature depositionprocess (wafer temperature of higher thanroughly 600°C). Data on films from hightemperature CVD is discussed only for referencepurposes.

Composition Control of the ThinFilms

Figure 15 shows a typical variation of thecationic composition ratio with deposition

temperature ranging from 405 to 570°C whenBa(thd)

2tetraglyme, Sr(thd)

2tetraglyme and

Ti(thd)2(O-i-Pr)

2 dissolved in n-buthyl acetate

are used as the source chemicals for Ba, Sr andTi, respectively. For this specific case, theoxidant was a N

2O/O

2 mixture, as originally

suggested by the ATMI group. Because of thelower thermal decomposition of the Ti precursorcompared to the Ba and Sr precursors, thechemical input ratio was controlled to be Ba :Sr : Ti = 1.00 : 1.00 : 1.68. The reaction chamberpressure was 1 Torr. Stable delivery of theprecursors was obtained by adjusting thevaporizer temperature and the total liquid flowrate to be 240°C and 0.1 mil/min, respectively.More detailed experimental results can be foundin the literature.29

The deposition temperatures can be dividedinto three regions. A, B, and C as shown in thefigure, according to compositional andmorphological behavior which will be discussedin the next section. The regions B and C roughlycorrespond to the high temperature depositionprocess and region A comprises the lowdeposition temperature region. As clearly shownin the figure, the high temperature processusually ensures highly reproducible depositionin terms of film composition and thickness. Itproduces reproducible electrical characteristicsbecause cationic composition and film growthrate are quite insensitive to the temperaturevariation in this region. It is believed that thedeposition process is controlled by gas phasediffusion of the chemical species rather than thesurface reactions in this temperature region,which inevitably results in poor step coverage.It is well known that CVD in the diffusioncontrolled regime usually generates films withpoor step coverage because the diffusion ofchemicals onto topological features is notuniform.

However, in region A, the chemicalcomposition of the deposited thin films is verysensitive to the minute variation of temperaturebecause in this region the thermal decompositionrate of the Ti precursor is very sensitive totemperature; i.e. surface chemical reactioncontrols the deposition. The variation of massconcentration of the constituent ions estimatedby x-ray fluorescent spectroscopy (XRF) is

Page 225: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 223

Fig. 16: The variation of mass concentration of constituent ions estimated by X-ray fluorescentspectroscopy.

Fig. 15: Typical variation of the cationic composition ratio with deposition temperature when theprecursors are Ba(thd)2tetraglyme, Sr(thd)2tetraglyme and Ti(thd)2(O-i-Pr)2 dissolved inn-buthyl acetate.

Page 226: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang224

shown in Figure 16 along with the variation offilm growth rate in the temperature range from420 to 470°C. For this set of experiments, thechemical input ratio of Ba : Sr : Ti was changedto 1 : 1 : 4.92 considering the poor incorporationof Ti in this temperature region. The cationiccomposition ratios calculated from XRFutilizing a sensitivity factor is shown inFigure 17. It can be understood that the differentligand structure of the Ti precursor from thoseof the Ba and Sr precursors and the strongerbonding strength between the Ti ion and itsligand make the thermal decomposition of theTi precursor more difficult compared to the Baand Sr precursors. Therefore, incorporation ofTi into the film is surface chemical reactioncontrolled whereas those of the Ba and Sr aremuch more diffusion controlled in thistemperature region. Yamamuka et al. alsoreported the same behavior in the variation ofthe cationic composition of the films as afunction of the deposition temperature when theBa(thd)

2, Sr(thd)

2 and TiO(thd)

2 precursors

dissolved in THF were used30. For that case,surface chemical reaction controlledincorporation of Ti was observed up to 500°C,in contrast to the case shown in Figure 17 dueperhaps to the stronger bonding between the Tiion and the O(thd) ligand and the significantlyincreased input of Ti, where the Ba : Sr Ti inputratio was 1.00 : 0.75 : 12.5.

An interesting observation results from thecomparison between the Ti concentrations inFigures 15 and 17. When the Ti precursor inputrate is increased by a factor of 2.93 (4.92/1.68)the Ti concentration of the film is increased bya factor of only 1.6 for a wafer temperature of470°C, whereas it is increased by a factor of 2.73when it is 420°C. This implies that as thedeposition temperature increases, a type of self-regulating mechanism of film composition to thestoichiometric one is present, as in the case ofPb incorporation into PZT thin films. This kindof self-regulating mechanism can not generallybe understood from simple therrnodynamiccalculations which usually predict formation of

Fig. 17: Cationic composition ratios calculated from XRF utilizing a sensitivity factor.

Page 227: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 225

the most energetically stable phases under theassumption of a closed system, i.e. the total inputmaterial remains within in the system. It is morereasonable to assume that the mechanism iskinetic. However, thermodynamic calculationsometimes helps one understand the underlyingkinetic processes.

Thermodynamic calculations on phaseformation in the Ba-Sr-Ti-O-C-H system as afunction of temperature for the givenconcentration of the each component, were doneby Han et al.38 They calculated the equilibriumconcentrations of the possible solid phases,including the Ti-containing (Ba,Sr)TiO

3,

(Ba,Sr)2TiO

4, Sr

4Ti

3O

10 and TiO

2 in addition to

(Ba,Sr)CO3, (Ba,Sr)O and graphite, which do

not contain Ti, in the relevant temperature rangefor the MOCVD process using the total energyminimization principle. It was assumed that Cand H were incorporated into the system fromthe ligands of the precursors. They did notassume a liquid delivery situation so that theincorporation of C and H from the solvent werenot taken into account which makes thecalculation a bit different from the actualsituation.

It was concluded that the most probablephase is BST in the temperature range from 500to 1500 K, with the minor formation of otherTi-containing phases. Especially, when thetemperature is less than 900 K, the formation ofTiO

2 increases with decreasing temperature with

slightly diminished formation of the BST phasewhereas formation of other Ti-containing oxidesis greatly suppressed. It should be noticed thatthe total Ti concentration of the system shouldbe constant irrespective of the temperature inthis calculation. However, it might be reasonableto assume that the quite complex Ti-containingphases, such as (Ba,Sr)

2TiO

4 and Sr

4Ti

3O

10, are

not formed due to limited kinetics in thistemperature range. In this case, we only need toconsider the BST and TiO

2 phases because their

structure is simpler and their kinetics are easier.Now, when the Ti input was increased by thesame factor at high and low wafer temperatures,the enhanced formation of TiO

2 at the low

temperature compared to the high temperatureincreases the incorporation efficiency of Ti intothe film.

Owing to the very rapid variation of thecomposition with the temperature in region A,uniformity and reproducibility of depositionseriously degrade as the wafer temperature dropsbelow 450°C. Figure 18 shows the variation of[(max. - min.)/2average] non-uniformity of thecation concentration and the film thickness overthe 6" wafer surface with temperature. The smalltemperature variation over the wafer surfacegenerates such a much non-uniformity in regionA due to the exponential dependence of theincorporation rate of Ti on temperature in thisregion. It is quite insensitive to the temperaturevariation in region B and C due to mass transferlimited deposition in those regions. Therefore,development of a stable process recipe becomesdifficult as the deposition temperature decreaseseven though, unfortunately, the integrationprocess requires low temperature processing.

Another problem of the low temperatureMOCVD process is incorporation of impurities,such as C and H, into the film during deposition.It is not difficult to imagine that decompositionof metal-organic precursors at low temperaturesis not complete, and impurities originating fromthe ligands can remain in the film. If theseimpurities are not properly removed by post-annealing, dielectric properties of the films aredegraded. It has indeed been observed that thelow temperature MOCVD films have a lowerdielectric constant than those of sputtered filmseven when the deposition and post-annealingtemperatures are the same with the samethicknesses. Therefore, one should consider whatis happening in a chemical sense during lowtemperature deposition in order to achievesuccessful processing. Again, consideringthermodynamic calculation data greatly helps usgain insight into the phase formation in such acomplex situation.

Thermodynamic calculations, done by Hanet al.38 for the Ba-Sr-Ti-O-C-H system predictthat the stable solid phases will be Ti-containing(Ba,Sr)TiO

3, (BaSr)

2TiO

4, Sr

4Ti

3O

10, and TiO

2 in

addition to the (Ba,Sr)CO3, (Ba,Sr)O. The

graphite phase is also stable in the temperaturerange roughly below 600K, but its concentrationgoes to almost zero due to excess oxygen or aslight increase of temperature, so that we can

Page 228: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang226

disregard it. The (BaSr)2TiO

4, and Sr

4Ti

3O

10

phases can also be disregarded since theirconcentrations are a few orders of magnitudelower than the others, and the kinetics are quitelimited due to their complex crystal structure inthe temperature region of 600-800 K. Theconcentration of (Ba,Sr)O is also very low. Nowthe problematic impurity phases to be consideredare (Ba, Sr)CO

3 and TiO

2. We consider the

effects of three important process parameters onphase formation: temperature, Ti concentrationfor the given (Ba + Sr) concentration and theamount of oxygen.

When the material input ratio is fixed atBa : Sr : Ti : 0 = 1 : 1 : 2 : 50, i.e. the cationstoichiometric composition, the formation of(Ba, Sr)CO

3 and TiO

2 is minimized to far less

than 1% at 900 K (627°C). With decreasingtemperature the formation of the two phasesincreases very rapidly with the same proportion,and at 700 K (427°C) their concentrations goup to a few% with a corresponding decrease in

the concentration of the desired (Ba,Sr)TiO3

phase. Below 600 K, the CVD reaction occurstoo slowly so that we no longer consider thattemperature. Actually, in such an extremely lowtemperature region, the formation of graphite isenhanced even with excess oxygen. Therefore,even if we supply the cations in thestoichlometric ratio by proper adjustment of theinput ratio of each precursor taking into accountthe different thermal decomposition properties,we can see that roughly 10% of the Ba, Sr, andTi are consumed to form the impurity phases,(Ba,Sr)CO

3 and TiO

2, with presence of carbon

at around 400°C due merely to thermodynamicreasons.

When Ti input increased to a value higherthan stoichiometric, the formation of (Ba,Sr)CO

3 is drastically suppressed with a

corresponding increase in TiO2 concentration.

If the Ti input decreased to less than thestoichiometric value, the excess Ba and Sr reactwith C and O to form (Ba, Sr)CO

3. It has been

Fig. 18: Variations of [(max. -min.)/2average] non-uniformity of cation concentration and filmthickness over the 6" wafer surface with temperature.

Page 229: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 227

reported that the MOCVD deposition processas well as the electrical properties of the BSTthin films are very stable when the film has aslightly Ti-excess composition during hightemperature MOCVD.39 This may be due to thesuccessful suppression of formation of thedetrimental (Ba, Sr)CO

3 phase with insignificant

formation of TiO2. However, excess Ti also

generates a large amount of TiO2

which alsodegrades the dielectric property of the films.

The last controllable parameter is the inputof oxygen. When the O

2/Ba ratio is smaller than

approximately 30 at 800 K, formation of graphiteis quite extensive. If the film contains graphiteit will be electrically conductive. The formationtendency of graphite is enhanced as temperatureis decreased. Therefore, excess oxygen isabsolutely necessary for the CVD reaction. Withincreasing oxygen, formation of (Ba,Sr)CO

3 and

TiO2 increases slightly even though their

concentration is quite low for the case ofstoichiometric supply of the cations.Accordingly, we can understand that the(Ba,Sr)CO

3 and TiO

2 impurity phases can not

be completely eliminated by an increase ofoxygen.

The above thermodynamic considerationshows that the low temperature MOCVD of BSTinevitably involves the (Ba, Sr)CO

3 and TiO

2

impurity phases even though supply of thecations is at the right proportion, as long as theincorporation of carbon is not completelyeliminated. This is primarily due to the slightlysmaller formation free energies of the(Ba,Sr)CO

3 and TiO

2 phases compared to that

of BaTiO3: -1032.8, -809.7, and -1456.5 kJ/mol,

respectively, at 700 K.When solid state (Ba,Sr)CO

3 and TiO

2 are

formed, the formation of (Ba, Sr)TiO3 from these

two phases by solid state reaction usuallyrequires a temperature higher than 1100°C,which is unacceptable in the DRAM capacitorprocess. One factor to consider is that the actualchemical bond status of the carbon in the filmsmay not be that of stable (Ba, Sr)CO

3 but can be

more unstable which renders the elimination ofthe carbon or related impurities more feasibleby a proper post-annealing than thermodynamicspredicts. One must consider the atmosphere andsurface status of the films during the annealing

process. It is highly probable that incorporationof carbon containing impurities into the film isdue to the incomplete decomposition of the Tiprecursors in the low temperature region as willbe discussed below. Therefore, the impuritiesmay be loosely bonded to the Ti ions in the as-deposited thin films and may then combine withsome of the Ba and Sr ions during post-treatmentas the thermodynamics predict.

Step Coverage

Conformal deposition during CVD is thebasic reason for use of the MOCVD process forBST films in,spite of various difficultiescompared to the sputtering process. AS forgeneral CVD, step coverage improves withdecreasing deposition temperature. A typicalvariation of step coverage with depositiontemperature is shown in Figure 19 wheregeometry of the test structure is 0.6 μm (width)× 0.9 μm (height) line/space pattern made ofSiO

2. We can see that when deposition occurs in

the mass transfer controlled region, T > 480°C,step coverage drops below 50% whereas it hasvalues higher than 80% during surface chemicalreaction controlled deposition, T < 480°C. Thereis a 30°C offset in the border temperaturebetween the two controlling mechanisms fordeposition between Figures 15 and 19. This isdue to the different substrate surface states; forFigure 15 case surface is covered with a Pt thinfilm which increases the wafer temperature byabout 30°C compared to the patterned wafer (inFigure 19) which does not have the metal layer.

The reason for good or poor step coveragein the two distinct temperature regions isobvious. In the surface chemical reactioncontrolled deposition regime, the deposition rateis determined solely by the temperature of thewafer. Temperatures at each point of thetopological wafer surface having submicronfeatures can hardly have local temperaturevariation, thus, conformal deposition is ensured.However, in the diffusion limited depositionregion, the deposition rate is determined by gasphase diffusion of the reactants and/or reactionby-products which must be very dependent uponthe topology of the surface.

Page 230: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang228

However, even in the surface chemicalreaction controlled region, the stringent threedimensional topology of the storage node patternof the DRAM capacitors makes the conformaldeposition difficult. The Mitsubishi CVD grouphas published several papers on the effects ofdifferent Ti precursors, Ti(O-i-C

3H

7)

428,

TiO(thd)2

30 and Ti(t-BuO)2(thd)

231 on the

conformal deposition properties of the BST filmwhen the deposition occurs in the surfacechemical reaction controlled temperature region.They showed that the more bulky and strongeradhesive properties of the ligands to the metalions accompany the smaller sticking coefficientof the precursor molecules onto the surface,which results in improved step coverage. Forthose experiments, the Ba and Sr precursors werealso involved in the deposition reactions so thatit can not be explicitly stated that step coverageis solely determined by the sticking property ofthe Ti precursor. However, as was shown in theprevious section, the rate limiting step of thedeposition in the low temperature region is

incorporation of Ti. Therefore, it is notunreasonable to assume that step coverage islargely determined by the Ti incorporationreaction. The sticking coefficient of the mostbulky Ti precursor, Ti(t-BuO)

2(thd)

2, was only

0.001, which is smaller by an order of magnitudethan those of Ti(O-i-C

3H

7)

4 or TiO(thd)

2 at 420°C.

With this small sticking coefficient, step coverageof more than 80% of the BST film was obtainedon a trench pattern with aspect ratio of 3-4.

Figure 20 shows excellent step,coverage ofone of the MOCVD BST films deposited by thedome type reactor with single injection nozzleat a wafer temperature of 413°C. The substratehas a linelspace pattern with an aspect ratio of1 : 6 made of SiO

2 covered with a very thin Pt

film. The step coverage is more than 80%. Thefeatureless surface morphology of the filmimplies that the film has an amorphous structurewhich should be crystallized by proper post-annealing. As discussed previously, however, thehydrocarbon incorporation problem for lowtemperature CVD must be considered.

Fig. 19: Variation of step coverage with deposition temperature.

Page 231: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 229

Surface Morphology

The typical crystalline structure of thestoichiometric BST thin film on a Pt electrodeis polycrystalline with partially faceted grainshaving average grain size of about 30 to 50 nmwhen the films are thinner than 50 nm anddeposited at temperatures higher than roughly500°C. The root mean square roughnessmeasured by atomic force microscopy (AFM)is about 1 - 2 nm, which increases slightly withtemperature. When the films are deposited underroughly 400°C, they are usually amorphous withfeatureless surface morphology. Thecrystallization temperature is rather dependenton the precursor material. However, forintermediate temperatures, the surfacemorphology is quite different from that of filmsdeposited at high temperature as well as lowtemperature.

In Figure 15, the temperatures region above450°C was divided into B and C regions eventhough both regions correspond to mass transfercontrolled deposition. The reason for the division

400 nmFig. 20: SEM micrograph showing excellent step coverage of the MOCVD BST film deposited in

a dome type reactor with single injection nozzle at a wafer temperature of 413°C.

is the very different surface morphologies ofthe films deposited in regions B and C. Withinregion C, very uniform and reproducible thinfilms having average grain size of a few tens nmwere obtained. The films are relatively wellcrystallized with good electrical characteristicssimilar to those of sputtered thin films. However,films deposited in region B show a verynonuniform and hazy appearance. Figure 21shows the surface morphology of a filmdeposited at 470°C observed by SEM. The filmis composed of protruded material (region a) anduniform film material (region b). The sizes ofthe protrusions are rather nonuniform havingdiameters from 100 nm to a few microns. FineBST grains of average size 20 to 30 nm comprisethe uniform film region. TEM analysis of theprotruded material showed that it is alsocomposed of perovskite grains with average size20 to 30 nm. Both a and b regions contain a smallamount of amorphous material. Localcompositional analysis of the two regions bymicro AES showed that the protruded materialcontains more Ti by a few atomic percent

Page 232: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang230

compared to the matrix film even though exactquantification could not be performed due to theabsence of proper standards. The protrudedmaterial also contains much more carbon thanthe flat film region. By post-annealing at 750°Cfor 30 min. under 95% N

2 + 5% O

2 atmosphere,

the protrusion height decreases. Analysis byTEM and XRD showed that the crystallinequalities of both the a and b regions of the filmwere improved. The difference in Ti contentbetween the protruded area and the matrix filmwas also decreased with corresponding decreasein carbon concentration in the protrusions.29

Formation of the rough and irregular surfacemorphology of the films in temperature regionB could not be completely alleviated by anychange in deposition parameters, such as gasflow rate, chamber pressure and wafer to showerhead distance as long as the cation concentrationis close to stoichiometry. When the Ti precursorwas changed from Ti(O-i-P

r)

2(thd)

2 to Ti

(t-Bu)2(thd)

2, the higher end temperature of

region B was extended to about 600°C with the

same lower end temperature. The formation ofprotrusions was rather nonuniform over the 6"wafer surface. Due to unstable depositioncharacteristics in this temperature region, theelectrical performance of the film was alsounstable with poor reproducibility.

From the above observations, it can beassumed that the formation of protrusions is dueto incomplete thermal decomposition of the Tiprecursors in the relatively low temperatureregion. The non-cracked ligands incorporatedinto the film hinder the formation of a uniformlycrystallized thin film. It is reasonable to assumethat the protruded region contains somecarbonate material even though thecrystallographic analysis did not detect anycarbonate phase due probably to the fact thatthe carbon containing phase has non-crystallinestructure.

Another example of the formation ofprotrusions is shown in Figure 22 which showsa cross-sectional TEM micrograph of the BSTfilm deposited in a dome type CVD reactor at a

600 nmFig. 21: SEM micrograph of the surface morphology of a BST film deposited at 470°C showing

non-uniform film deposition.

Page 233: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 231

wafer temperature of 420°C. In this case theprotrusions are formed from the bottomelectrode interface even at this lowertemperature. The rest of the film is composed ofamorphous material. These experimental resultsimply that formation of the non-uniform surfacehas a close relationship with the thermal crackingproperties of the precursors, especially the Tiprecursor. Up to now, no report has been madeon the successful elimination of protrusions inthe intermediate temperature region. Therefore,current research activity is more concentratedon the low temperature region in which theprotrusion problem does not occur. Actually, thelow temperature process is required to obtainexcellent step coverage in addition to uniformsurface morphology. However, the processtemperature window for uniform and conformaldeposition is still limited by the protrusionproblem. A Ti precursor that has quite identicaldecomposition properties to those of the Ba andSr precursors is greatly needed, as discussed insection 2-2.

Electrical Characteristics

Electrical properties such as the dielectricconstant, dielectric loss and leakage current, ofthin BST films are greatly dependant oninterfaces with the electrodes. When metal

electrodes, Pt or Ru, are used, the BST filmsmake Schottky type contacts with the electrodes,which include an interface potential barrier andcarrier depletion region. For our thickness regionof interest the films are totally depleted due toeither the large work function of the electrodeor the interface trap density. The dielectricconstants of thin BST films are usually smallerthan bulk BST material. This can be attributedto the lower processing temperature and thespace charge in the film. The space chargeinduced by carrier depletion generates a quitelarge internal static electric field (E

i), which

decreases the dielectric constant of the material.It has been observed that the dielectric constantsof the high dielectric materials, such as BST andPZT, decrease with increasing electric field, andthe Landaw - Ginzburg - Devonshire theory hasexplained this phenomenons. The strength of Eidepends on the space charge density (N

d) as well

as the film thickness. When the Nd is 1019cm-3

and the film thickness is 50 nm, Ei at the cathodicinterface goes up to a few hundred kV/cm, whichis comparable to the applied electric field by thebias voltage.41 The large field enhancement effectat the cathodic interface greatly affects theleakage current characteristics because the fieldat that interface is the controlling factor forelectron transfer irrespective of whether theconduction mechanism is Schottky or tunneling

Fig. 22: Cross-sectional TEM micrograph of a BST film deposited in a dome type CVD reactor ata wafer temperature of 420°C.

BST

PT

SiO2

Si 200 nm

Page 234: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang232

type. The field enhancement effect by the largeNd has been observed in sputter deposited BSTthin films.41 It was considered that energeticparticle bombardment on the film surface duringdeposition generates the large N

d.

However, it appears that BST filmsdeposited by MOCVD have lower defect density,by at least an order of magnitude compared tosputter deposited films, thus, smaller N

d. This

can be inferred from the film thicknessindependent leakage current density (J) vs.applied field strength (E) of the MOCVD films42

whereas J increases with increasing filmthickness at a given applied field for sputteredfilms.41

It has been reported that the dielectric constantof thin BST films decreases with decreasing filmthickness when metal electrodes are adopted evenwithout any interfacial non-perovskite material.43

This is due to the intrinsic interfacial low dielectriclayer that originates from the termination of thechemical bonding of the perovskite structure at theinterfaced. The large dielectric. polarizability ofthe perovskite can not penetrate into the metal layerdue to the extremely high carrier concentration ofthe metal.

However, for the oxide electrode, such asSrRuO

3, the structural similarity of the electrode

and dielectric material allows a certainpenetration of the dielectric polarization into theoxide electrode.45 As a result, the formation ofthe intrinsic low dielectric interfacial layer iseffectively suppressed and film thicknessindependent dielectric constants are obtained, asreported by the author for the case of sputteredBST films on IrO

2 electrodes43 and more recently

reported by Toshiba researchers for the case ofMOCVD BST films on SrRuO

3 electrodes.6

Under these circumstances dielectric constantsare solely determined by processing conditions.The stoichiometric composition and goodcrystallization of the films are the two mostimportant parameters for a high dielectricconstant.

Good crystalline quality of the films can beobtained when the deposition and post-annealingtemperatures are high enough, roughly > 600°C.However, as discussed previously, owing to thepoor step coverage of the high temperature

MOCVD process as well as the oxidationproblem of the diffusion barrier, the lowtemperature MOCVD process is required whichusually results in amorphous or weeklycrystallized as-deposited thin films. Therefore,high temperature post-annealing is an absolutenecessity. The upper limit temperature of thepost-annealing is about 800°C considering theinterdiffusion between the BST and electrodesat higher temperature and process integrationissues such as degradation of the metal contactresistance.

Under this limited process window, theimportance of post-annealing process isgrowing. The films deposited at around 400°Ccontain a lot of hydrocarbons which may or maynot be eliminated by post-annealing dependingon how the process was performed. We need tothink about the thermodynamic states and thekinetic pathway for the formation of the varioussolid phases. Sometimes the evolution of thehydrocarbons is too abrupt and large voids formin the film which critically deteriorate theelectrical performance of the film. Figure 23show the ε

r - V and J - V curves of a 32 nm thick

BST film deposited at 400°C and post-annealedat 750°C after the top electrode deposition. Therewere two samples. One was the film annealed at500°C for 30 min before the top electrodedeposition, and the other was not. Pre-annealingat a relatively low temperature gradually evolvedthe impurity from the film. The film was wellcrystallized during the final annealing. We cansee the large difference in the dielectric constant,dielectric loss and leakage current performancebetween the two samples.

The leakage current with high bias, > 1.5Vin Figure 23, is due mainly to Fowler-Nordheimtunneling whereas with low voltage it is mostlydue to the relaxation type current. Themechanism for the relaxation current is not fullyunderstood. If the relaxation is large, anappreciable portion of the stored charge in thecapacitor disappeared during the refreshing cycleof the operation of the DRAM. The largedielectric loss generally results in a largerelaxation current. One of the merits of MOCVDfilms over sputtered films is that the dielectricloss is smaller: from 0.2 to 0.4% for the MOCVDfilms and from 0.6 to 0.9% for sputtered films

Page 235: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 233

at 10 kHz, depending on fabrication conditions.It has not yet been proven whether this slightlylarge dielectric loss of for sputtered BST filmcauses any problems in the operation of thedevice especially in the expected operatingfrequency range of a few hundred mega - Hz.

The C-V characteristics are also importantbecause the integrated area of the curve is theamount of stored charge. The upper limit voltageof the integration is V

cc/2, which is expected to

be about 1 V for 1 giga DRAM, and the lowerlimit voltage is about a few tens mV dependingon the, operation of the sense amplifiers.Symmetrical C-V characteristics with respect tothe bias polarity are required due to the V

cc /2

operation scheme of current DRAMS. For thinBST films, the ratio of C

min, C at V

cc/2, to C

max,

C at 0V, decreases with improving crystallinequality. Therefore, for the practical assessmentof the dielectric performance of the film, onemust be concerned about C-V characteristics notjust the dielectric constant or the oxideequivalent thickness, t

ox, at 0V.

The electrical characteristics, such as tox

andleakage current density, of high temperature versionMOCVD films are comparable to those of thesputtered films when the deposition temperature andthe film thickness are the same. However, films fromthe low temperature version MOCVD have usually alittle smaller dielectric constant than sputtered filmseven when the processing temperatures are the same.This is believed to be due to impurity contaminationfor the case of MOCVD films, as mentionedpreviously. Therefore, research on the reduction of filmcontaminants by the post-deposition process is of primeimportance when reproducible deposition system andrecipes are available. Currently, low temperature(420°C) MOCVD BST films having t

ox of about 0.4

nm with physical thickness of 15 nm can be obtainedwhen Pt electrodes are adopted. On the SrRuO

3

electrodes, a BST film having tox

of about 0.31 nmwith physical thickness of 25 nm has been reported.6

CVD Processes forElectrodes and DiffusionBarriers

To complete this chapter, it is reasonable tobriefly discuss the CVD processes for electrodes

and barriers. Because of the three dimensionalcapacitor structure of the giga-bit densityDRAMs, the plate electrode as well as the BSTfilm should be deposited by CVD. The spacebetween the nodes after BST deposition isexpected to be about 50 nm with the node heightfrom 300 to 500 nm. Therefore, the requirementof conformal deposition for the plate electrodeis rather stringent. In addition, some type ofintegration scheme, such as the concave structureas shown in Figure 24(b), requires a CVDprocess even for the bottom electrode.

It is expected that a multi - layer rather thana single metal layer will be adopted as the plateelectrode of the capacitors because of thefollowing reasons. The electrical potential of theplate electrode should be set to V

cc/2 during

device operation which requires metal contactto the plate electrode. If the AI or W plug directlycontacts to the metal, interdiffusion between theplugging metal and plate electrode occurs whichdegrades the contact resistance and the dielectricperformance of the BST cell around the contact.Therefore, a diffusion barrier layer, such asTiSiN or TiAIN, should be deposited on the plateelectrode. This barrier layer also acts as a gluelayer between the plate electrode and the IMD(inter metal dielectric) layer which is usuallySiO

2. In addition, the material comprising the

plate electrode, such as Pt or Ru, is veryexpensive. CVD precursors for these metals aremuch more expensive than the metalsthemselves. Therefore, we must minimize plateelectrode thickness for cost effectiveness ofdevice production.

One method to achieve this goal is to depositvery thin metal electrodes just to form theinterfacial potential barrier and then deposit thediffusion barrier layer with the necessarythickness. These two factors make a CVDprocess for barrier layer necessary.

Another requirement for the CVD barrierlayer comes from the so called buried barrierscheme, as shown in Figure 24c. As the storagenode size decreases to about 100 nm, oxidationof the barrier primarily proceeds from the sidewall area rather than the interface with thestorage electrodes. One way to alleviate thisproblem is to “bury” the barrier layer in the

Page 236: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang234

Fig. 23: The εr - V and J - V curves of a 32 nm thick BST film deposited at 400°C and post-annealed at 750°C after top electrode deposition.

Fig. 24: Three different capacitor structures according to the (a) node, (b) concave and (c) buriedbarrier integration schemes.

contact plug. The formation of the buried barrieris accomplished by the deposition of the barrierlayer by CVD on the recessed poly-Si plugfollowed by the chemical mechanical polishing(CMP) or etch back of the barrier layer to remove

the barrier material from the top surface of theILD (inter layer dielectric). Then the storageelectrode material is deposited and patterned.This buried barrier electrode structure does notcontain the exposed side wall area of the barrier,

Poly-Si

Top Electrode

BST

Bottom Electrode

Barrier

TiSi

(a)

Top Electrode

BST

SiO2

BottomElectrode

Poly-Si

(b)

Bur

ied

Bar

rier

TiSi

Poly-Si

(c)

Poly-Si

Page 237: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 235

thus, the oxidation resistance is improvedcompared to the just stacked barrier/electrodestructure, shown in Figure 24a. The buryingprocess for barrier also requires a CVDtechnique.

MOCVD of Pt for DRAM applications hasbeen reported by Lee et a1.47 They used Pt-hexafluoroacetylacetonate (Pt(hfa)

2) and the

Methy lcyc lopen tad ieny l -P t - t r ime thy l(MeCpPtMe

3) as precursors, and the film

depositions were performed at temperaturesranging from 300 to 400°C at a chamber pressureof 3 Torr. For both precursors, sufficient additionof oxygen was necessary to obtain thermaldecomposition of the precursors. At the optimumoxygen flow rate and a deposition temperatureof 325°C a minimum resistivity value of13 μΩcm, which was close to the bulk resistivityof Pt, was obtained implying that the depositedfilms were rather pure. However, surfaceroughnesses of the films deposited on the SiO

2

films were too large to be used as the bottomelectrode of the BST capacitor. This roughsurface morphology was ascribed to lownucleation density on the oxide material.Figure 25 shows a plan-view SEM micrographof the Pt grain islands on the SiO

2/Si wafer which

clearly indicates the low nucleation density.

For the case of the Pt(hfa)2, fluorine in the

ligand reacts with the underlying SiO2 Which

results in an etching reaction of the Sio2.47 This

unwanted reaction was another reason for thelow nucleation density. The surface morphologyshould be improved by some kind of surfademodification technique which increases thenucleation density. However, the Pt filmsdeposited on BST films show a much bettersurface morphology due perhaps to the largernucleation density on the BST film.

The larger nucleation density of a Pt filmon the BST also appears to have somerelationship with the incorporation of the F atinterfaces. It was observed that the top andbottom electrode interfaces came to have anoticeable amount of F impurity because thechemical bonding of Ba and Sr with F is quitestrong and the fluorides are not volatile at thedeposition temperature. Interestingly, thedielectric constant and leakage current densityof the BST film having F impurity by the Pt topelectrode are better than those of the film havinga sputtered Pt top electrode.22

Another problem of MOCVD Pt is poor stepcoverage. Up to now, satisfactory conformaldeposition has not been obtained. The poor stepcoverage property might also be improved by a

Fig. 25: Plan-view SEM micrograph of Pt grain islands on a SiO2/Si wafer.

Page 238: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang236

proper surface modification technique anddeposition temperature control.

MOCVD of Ru is being investigated byseveral research institutes. There are severalprecursors for this MOCVD including bis-(ethyl-π-cyclopentadienyl)Ru (Ru(EtCp)

2). Our

preliminary experiments show that a highdeposition rate (>20 nm/min.) with pure phaseRu film can be easily obtained,in a temperatureregion from 250 to 400°C. Interestingly, thermalcracking of the precursor also requires sufficientoxygen. However, as one might expect, an excessoxygen might oxidize the film. We performedthe thermodynamic calculation using theSOLGASMIX-PV source chord48 to predict thethermodynamic stability range of the Ru phaseagainst the oxygen supply. Figure 26 shows thevariation of the equilibrium molar amounts ofpossible phases as a function of oxygen/Ru(EtCp) input ratio at 300°C. In this case, theinitial input of the precursor was 0.1 mole. Wecan see that the system is under highly reducing

atmosphere even with the large supply of oxygenbecause of the presences of carbon and hydrogenwhich originate from the ligand. Until all thecarbon and hydrogen are oxidized to mostly CO

2

and H2O, the RuO

2 phase is not formed. When

the oxygen/Ru(EtCp) input ratio is smaller than17 the stable solid phases are Ru and C. Thereappears to be a quite narrow process window inthe oxygen/Ru(EtCp) input ratio, fromapproximately 17 to 18, for carbon and RuO

2

free metal film deposition. More detailedcalculation results will be reported.49 Figure 27shows the “CVD phase diagram” for theformation of the solid phases with temperatureand O

2/Ru(EtCp)

2 ratio. In the whole

temperature range, the border O2/Ru(EtCP)

2

ratio for the RuO2 formation is 18 irrespective

of temperature. The border O2/Ru(EtCP)

2 ratio

for C formation decreases with increasingtemperature implying that the deposition processwindow for the C free Ru films increases withincreasing temperature. However, lower

Fig. 26: Variation of calculated equilibrium molar concentrations of possible phases as a functionof oxygen/Ru(EtCp) input ratio at 300°C.

Page 239: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 237

temperatures are preferred for the integrationprocess considering the improved step coveragewith decreasing temperature.

The experimental results of the thin filmdeposition are presented in comparison withthermodynamic calculations. Figure 28 showsthe XRD patterns of thin films deposited at300°C with various input oxygen flow rates. Allfilms have the same thickness of about 200 nm.Up to the oxygen flow rate of 200 sccm, RuO

2

is not detected. At the oxygen flow rate of400 sccm, a small XRD peak corresponding tothe RuO

2(101) plane is detected implying the

formation of RuO2 under this excessive oxygen

flow condition. Therefore, the experimentalresults qualitatively correspond to thethermodynamic calculations presented inFigure 26. However, in a more quantitativesense, there is a big discrepancy; the oxygen flowrate of 100 sccm corresponds the O

2/Ru(EtCp)

2

ratio of about 50 at which the thermo-dynamically stable phase is RuO

2 rather than Ru

as shown in Figures 26 and 27. To reconcile thiscontradiction we assume the followingdeposition mechanism.

Thin film deposition by CVD usuallyproceeds by means of heterogeneous nucleationand growth on the substrate. For Ru CVD, wecan also reasonably assume that the Ru precursorand oxygen molecules adsorb on the substratesurface and decompose following which thethermodynamic reactions occur. Therefore, weshould take into account the sticking probabilityof each constituent reactant to precisely predictthe deposition reaction in addition tothermodynamics considerations. Even thoughthe sticking coefficients of the two reactants onthe SiO

2/Si substrates are not measured or found

in the literature at the deposition temperature, itis not unreasonable to assume that the stickingprobability of oxygen is smaller than that ofRu(EtCp)

2 considering the much larger vapor

pressure of oxygen. Therefore, the actualquantity of oxygen that reacts with the Ru

Fig. 27: “CVD phase diagram” for the formation of solid phases as a function of temperature andO

2/Ru(EtCp)

2 ratio.

Page 240: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang238

precursor on the substrate during the depositionmust be much smaller than the supplied quantity.Therefore, a larger O

2/Ru(EtCp)

2 supply ratio is

required for the decomposition of the Ruprecursor than thermodynamics predicts.

Summary and FutureDirections

MOCVD techniques for BST capacitortechnology for next-generation ULSI DRAMswere reviewed. Although it has been proven thatthe material properties of BST films are goodenough for DRAM applications, integration ofthe BST film into the devices requires furtherresearch, especially in terms of BST filmdeposition techniques and electrode fabricationtechnologies.

MOCVD is the process of choice for thedeposition of BST films considering therequirement of conformal deposition on threedimensional storage nodes. The precursors andhardware systems for MOCVD were discussedkeeping in mind the mass productioncompatibility of the processes. The quitedifferent chemical properties of group II (Ba and

Sr) and group IV (Ti) elements result in CVDprecursors having different structures anddifferent thermal decomposition properties. Theprecursors basically have thd ligands or theirderivatives which help the massive group IIelements vaporize. Ligands of the Ti precursorshave been modified to improve compatibilitywith group II precursors, so as to not induceligand exchange during transport whileexhibiting similar thermal decomposition.However, there is still not a Ti precursor that iscompletely compatible with Ba and Srprecursors, so that the deposition processbecomes very complicated, especially for thecase of low temperature deposition. Conformaldeposition and non-oxidation of the barrier canbe attained only by the low temperature CVDprocess. However, the larger activation energyfor thermal decomposition of Ti introducesimpurities from the ligands so that the depositionprocess as well as electrical performance of thefilms are unreliable for the case of lowtemperature CVD. The liquid delivery technique,to alleviate the thermal degradation problem ofthe precursors, also introduces large amountsof hydrocarbon material into the reaction chamber.Contrary to high temperature CVD, incorporation

Fig. 28: XRD patterns of thin films deposited at 300°C with various input oxygen flow rates

Page 241: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 239

of the hydrocarbon impurity in the deposited thinfilm cannot be underestimated for lowtemperature CVD. All these problems makeMOCVD of BST very complicated. Even withthese difficulties, some very promisingexperimental results, t

ox of less than 0.4 nm with

low enough dielectric loss and leakage, areobtained using low temperature CVD which alsohas demonstrated good step coverage resultingfrom intensive R & D during the last couple ofyears. It is believed that the major, hurdle thatshould be overcome is not the CVD processitself but the integration issues involving theelectrode, barrier and contamination control.

The choice of material, structure andfabrication technique for the electrode are stillthe subject of serious disagreement betweenmajor DRAM companies. This is due not onlyto material and process issues, such as depositionand etching, but also to the timing of theintroduction of BST capacitor technology to theDRAM product which depends on the strategiesof the different companies. One major problemthat should be taken into account more seriouslyis the cost of the process. For example, someCVD precursors for noble metals are almosttwenty times more expensive than the noblemetal itself. It can hardly be believed that thecommercial production of DRAM is possiblewith this extremely expensive process.Therefore, a future research direction must beto lower the cost of BST capacitor technology.In this sense, the very recent report on thedeposition of BST thin films in a tube type CVDsystem is important because it maximizeseffective usage of the precursors.6 Developmentof technology that uses more conventionalelectrode materials, hopefully poly-Si, will beessential in reducing production cost.

The very tight design rule of future giga bitdensity DRAMs will require dielectric layershaving extremely large dielectric constants.Therefore, a new high dielectric technologywould be a good research topic especially foracademic or similar research institutions. Thismight include the modification of anioncomposition as well as cation composition ofthe perovskite materials.

References

1. Parker and Tasch, IEEE Circuits andDevices Magazine, Japan, 1990, p.17.

2. W.J. Merz., Physics Review, 76, 1949,p.1221.

3. C.S. Hwang, S.O. Park, H.J. Cho,C.S. Kang, H.K. Kang, S.I. Lee, andM.V. Lee, Applied Physics Letters, 67(19),1995, p.2819.

4. K. Takemura, S. Yamamichi,P.Y. Lessaicherre, K. Yokashiki,H. Miyamoto, H. Ono, Y. Miyasaka, andM. Yoshida, Japan Journal of AppliedPhysics, 34, 1995, p.5224.

5. B.T. Lee, C.Y. Yoo, H.J. Lim, C.S. Kang,H.B. Park, W.D. Kim, S.H. Joo, H. Horii,K.H. Lee, H.W. Kim, S.I. Lee, andM.Y. Lee, Proceedings of InternationalElectron Devices Meet., IEEE, New York,1998, p.815.

6. K. Hieda, K. Eguchi, N. Fukushima,T. Aoyama, K. Natori, M. Kiyotoshi,S. Vamazaki, M. lzuha, S. Niwa,Y. Fukuzumi, Y. Ishibashi, Y. Kohyama, T.Arikado and K. Okumura, Proceedings ofInternational Electron Devices Meet.,IEEE, New York, 1998, p.807.

7. Y. Tsunemine, T. Okudaira, K. Kashihara,K. Hanafusa, A. Yutani, Y. Fujita,Matsushita, H. Itoh, and H. Miyoshi,Proceedings of International ElectronDevices Meet., IEEE, New York, 1998,p.811.

8. R.B. Khamankar, M.A. Kressicy,M.R. Visokay, T. Moise, G. Xing,S. Nemuto, Y. Okuno, S.J. Fang,A.M. Wilson, J.F. Gaynor, T.Q. Hurd, D.L.Crenshaw, S. Sumrnerfeit, andL. Colombo, Proceedings of InternationalElectron Devices Meet., IEEE, New York,1997, p.245.

9. M.L. Green, M.E. Gross, L.E. Papa,K.L. Schnoes, and D. Brasen, Journal ofElectrochemical Society, 132, 1985, p.2677.

10. J.M. Lee, C.S. Hwang, and H.J.Kim,Integrated Ferroelectrics, Vol.13, 1996, p.79.

11. J.M. Lee, J.C. Shin, C.S.Hwang, andH.J. Kim, C.C. Suk, Journal of Vacuum

Page 242: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Hwang240

Science Technology, A16(5), 1998, p.2768.12. A. Cleizes, S. Sans-Lenain, D. Medus, and

C.R. Acad, Science, Paris, 313, 1991, p.761.13. R. Belcher, C.P. Cranicy, J.R. Majer,

W.I. Stephen, and P.C. Unden, Anal., Chim.Acta, 60, 1972, p.109.

14. P.C. Van Buskirk, R.Cardiner, P.S. Kirlin,and S.B. Krupanidhi, Proceedings of 8th

International Symposium Applications ofFerroelectrics, Creenville, SC, 1992, p.340.

15. J. Fair, Novellus Corporation PrivateCommunication.

16. B.A. Vaartstra, R.A. Cardiner,D.C. Condon, R.L. Ostrander, andA.L. Rheingold, Proceedings of MaterialResearch Society Symposium, 1993.

17. T. Kimura, H. Yamauchi, H. Machida,H. Kokubun, and M. Yamada, JapanJournal of Applied Physics, 33, 1994,p.5519.

18. Asahi Denka, New Chemical Product.19. L. Wills and B.Wessles, Proceedings of

Material Research Society Symposium, 243,1993, p.217.

20. M. Nyman and S. Desu, Proceedings ofMaterial Research Society Symposium, 243,1993, p.381.

21. G. Maladrino, D.S. Richerson, T.J. Marks,D.C. DeCroot, J.L. Schindier,and C.R. Kannewurf, Applied PhysicsLetters, 58, 1991, p.182.

22. Jong Myeong Lee, Sang Yeol Kang,Ju Cheol Shin, Won Joon Kirn, Cheol SeongHwang, and Hyeong Joon Kim, AppliedPhysics Letters, in press.

23. A. Baron, The Strem Chemiker, 8(1), 1990,p.1.

24. J.S. Lee, H.W. Song, K.5. Kim, B.G. Yu,Y.H. Jeong, Z.T. Jiang, and K. No, Journalof Vacuum Science Technology, A15, 1997,p.72.

25. R.I. Hedge, R.W. Fiordalice, E.O. Travis,and P.J. Tobin, Proceedings of MaterialResearch Society Symposium, 250, 1992,p.199.

26. D.C. Bradly, R.C. Mehrotra and D.P. Caur,ed., Metal Alkoxides, Academic Press,London, 1978, p.63.

27. H. Yamaguchi, T. Izuka, H. Koga,K. Takemura, S. Sone, H. Yabuta,

S. Yamamichi, P.Y. Lesaicherre, M. Suzuki, Y.Kojima, K. Nakajima, N. Kasai,T. Sakuma, K. Kato, V. Miyasaka,M. Yoshida, and S. Nishimoto, Proceedingsof Conference on International ElectronDevices, IEEE, New York, 1996, p.675.

28. T. Kawahara, M. Yamamuka, T. Makita,K.Tsubahara, A.Yuuki, K. Ono andY. Matsui, Japan Journal of AppliedPhysics, 33, 1994, p.5897.

29. C.S. Kang, H.J. Cho, C.S. Hwang,B.T. Lee, K.H. Lee, H. Horii, W.D. Kim,S.I. Lee, and M.Y. Lee, Japan Journal ofApplied Physics, 36, 1997, p.6846.

30. T. Kawahara, M. Yamamuka, A. Yuuki, andK. Ono, Japan Journal of Applied Physics,35, 1996, p.4880.

31. K. Ono, T. Horikawa, T. Shibano,N. Mikami, T. Kuroiwa, T. Kawahara,S. Matsuno, F. Uchikawa, S. Satoh, andH. Abe, Proceedings of InternationalElectron Devices Meet., IEEE, New York,1998, p.803.

32. S.W. Lee, Private Communication.33. Z. Lu, Ph.D Thesis, Stanford University,

Stanford, CA, 1995.34. J.C. Shin, J.M. Lee, C.S. Hwang,

H.J. Kim, J.W. Hong, and Z.G. Kim, JapanJournal of Applied Physics, 37, 1998,p.5123.

35. J.H. Joo, J.B. Park, Y. Kim, K.S. Lee,J.A. Lee, J.S. Roh, and J.J. Kim, JapanJournal of Applied Physics, 38, 1999,p.L195.

36. Anounced in 99 International SymposiumInteg. Ferro., Colorado, 1999.

37. D. Y. Yang, Private Communication.38. J.H. Han, H.K. Ryu, C.H. Chung,

B.G. Yu, and S.H. Moon, Journal ofElectrochemical Society, 142, 1995, p.3980.

39. P.C. Van Buskirk, S.M. Bilodeau,J.F. Roeder, and P.S. Kirlin, Japan Journalof Applied Physics, 35, 1996, p.2520.

40. C. Basceri, S.K. Streiffer, A.I. Kingon, andR. Waser, Journal of Applied Physics, 82,1997, p.2497.

41. Ju Cheol Shin, Jaehoo Park, Cheol SeongHwang, and Hyeong Joon Kim, Journal ofApplied Physics, in press.

Page 243: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Metal-Organic Chemical Vapor Deposition of High Dielectric 241

42. G.W. Dietz, M. Schumacher, R. Waser,S.K. Streiffer, C. Basceri, and A.I. Kingon,Journal of Applied Physics, 82, 1997,p.2359.

43. C.S. Hwang, B.T. Lee, C.S. Kang,K.H. Lee, H.J. Cho, H. Hideki, W.D. Kim,S.I. Lee, and M.Y. Lee, Journal of AppliedPhysics, 85, 1999, p.287.

44. K. Natori, D. Otani, and M. Sano, AppliedPhysics Letters, 73, 1998, p.632.

45. O.G. Vendik, S.P. Zubko, and L.T. Ter-Martirosayn, Applied Physics Letters, 73,1998, p.37.

46. C. S. Hwang, Journal of Material Science,

Engineering B, B56, 1998, p.178.47. J.M. Lee, C.S. Hwang, H.J. Cho, and

H.J. Kim, Journal of ElectrochemicalSociety, 145, 1998, p.1066.

48. T.M. Besmann, SOLCASMIX-PV, AComputer Program to Calculate theEquilibrium Relationships in ComplexChemical Systems, Oak Ridge NationalLaboratory Report No.ORNL/TM-5775,Union Carbide Corporation, Oak Ridge,TN, 1977.

49. S.Y. Kang, K.H. Choi, S.K. Lee,C.S. Hwang, and H.J. Kim, submitted toJournal of Electrochemical Society.

Page 244: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

243

Chapter 8

Chemical Vapor Deposition ofPolymers: Principles, Materials, andApplications

Ramakrishna Vedula, Swaroop Kaza, and Seshu B. Desu201 Marcus Hall, Electrical and Computer Engineering DepartmentUniversity of MassachusettsAmherst, MA 01002

Abstract

In the last several years, polymer thin filmdeposition using chemical vapor deposition(CVD) has become increasingly popular. CVDof polymers offers numerous unique advantagesover other polymer synthesis techniques and hasbeen exploited for a multitude of applicationsin microelectronics, optical devices, biomedicalindustry, corrosion resistant and protectivecoatings, and even in the automobile industry.CVD of polymers (also referred to as chemicalvapor polymerization, CVP, or sometimes VaporDeposition Polymerization, VDP) differs frominorganic CVD (such as for metallic or ceramicthin films) and must be developed and optimized

for each material and application. Deposition isusually accomplished by thermal or plasmaactivated processes. This paper reviews thefundamental processes involved in CVDdeposition including the primary differencesbetween inorganic CVD and CVP. Later, variousmaterials deposited using CVP and theirapplications are discussed in detail. Commercialinterest in polymer CVD is largely driven by themicroelectronic and optical devices industries,for instance, in ultralarge-scale integratedcircuits (ULSI) for intermetallic dielectrics.Hence, emphasis is placed on these materialsand processes. Several research groups includingour own have synthesized a variety of polymersusing CVD and the materials and processes are

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p243-285 DOI:10.1361/chvd2001p243

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 245: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu244

reviewed. Most recently, CVD of polymers isemerging as a powerful technique to synthesizenovel molecular level inorganic-organicnanocomposites, the synthesis and properties ofwhich are briefly presented.

Introduction

Without loss of generality, chemical vapordeposition (CVD) can be defined as a depositiontechnique, in which chemical components in thevapor phase react on a surface to form a solidfilm. This technique has been the workhorse ofthe industry for a large number of applicationsinvolving thin film depositions, as will bediscussed in the later sections. This is primarilydue to the unique advantages CVD has to offer,some of which are listed below:

1. Excellent film uniformity andconformality:

One of the primary differences betweenCVD (or in the case of polymers, commonlyreferred to, as chemical vapor polymerizationor CVP) and other conventional depositiontechniques, particularly for polymer thin films,is that CVD is a dry process. There is no liquidintermediate between the gas phase reactants andthe resultant solid thin film and thus, problemsdue to surface tension such as pulling away fromthe corners, sharp edges etc, are not present.Additionally, CVD enables deposition ofuniform thin films in recesses, holes and otherdifficult three dimensional configurations unlikesolution based techniques which are limited toplanar substrates. In the terminology of thin filmdevice fabrication, CVD films have excellentgap-filling and step coverage characteristics.

2. High purity:

CVD eliminates the problems of solutionbased synthesis techniques such as solventretention and contamination. Retention ofvolatile solvents subsequent to film formationcan cause out gassing resulting in formation ofpinholes, voids etc. Also, substituent groups usedto provide solubility frequently result indegradation of the material properties of the hostpolymer. These problems are absent in CVD.Further, the dry nature of CVD results in high

purity as it eliminates the problem ofcontamination from dust, molecular oxygen etc.

3. Ease of deposition over large substrates:

Solution based techniques offer severalproblems when depositing over large areas suchas uniformity in thickness and properties. CVDfilms can be deposited over substantially largeareas with excellent uniformity in thickness andproperties. This is particularly advantageouswhen fabricating devices over a large areasubstrate as is the case, for instance, in ULSI.Also, CVD is not restricted to line-of-sightdeposition, like a number of physical vapordeposition (PVD) processes such as sputtering,laser ablation etc.

4. Ease of fabricating multilayered andheterojunction films

To fabricate different polymer layers in adevice using solution based techniques, mutuallyexclusive solvents have to be identified, whichis often very difficult. CVD eliminates thesedifficulties and excellent heterojunctions andmultilayered films can be fabricated relativelyeasily. Also, the capability to co-depositcompounds can be achieved. This ease ofco-depositing compounds has enabled thesynthesis of several inorganic-organic hybrids,which can also be tailored as nanocomposites atthe molecular level.

5. Compatibility with manufacturingtechnology

Fabrication of most components in state ofthe art devices is done using vapor depositiontechniques, such as thermal evaporation or CVD.Solution deposition of a polymer layer in thedevice could be an elaborate, expensive and slowstep. CVD of polymers simplifies deviceintegration and enables substantial reduction inthe complexity of the manufacturing process.

It must be noted however, that CVD has afew drawbacks, the major one being thecommercial non-availability of some of theprecursors.

Although CVD (or CVP) is a wellestablished deposition technique, the depositionprocess needs to be developed and optimizedfor each material and application. In order toexploit the capabilities of this technique fully,

Page 246: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 245

first, a thorough understanding of the processesinvolved in film growth is essential. The nextsection details the various processes involved ina typical CVD deposition of thin films withemphasis on polymer thin films.

Chemical VaporPolymerization

Fundamentals of CVD

In this section, the fundamentals ofchemical vapor deposition process (CVD) arebriefly reviewed. For further details on thissection, the reader is referred to excellent articlesin literature.1-3 Also the differences betweenpolymer and other inorganic (for instance,metallic or ceramic materials) CVD processesare noted.

The following steps are common to almostall CVD processes. All these steps areschematically represented in Figure 1.

• Transport of gaseous chemical reactantsto the surface:

This is usually done by evaporating (orsublimating) the precursor in a separate chamber,and subsequent formation of reactant species,

followed by transport of these species into thedeposition chamber where the substrate isplaced. This is commonly achieved usingpressure differential in a vacuum system.

• Adsorption of the species on to thesurface (commonly referred to ascondensation):

The reactant species impinging from thevapor phase is attracted to the surface of thesubstrate mainly due to the instantaneous dipolemoment of the substrate surface atoms.4

Adsorption of the condensed species isaccompanied by lateral diffusion, i.e., the speciesmoves along the surface of the substrate for aparticular distance before it resides on thesurface. An aggregate of condensed reactantspecies that reside on the surface of the substrateis termed a "nucleus". This could vary from asingle atom or molecule to a cluster of species,and the process is referred to as nucleation.Nuclei are usually three dimensional island typestructures formed on the surface of the substrateand nucleation is usually the initial stage of thefilm formation. It is worthwhile to note that,while simple condensation is usuallyexothermic, CVD adsorption and subsequentfilm formation is usually an endothermicreaction with few exceptions.

Adsorption(Condensation)

andDesorption

LateralDiffusion

SurfaceReaction Nucleation

Growth and Film formation

Fig. 1: Schematic of the underlying processes involved in a typical thin film deposition by CVD.

Page 247: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu246

• Reaction of the species on the surface:

There are three possible sub-processes thatany newly condensed molecule could undergoin the deposition chamber, viz., it could form anew nucleus, it could be incorporated into anexisting nucleus in which case, the nucleus isreferred to be "growing", or it could reenter thegaseous phase. If the condensed atom does notreenter the gas phase, a chemical reaction occursbetween the existing nuclei and the incomingspecies, resulting in coalescing of the island typenuclei. This eventually leads to the formation ofa continuous film.

• Desorption of the gaseous byproducts ofthe chemical reaction:

The chemical reaction mentioned aboveresults in the formation of a film, along withsome byproducts. The presence of theseundesired byproducts in the vicinity of the filmdistinguishes CVD from other condensationprocesses. These byproducts are usually gaseousand hence carried away. However, in very fewcases, it is possible that some of the byproductsare trapped in the film, and this could lead tocontamination.5

• Transport of byproducts away from thesurface:

Commercial CVD processes are usuallyperformed in vacuum systems and hence, thetransport of the byproducts away from thesurface of the substrate is easily accomplished.It should be noted however, that the partialpressure of the gaseous byproducts could playan important role in the deposition kinetics.

• Incorporation of the reaction productsinto the bulk of the film:

Once a continuos layer of the film is formed,(often referred to, as a monolayer), further filmgrowth is a reenactment of the nucleation-growthprocesses occurring under steady stateconditions. During this stage, the film evolvesinto any of, amorphous, polycrystalline or singlecrystal forms, depending on several parameterssuch as substrate temperature, nature of the filmdeposited, and so on.

It is worthwhile to note that steps 2, 3, and6, which essentially determine the film growth

are all dependent on substrate temperature. Allthese processes are driven by individualactivation energies. Hence, the deposition ratein any CVD process is strongly dependent onsubstrate temperature along with otherparameters such as the partial pressure of thereactant species in the gas phase, etc.

Rate Controlling Steps

Generally in most CVD processes, eitherstep 1 or steps 3 and 6 combined, are the potentialgrowth rate controlling factors. If step 1 is therate controlling factor, the deposition process isusually referred to as "mass transportcontrolled", whereas if the steps 3 and 6 are ratecontrolling, it is referred to as "surface reactionor diffusion controlled". Mass transportcontrolled process means that the kinetics ofsurface reaction and film formation is must fasterthan the transport phenomena. In such cases,careful reactor design taking into considerationthe mass transport phenomena, such as fluiddynamic transport characteristics, is essential.On the other hand, in a surface reactioncontrolled process, kinetics of film formation isrelatively slower, and therefore parameters suchas uniformity of the substrate temperature playa major role. All these issues need to beconsidered when optimizing a process for anythin film deposition using CVD.

Inorganic vs. Polymer CVD

CVD of polymers has several distinguishingfeatures from inorganic CVD processes. Ininorganic CVD, the reactant species is usuallyan atom or a molecule. Also, the reaction of theincoming species is restricted to the surface ofthe film in inorganic CVD, whereas in polymerCVD, the incoming reactant species can diffuseinto the bulk of the film, owing to its highmobility, and react below the surface. CVD ofpolymers in general, follows a reaction pathway,similar to any free-radical polymerization, viathe initiation, propagation and termination route.Monomers are the incoming reactant species andthey adsorb on the surface of the substrate. Whenthe local adsorbed monomer concentration

Page 248: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 247

reaches a critical value, chain initiation occurs.This critical monomer concentrationrequirement virtually eliminates polymerizationin the gas phase. Whereas, in inorganic CVD, itis possible and is a common problem, thatnucleation could occur in the gas phase, leadingto particulate formation (also called "snow"effect), if the deposition conditions are notproperly optimized.

After initiation, chain propagation rapidlyoccurs. Growth termination would occur whenthe free radical end of the growing polymer chainreacts with another of its kind, or when it isburied too deep into the bulk of the film wherethe incoming monomer species is unlikely toreach.

In general, during CVD growth, the rate ofpolymer chain propagation exceeds those ofinitiation and termination and is a strong functionof the substrate temperature. This rate variesinversely with substrate temperature, i.e.,decreases with increasing substrate temperatureand vice versa. As mentioned earlier, chemicalreactions on the surface, lateral diffusion of theincoming species, vaporization, are allcharacterized by activation processes and obeyan Arrhenius temperature dependence of theform:

R/E)T/1(

KlnK−=

∂∂

(1)

where, K is the rate constant, EK is the activation

energy required, R is the universal gas constantand T is the absolute temperature.6 The value ofEK, the activation energy is negative forpolymers while in the case of inorganic CVDprocesses, the corresponding value is positive.Gorham presented an activation energy for filmgrowth in paraxylylene polymers of –9 kcal/mol.7 Similar negative values of activationenergies have been reported by other researchersas well.8 In comparison, thermal CVD ofepitaxial silicon (Si) from chlorosilanes requiresan activation energy of 40 kcal/mol.9 Thus, inmost polymer systems, as the substratetemperature increases, the deposition ratedecreases unlike in inorganic CVD systems.Consequently, it follows that, at low substratetemperatures, polymer CVD processes are drivenin mass-transport controlled regime whereas,

inorganic CVD processes are in surface-reactioncontrolled regime. As the substrate temperatureis increased, polymer CVD processes undergoa transition from a mass-transport controlledregime to a surface-reaction controlled regime.This is in contrast to inorganic CVD processes,where the transition occurs from surface-controlled regime at low substrate temperatures,to mass-transport controlled regime at highersubstrate temperatures.

Types of CVP

In general, several possible chemicalreactions can occur in a CVD process, some ofwhich are thermal decomposition (or pyrolysis),reduction, hydrolysis, oxidation, carburization,nitridization and polymerization. All of these canbe activated by numerous methods such asthermal, plasma assisted, laser, photoassisted,rapid thermal processing assisted, and focussedion or electron beams. Correspondingly, theCVD processes are termed, thermal CVD,plasma assisted CVD, laser CVD and so on.Among these, thermal and plasma assisted CVDtechniques are widely used, although polymerCVD by other techniques has been reported.10

Thermal CVD

As the name suggests, thermal CVD processinvolves a chemical reaction by thermalactivation. The principle of thermal CVD canbe easily understood from the following exampleof paraxylylenes:

Poly-paraxylylenes are deposited by athermal CVD process popular in themicroelectronics industry as the "Gorhamprocess".11 The starting material for thisdeposition process (precursor) is usually aparacyclophane dimer (DPX). The dimerdissociates to form reactive monomer species,which then undergoes nucleation and growthleading to polymer thin film formation. Thefollowing reaction ensues:

C700600

)torr1(VacuumPPXDPX °−

< ⎯⎯⎯⎯ →⎯

The details of polymerization and thin filmgrowth are presented in later sections. Poly-

Page 249: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu248

paraxylylene thin films deposited in this mannerare rigorously pursued as low dielectric constantmaterials for interconnections in ultra-large-scale integrated circuits (ULSI).

Plasma Assisted CVD (also known asPlasma Enhanced CVD or PECVD)

In a number of industrial applications, thehigh temperatures involved in the thermalprocess are unacceptable. It has been long knownthat the presence of charged particles could openup new reaction pathways, with lower activationenergies than that of their thermal counterpart.12

This is achieved by the formation of excitedspecies of the reactants in the presence of plasma,which allows the reaction to proceed atsubstantially lower substrate temperatures. Adetailed description of plasma chemistry andplasma-gas interactions is beyond the scope ofthis paper, and is well presented in literature.12-14

The presence of plasma creates a more thanequilibrium number of free radicals, which reactat sufficiently low temperatures and result inacceptable deposition rates. In addition tolowering the substrate temperature, the use ofplasma also offers some distinct advantages. Forinstance, energetic charged particle collisionscould produce certain metastable species, whichotherwise cannot be created by thermal CVDalone. For this reason, several hydrocarbons,which do not contain conventionally easilypolymerizable groups such as methane, ethaneand cyclohexane can be polymerized usingPECVD. In the realm of polymer thin films,PECVD is extensively used to deposit severalpolymers such as, poly-fluorohydrocarbons, andpoly-perfluorocarbons in the past,15,16 and morerecently, an entirely new class of materials calledplasma polymerized fluorinated monomers(PPFM) or fluoropolymers.17,18 The details ofdeposition and the properties of these films willbe discussed in the next few sections.

Polymers using CVP:Materials and Synthesis

CVD of polymer thin films (CVP) requiresthe following:

1. Initiation must occur exclusively on thesurface, to eliminate polymerization in thegas phase,

2. Rate of propagation must greatly exceed therates of initiation and termination,

3. The surface mobility of the monomers mustbe high and

4. The monomers must be stable under thedeposition conditions. In spite of theapparently simple requirements CVPimposes and the numerous advantages itoffers, there are relatively few polymerssynthesized using this technique.Only recently, there has been a tremendous

surge of interest in these coatings, particularlyin the microelectronic industry, although thedeposition of polymers by CVP dates back to1947.19 The key to the growing interest can beattributed to both the material properties of thefilms such as low dielectric constant, lowmoisture absorption, excellent corrosionresistance as well as the unique capabilities ofthe CVP process. These polymers and theirpolymerization mechanisms along with theproperties of the films obtained are the focus ofthis section. The following polymers arediscussed in detail separately.

1. Poly-p-Xylylenes (Parylenes)2. Polyimides3. Polynapthalenes4. Polyphenylene Vinylenes5. Polyazomethines

Figure 2 shows an example of polymersbelonging to each of these families. Each of thesepolymers, their polymerization and depositionmechanisms will be discussed below.

In addition to the above mentioned, therehave been reports of other polymers depositedusing CVP, but extensive studies have not beendone. Reports on 4-fluorostyrenes,20

polypyrrole21 and epoxy-amine polymers22 canbe foundelsewhere, and are not discussed indetail in this paper.

Poly-p-Xylylenes (Parylenes)

There have been several parylene polymersdeposited using CVP. Essentially, they are allderivatives of paraxylylene. The unsubstituted

Page 250: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 249

parylene is referred to as parylene-N. In thefollowing discussion, only parylene-N will beconsidered and its analogues will be discussedlater.

One of the first reports on the vapor phasesynthesis of parylenes was by Szwarc.23 Hepublished a series of papers detailing thechemistry and applications of parylene incoatings technology.23,24 In his pioneering work,he reported spontaneous polymerization ofp-xylylenes on condensation to formpoly-p-xylylene. However, this technique hasseveral practical limitations such as low yield,contamination with byproducts and the polymersobtained were loosely crosslinked. Later, a newsynthesis route to deposit linear parylenes wasdemonstrated by Gorham,11 which initiatedcommercial applications of parylene coatings.This polymerization scheme is widely popularin literature and will be referred to hereafter asthe Gorham process. It was shown thatdi-p-xylylene ((2,2) paracyclophane) isquantitatively cleaved at low pressures (< 1 mm)undergoing vapor pyrolysis at ~600°C to forma uniform coating of parylene. The basic

concepts of polymerization were developed laterby several researchers.25-27

Although Gorham process is the mostpopular method of parylene polymerization,there are reports of at least two otherpolymerization schemes for parylenes.28

Figure 3 shows the different polymerizationschemes used for CVP of parylene thin films.However, in this paper, only the Gorham processwill be discussed, the details of alternatepolymerization schemes can be foundelsewhere.28

Polymerization Mechanism and ThinFilm Formation

Chemical vapor polymerization of parylenethin films occurs through free radicalpolymerization. A generalized schematic of thechemical vapor polymerization reactor,employing the Gorham process is shown inFigure 4. The mechanism of polymerization isas follows:1. The precursor, paracyclophane sublimates

under vacuum (T ~150°C) and when the

CH2CH2

n

CH2CH2CH2CH2

n

C

O

N

C

O

C

O

N

C

O

O

n

C

O

N

C

O

C

O

N

C

O

O

n

n

(a)

(c)

(b)

CH

n

CH(d)

NN CHCH

n

(e)

Fig. 2: The families of polymers currently deposited using CVP (a) paraxylylene, (b) polyimide,(c) poynapthalene, (d) poly p-phenylene vinylene, and (e) polyazomethine.

Page 251: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu250

CH2CH2

CH2CH2

CH2XXCH2

CH3CH3

CH2CH2

n

CH2CH2CH2CH2

n

Gorham Method

Precursor Method

(Catalyst)

(Catalyst)

Fig. 3: Different synthesis techniques studied for parylene thin film deposition.28

DepositionChamber

Substrate

Cold TrapPressure gage

Pyrolysis Chamber

PrecursorEvaporator

Vacuumpump

Fig. 4: Generalized schematic of the deposition system employing a Gorham technique forparylene deposition.

vapors are transported to a high temperaturepyrolysis chamber (maintained at around600°C), cleavage of the two CH

2-CH

2 bonds

occurs. This results in the formation of twomonomer p-xylylene (PX) molecules.

Experimental evidence for thisdisassociation and the resultant monomerformation was found both directly andindirectly by several researchers.11,29 The PXmonomer has a ground state tetraolephinic

Page 252: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 251

(or quinonoid) structure and is diamagnetic(singlet), while in the excited state, itpossesses a benzoid structure and isbiradical (triplet). The energy differencebetween these two structures is only 12 kcal/mol., and hence there is a substantialfraction of the biradicals (triplets) afterpyrolysis. Further, theoretical calculationsshow that even in the quinonoid state, themolecule is extremely reactive and freeradical additions can readily occur.27

2. Chain initiation occurs when two monomerradicals are coupled to form a dimerbiradical and proceeds further.11 This is anendothermic reaction requiring a heat offormation of 16 kcal/mol. Because ofenergetic concerns, chain initiation isunlikely to happen in the gas phase at lowpressure. When the monomers are adsorbedonto the surface of the substrate, it isbelieved that, the high local concentrationof monomers promotes the formation ofbiradicals assisted by van der waals forces.Models developed for vapor depositionpolymerization of parylene-N indicate thatinitiation is a third order reaction with anactivation energy of 24.8 kcal/mol.25,26

3. Chain propagation occurs when themonomer molecules of free radicals reactwith the biradicals on the surface. Additionof a monomer unit to the dimer-biradical isan exothermic process by 18.4 kcal/mol.25,26

Consequently, the rate of propagation isseveral orders of magnitude greater than thatof initiation, enabling thin film formation.Beach suggested a penetration depth, i.e.,the distance into the film that the monomermolecules can diffuse before reacting,during the propagation step to beapproximately 400 nm, although the ratedecreases drastically with depth.25

4. Although no data on the chain terminationmechanism is available in literature, it isgenerally considered that terminationoccurs when two biradicals at chain endsreact or when the free radical end of thepropagating chain is buried too deep in thefilm. Evidence of the active polymer chainends has been provided by detection of high

concentrations of paramagnetic centers infreshly prepared films.27

The resulting polymers are crystalline, andit is generally assumed that crystallizationfollows polymerization. The reaction sequenceof the pyrolytic polymerization reaction is shownin Figure 5.

Influence of Deposition Parameters onFilm Growth

The quantitative models developed enableda thorough understanding of the parylenedeposition process.25,26 Further, these modelssuggested several deposition parameters thatcould be varied to tailor the thin film depositionfor various applications. They indicate that therate of consumption of the monomer duringpropagation is much larger than that duringinitiation, and is determined primarily by themonomer partial pressure and the substratetemperature. Influence of these two parametersviz., pressure and substrate temperature will bediscussed here.

1. Pressure:

For parylene, Beach,25 and more recentlyGaynor and Desu,26 formulated the followingequation relating the deposited polymer filmgrowth rate to the pressure:

( ) 5.1

5.1

0H

4/12pi

4/1

3p

pKDkk

2⎟⎟⎠

⎞⎜⎜⎝

⎛ ρ⎟⎟⎠

⎞⎜⎜⎝

⎛λρ

=γ (2)

where, γ is the growth rate, ρ is the massconcentration of the monomer (in g/cc), p is themonomer pressure, k

i and k

p are the initiation

and propagation rate constants respectively, Dis the diffusion constant of the monomer intothe film, and p

o is the monomer vapor pressure,

and KH is Henry's constant. As can be seen in the

equation the growth rate increases as the monomervapor pressure is increased. This could be attributedto the fact that, as the monomer vapor pressure isincreased, the number of active monomer specieswhich can take part in the chemical reaction leadingto film formation, increases.

Figure 6 shows the experimental evidencefor this dependence of growth rate on pressure.30

The deposition rate of parylene-N increases asp1.5, as predicted by the models.

Page 253: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu252

1. Substrate Temperature:

In their models, Beach,25 Gaynor and Desu26

also developed equations for the substratetemperature dependence of growth rates asfollows:25,26

R4

H6E2EE

)T/1(

ln vDpi Δ+−−−=

∂γ∂

(3)

where, Ei, E

p, E

D are the activation energies of

chain initiation, propagation and diffusion

processes respectively, and ΔHv is the heat of

vaporization. Here, as mentioned earlier,E

p = 8.7 kcal/mol, E

i = 24.8 kcal/mol, and the

composite activation energy given by

⎟⎟⎠⎞

⎜⎜⎝⎛

∂γ∂−=γ )T/1(

lnRE

was reported as -9 kcal/mol.25 The depositionrate law given by Cariou et al suggests a valueof -6.22 kcal/mol for the same.31 Both these

0

750

1500

2250

3000

-30 -20 -10 0 10 20 30

Substrate Temperature ( oC )

Dep

osi

tion

Rat

e ( A

o/m

in ) Pressure 50 mTorr

Fig. 6: Deposition rate of parylene-N as a function of substrate temperature.30

CH2CH2

CH2CH2

CH2CH2

CH2CH2

Thermal cleavage

~ 600 oC, < 1 torr

CH2CH

2

CH

2

CH2CH

2

CH

2

CH2CH

2

CH

2

CH2CH

2

CH

2

Initiator

Initiationsubstrate< Tthr

CH2CH2

n

CH2CH2CH2CH2

n

Poly-p-xylylene(PPX-N)

Fig. 5: Polymerization mechanism of Paraxylylene with paracyclophane as the starting material.11

Page 254: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 253

calculations show negative activation energy ofdeposition, which means that, as the substratetemperature is increased, the growth ratedecreases. Consequently, there is a maximumsubstrate temperature above which the rate ofdeposition becomes negligible. This maximumtemperature is usually referred to, as thresholdtemperature or "ceiling" temperature (T

thr). The

ceiling temperature is an important practicalparameter of CVD of parylenes, as it coulddetermine the limits of their applicability.Accordingly, later studies confirm the inversedependence of deposition rate with substratetemperature (refer to Figure 7). Clearly, veryhigh deposition rates (close to 250 nm/min) canbe obtained when the substrate is maintained atsufficiently low temperatures.30 This is in clearcontrast to inorganic CVD systems.1

Both pressure and substrate temperature, notonly determine the growth rate of the polymers,but also the average molecular weight of theresultant polymer.

Substituted Derivatives of Parylene-N

Since the procedure to deposit paraxylylenewas first developed by Gorham,11 severalresearch groups have synthesized numeroussubstituted analogues of parylene-N. Figure 8

shows the structures of commonly reportedpolymers belonging to the parylene family.Among these, the more popular ones are,poly-chloro-p-xylylene (parylene-C), poly-bromo-p-xylylene (parylene-B), poly-dichloro-p-xylylene (parylene-D), poly-tetrafluoro-p-xylylene (parylene-F or sometimes also calledAF-4). All of these are vapor depositable usingthe Gorham synthesis process. With theexception of Parylene-F, the precursors (dimerstarting materials) for all these polymers arecommercially available. All of these polymersfollow a polymerization mechanism similar tothat described in the previous few subsections,although certain deposition parameters such asthe threshold condensation temperature (ceilingtemperature) and optimum pyrolysistemperatures are different. Parylenes areparticularly attractive candidates as interconnectmaterials for low dielectric constant applicationsand there are several reports on the synthesis andproperties of parylene thin films in literature.32-36

Properties

Chemical vapor polymerized parylenes arein general highly crystalline and insoluble inmost of the available solvents even at elevatedtemperatures. As attractive candidates for

0

1000

2000

3000

4000

5000

0 20 40 60 80 100 120

De pos ition Pr e s s ur e ( m Tor r )

Dep

ositi

on R

ate

( Ao /m

in ) Substrate Temperature (-20 oC)

Fig. 7: Deposition rate of parylene-N as a function of pressure.30

Page 255: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu254

interconnect materials, they have beenthoroughly investigated in literature in terms oftheir crystal structure, thermal, electrical andoptical properties.

1. Crystal Structure

Crystallinity of parylenes is limited tosubmicron range domains dispersed randomlyin an amorphous matrix. The crystal structureand morphology of parylenes are stronglydependent on the substrate temperature. Earlyreports indicated that at substrate temperaturesabove -50°C, polymerization occurs firstfollowed by crystallization.37 Below -50°Chowever, a change in mechanism occurs. Theadsorbed monomer first crystallizes andpolymerization follows.37 In both the cases, theresultant films are highly crystalline (~ 60 %).Paraxylylene-N exists in two crystalline forms,a and b, the difference being that, the crystalstructure of a is monoclinic while that of b ishexagonal. Under the typical depositionconditions of Gorham process, parylenecrystallizes in a form, but it may undergo atransition to b upon heating or by stretching.27

The details of parylene crystal structures andtheir unit cell parameters can be foundelsewhere.38-39

2. Thermal Properties

Parylenes are in general, high melting point(>300°C) polymers. They exhibit differentdecomposition mechanisms when annealed inair (or oxygen) and inert atmospheres. In inertenvironment, melting is almost alwaysaccompanied by decomposition. In this case, itis not clear whether the process involvesdecomposition as a prelude to melting, or a merelyconcurrent phenomenon or a combination of both.5

In any case, thermal degradation in inertatmospheres occurs at temperatures exceeding400°C. When annealed in air or oxygen ambient,however, decomposition occurs at much lowertemperatures (around 250°C). It has been proposedthat, in oxygen ambient, peroxy radicals formedduring annealing attack the CH

2 bonds in

parylenes, resulting in chain scission leading todecomposition.40 Studies of films annealed in airshowed a drastic incorporation of oxygen on thesurface of the film.41

CH2CH2

n

(a) CH2CH2

n

CH2CH2CH2CH2

n

(a) CH2CH2

n

(b)

Br

CH2CH2

n

CH2CH2CH2CH2

n

(b)

Br

CH2CH2

n

(c)

Cl

CH2CH2

n

CH2CH2CH2CH2

n

(c)

Cl

CH2CH2

n

CH2CH2CH2CH2

n

(d)

Cl

Cl

CF2CF2

n

(e) CF2CF2CF2CF2

n

(e)

Fig. 8: Vapor deposited parylene analogues (a) polyparaxylylene (PPX-N),(b) polybromoparaxylylene, (c) polychloroparaxylylene (PPX-C), (d)polydichloroparaxylylene (PPX-D), and (e) polytetrafluoroparaxylylene (AF-4).

Page 256: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 255

Thermal stability is an important criterionfor microelectronic applications and hence hasbeen the focus of several studies. Figure 9 showsthe dynamic thermogravimetric analysis (TGA)of Parylene-N in both inert and oxygenenvironments. It is clear that, Parylene-N isstable up to about 480°C in inert ambient,beyond which it gradually decomposes.

3. Electrical Properties

Parylenes exhibit outstanding electricalproperties as insulating films. Characterizationof electrical properties is usually done by fillinga parallel plate capacitor with the polymersandwiched in between and measuring theelectrical capacity. Parylenes in general showvery low dielectric constants ranging from 2.4to 3.2 (refer to Table 1), and the dielectricconstant is invariant of annealing temperature.The low dielectric constant is due to the fact thatin these polymers, electronic polarization is theonly significant contribution to the dielectricconstant. In addition, the highly symmetrical,nonpolar nature of the polymers results in anextremely low dielectric dissipation factor. It is

also noteworthy that parylene thin films have ahigh dielectric breakdown strength (> 2 MV/cm). These properties along with the uniqueadvantages CVP offers, account for thetremendous increase in interest in the fabricationof these polymer thin films, as will be explainedin greater detail in section 5.1.

4. Optical Properties

In general optical properties of thin filmsare extremely important in applications such asoptical waveguides, antireflection coatings andoptical filters. Parylene thin films are transparentthroughout the visible spectrum with refractiveindices ranging from 1.609 to 1.678. Additionally,parylene thin films exhibit birefringence, i.e., therefractive index measured in the plane of thefilms differs from that measured in perpendiculardirection to the film thickness. The cross-sectional birefringence could be attributed to thestresses setup during the deposition process.5 Therefractive indices were found to be independentof the thickness of the film.

Table 1 summarizes all the above mentionedproperties of parylene thin films deposited using CVP.

0

20

40

60

80

100

120

0 100 200 300 400 500

Annealing Temperature (oC)

No

rmal

ized

Th

ickn

ess

Air

Nitrogen

Fig. 9: Dynamic TGA of Parylene-N in N2 and air environment.41

Page 257: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu256

Property PPX-N PPX-C PPX-D PPX-F (AF-4)

Mechanical Tensile Strength (psi)

6000 – 11000 10000 4000 - 13000 6200

Density (gm/cc)

1.1 1.29 1.42 1.51

Elongation to Break (%)

20 - 250 200 10 100

Water Absorption (%, 24 hrs)

< 0.1 % < 0.1 % < 0.1 % -

Thermal Melting Point (oC) 420 290 380 500 Linear CTE (103/oC) 3 – 8 3 – 8 3 – 8 - Glass Transition Temp. (oC)

60 – 70 80 – 100 80 – 100 110

Thermal Conductivity @ 25oC (cal/s.cm. K)

2.9 × 10-4 2 × 10-4 - -

Oxidation Temperature (oC) (slow)

150 180 - 250

Electrical Dielectric Constant (1 MHz)

2.65 2.95 2.8 2.32

Dissipation Factor (1 MHz)

6 × 10–4 1.3 × 10–2 2.3 × 10–3 7 × 10-4

Breakdown Strength (MV/m)

2.3 1.9 > 2 > 2

Volume Resistivity (ohm-cm)

1.4 × 1017 8.8 × 1016 2 × 1016 5 × 1016

Surface Resistivity (ohm)

1013 1014 5 × 1016 1.3 × 1014

Optical (λ = 632.8 nm) Refractive Index (in plane)

1.665 1.647 1.645 1.55

Refractive Index (out of plane)

1.609 1.629 1.678 1.45

Table 1. Summary of the Mechanical, Thermal, Electrical, and OpticalProperties of Parylene Thin Films Deposited Using CVD32,42,43,102

CVP of Polyimides

In comparison to parylenes, chemical vapordeposition (more commonly called vapor

deposition polymerization, VDP) of polyimidesis more recent. Chemical vapor deposition orVDP of polyimides was first reportedindependently by Iijima et al.44 and Salem et al.45

Page 258: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 257

Unlike parylenes, polyimides however, can alsobe prepared by a spin coating technique, and isinvestigated widely in literature.46,47 Onlyrecently, several polyimides have beensynthesized by vapor deposition polymerizationtechnique. The preparation techniques and theproperties of the films are discussed in thefollowing sections.

Polymerization Mechanism and ThinFilm Formation

Chemical vapor polymerization ofpolyimides follows a different route from thatof parylenes described above, in that, it is usuallya two step process. First the monomers areadsorbed on the surface of the substrate resultingin the formation of a short-chained oligomerintermediate, and then the films are cured at ahigher temperature (~ 300°C) to form the desired

C

O

O

C

O

C

O

O

C

O

NH2NH2

PMDA

C

O

O

C

O

C

O

O

C

O

C

CF3CF3

6FDA

NH2NH2

CF3

CF3

TFDB

m-PDA

H2N (CH2)10 NH2

DMDA

NH2NH2

BZD

NH2NH2

S S

DAPS

BPDA

C

O

O

C

O

C

O

O

C

O

O NH2NH2

ODA

C

O

NH

C

O

C

O

NH

C

OPMDI

(a)

(b)

C

O

O

C

O

C

O

O

C

O

C

O

O

C

O

C

O

O

C

O

NH2NH2NH2NH2

PMDA

C

O

O

C

O

C

O

O

C

O

C

CF3CF3

C

O

O

C

O

C

O

O

C

O

C

O

O

C

O

C

CF3CF3

6FDA

NH2NH2

CF3

CF3

TFDB

NH2NH2 NH2NH2

CF3

CF3

TFDB

m-PDA

H2N (CH2)10 NH2

DMDA

H2N (CH2)10 NH2H2N (CH2)10 NH2

DMDA

NH2NH2

BZD

NH2NH2 NH2NH2

BZD

NH2NH2

S S

DAPS

BPDA

C

O

O

C

O

C

O

O

C

O

C

O

O

C

O

C

O

O

C

O

C

O

O

C

O

O NH2NH2 O NH2NH2

ODA

C

O

NH

C

O

C

O

NH

C

OPMDI

C

O

NH

C

O

C

O

NH

C

OPMDI

(a)

(b)

Fig. 10

Fig. 10: Precursors for the VDP of polyimides (a) diamines and (b) dianhydrides.

polyimide. Several aromatic diamine and thedianhydride precursors studied in this regard, areshown in Figure 10. VDP of polyimides involvestwo precursors viz., aromatic diamines andaromatic dianhydrides, and therefore thedeposition system is different from that ofparylenes. Figure 11 shows a setup commonlyused for VDP of polyimides,48 although othertypes of deposition systems were also reported.49

In this setup, the source heaters are firstcalibrated to obtain the desired flux from eachcomponent. After codeposition onto a substratemaintained at temperatures below 50°C, amixture of reacted and unreacted material isobtained,50 which when subjected to a heattreatment process (curing) undergoes acyclodehydration resulting in a uniform film ofpolymer. Several aromatic polyimides have beenprepared in this manner, the polymerizationscheme is given in Figure 12. The transition from

Page 259: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu258

Thermocouple wires

Heater

Quartz boat(Precursors)

ThicknessMonitor

Shutter

Substrate

Fig. 11: Experimental setup for the VDP of polyimides.48

Fig. 12: Reaction pathway showing vapor deposition polymerization of aromatic polyimides.48

C

O

O

C

O

C

O

O

C

O

Ar1 + NH2NH2 Ar2 NH2NH2 Ar2

NH C

O

NH C

O

C OH

O

C NH

O

Ar1

Ar2

n

C

O

N

C

O

C

O

N

C

On

Ar1 Ar2

C

O

N

C

O

C

O

N

C

On

Ar1 Ar2

Dianhydride Diamine Polyamic acid

Polyimide

Page 260: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 259

the intermediate to the polyimide was confirmedby infrared absorbance spectra of PMDA/ODApolyimide.51

VDP of polyimides is usually performed invacuum (pressures <10-3 Pa). Just as in any CVDprocess, the deposition parameters greatlyinfluence the properties of the polyimide thinfilms. The effect of a few notable ones viz.,substrate temperature and the relative fluxes of theprecursor vapors is the focus of the next section.

Influence of Deposition Parameters

1. Substrate Temperature

Under typical deposition conditions ofpolyimides, the substrate is maintained at nearroom temperature (<50°C). As the substratetemperature is increased, the deposition rate isobserved to decrease45,52 with few exceptions.53

It was suggested that the sticking coefficients ofthe organic precursors decrease with increasingsubstrate temperatures, resulting in loweredgrowth rate. In general, reducing the substratetemperature can increase the sticking coefficientsof organic precursors, but this adversely affectsthe yield of chemically reacted intermediatespecies. At substrate temperatures below -20 oC,it was found that PMDA and ODA do not reactand only form a molecular mixture.54 It was alsoobserved that, within this range, films grown atlower substrate temperatures have a shorter chainlength.51 In addition, films prepared at relativelyhigher substrate temperatures tend to exhibithigher molecular orientation perpendicular to thesubstrate.53 In summary, lower substratetemperatures yield high deposition rate thin films(> 40 nm/min) but inherently contain unreactedspecies, which requires subsequent annealing.

2. Relative Amounts of Fluxes

As the VDP of polyimides involves twoprecursors, diamine and dianhydride, the relativeamounts of fluxes of the precursor vapors needto be controlled carefully to obtain high qualitypolyimide thin films. The effects of both excessdianhydride as well as the diamine componentshave been studied and reported in literature,45,51,52

It was observed that, excess dianhydride(PMDA) undergoes desorption when cured afterdeposition leading to poor thermal stability.

Excess diamine (ODA) component on the otherhand leads to undesirable chemical reactions. Forinstance, it was proposed that under conditionsof excess ODA, following imidization, the freeamino groups react with imide carbonyl groupsresulting in the formation of imine links.53 Theseimine links are unstable beyond 300°C,consequently, the films also exhibit poor thermalstability. The optimum relative fluxes need tobe determined for each polymer separatelytaking into consideration the differences in thesurface residence time of the species.

Properties of VDP Polyimide Thin Films

Interest in polyimide synthesis has beenprimarily driven by their excellent thermalstability, although processing problems havehindered their commercial viability untilrecently. In addition, polyimides haveremarkable solvent resistance along withexcellent electrical and mechanical properties.More recently, they are being thoroughlyinvestigated based on their electrical propertiesfor use in microelectronic industry. Severalreviews on application of polyimides in themicroelectronic industry are available inliterature.55,56 Since, polyimide thin films havebeen deposited by spin coating traditionally,comparative studies of vapor deposited films tospin coated films have been conducted and theproperties obtained from both techniques wereobserved to be largely similar. A detaileddescription of all the polyimides synthesizedprimarily by spin coating is available inliterature.57 There were however, somedifferences such as higher purity in VDP films,which could be attributed to the absence ofsolution-related problems like solvent retention.Also, the average molecular weight of thepolymers prepared from VDP and spin coatingare different, (~13000 ± 1000 for VDP films and20000-40000 for films made by spin coating.51

In this section, some of the properties ofpolyimide thin films prepared by vapordeposition polymerization are discussed.

1. Crystal Structure

Kubono et al. studied the dependence ofmolecular orientation of vapor deposited

Page 261: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu260

polyimide films on the substrate temperature.53

Their studies revealed that, the films depositedat high substrate temperatures (125 and 175°C)exhibit a molecular orientation preferentially inthe plane normal to the substrate, unlike solutionbased spin coated films, and undergo littlechange in orientation even upon annealing. Iidaet al studied molecular orientation using wide-angle x-ray diffraction technique, and observedthat the x-ray peak intensity increases withannealing, indicating an increase in crystallinity,but the crystalline order vanishes completely at300°C.58 Also, estimations of inter-planardistance using x-ray diffraction studies yieldeda value of 2.7 nm for VDP films, while thecorresponding value for spin cast films was1.45,57,59 although further studies need to beconducted to explain these differences.

2. Thermal Properties

The post deposition processing parameters(curing temperature, time) have a profoundimpact on the thermal properties of polyimidethin films. It has been observed that, films curedat around 300°C for 1 hour exhibit markedlysuperior thermal stability as compared to thosethat were not cured.42,60 Cured PMDA-ODA

polyimide thin films showed less than 5% weightloss even at 500°C (with the onset of thermaldegradation occurring at 470°C in nitrogenambient) whereas uncured films showed a lossof about 23%.45 The lower thermal stability ofuncured films could be due to the presence of30-50% unreacted material in the film. Uponcuring the amount of unreacted material isreduced considerably resulting in higher thermalstability of the cured films. In comparison toPMDA-ODA films, BPDA-OTD polyimidesshow a slightly higher thermal degradationtemperature of 530°C.60 This was attributed tothe rigid, rod-like structure of these polymers.The thermal stability of PMDA-ODA polyimidefilm can be seen in Figure 13.45

3. Electrical Properties

Along with their excellent high temperaturestability, polyimides also possess remarkableelectrical properties. A detailed review of theelectrical and optical properties of polyimidescan be found in Ref. 62. Electrical properties ofpolyimides were primarily investigated in viewof their low dielectric constants and insulatingproperties. Figure 14 shows the dependence ofelectrical conductivity of vapor deposited and

Fig. 13: Weight loss vs temperature for VDP, cured PMDA-ODA polyimide thin film.45

0

20

40

60

80

100

120

0 200 400 600 800

Temperature ( oC )

No

rmal

ized

Wei

gh

t

5%5%

Page 262: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 261

spin coated films on annealing temperature.48 Itis clear that, vapor deposited films showsignificantly lower conductivity compared tospin coated films. This difference in conductivitycan be attributed to the lower level of impuritiessuch as dusts, retained solvent etc., in the VDPfilms compared to those prepared byconventional solution technique. The dielectricconstant of the vapor deposited polyimide wasfound to be 2.9, which is considerably lower thanthat of spin coated films (ε

r = 3.2 - 3.5).51 The

dielectric dissipation factor is also lower for VDPfilms (tanδ = 0.008 for VDP films and 0.010 forspin coated films). The dielectric breakdownstrength was greater than 2 MV/cm.60

4. Optical Properties

In general, polyimides exhibit a highrefractive index typically between 1.6 and 1.7and hence can be of definite practical interestparticularly as antireflection coatings. Also,similar to parylene thin films, polyimides exhibitanisotropic optical properties. The reportedvalues of refractive index of polyimides weren

|| = 1.653 and n⊥ = 1.624 before curing and

n|| = 1.695 and n⊥ = 1.653 after curing. The

birefringence (n⊥ - n||) was found to be –0.042

after curing which was again lower in magnitudethan the films prepared using spin coating(-0.078).45 Assuming birefringence is a result ofthe anisotropy in chain orientation, it wassuggested that vapor deposited thin films havemore or less random molecular orientation,whereas the films prepared by spin coating areoriented primarily in the plane of the substrate.The anisotropy of spin coated and VDP grownpolyimide thin films was also detected usinginternal reflection infrared spectroscopy andcorroborated these suggestions.61 This reducedanisotropy in VDP films could play an importantrole in the optical applications of polyimide thinfilms.

Table 2 shows all the above mentionedcharacteristics of VDP grown polyimide thin films.

Polynapthalenes

Polynapthalenes are essentially insoluble,infusible polymers, resistant to oxidation,

Fig. 14: Electrical conductivity as a function of temperature (a) vapor deposited and (b) spincoated.

1.00E-19

1.00E-18

1.00E-17

1.00E-16

1.00E-15

1.00E-14

1.00E-13

2 2.2 2.4 2.6

1000 / T ( K-1 )

Co

nd

uct

ivit

y (

Ω-1

. cm

-1)

Vapor deposited

Spin coated

1.00E-19

1.00E-18

1.00E-17

1.00E-16

1.00E-15

1.00E-14

1.00E-13

2 2.2 2.4 2.6

1000 / T ( K-1 )

Co

nd

uct

ivit

y (

Ω-1

. cm

-1)

Vapor deposited

Spin coated

Page 263: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu262

radiation and exhibit excellent thermal stability.A complete discussion of napthalenes, theirsynthesis (solution based), and properties ispresented in a review article by Speight et al.63

However, there have been relatively few reportsof studies on vapor deposited napthalenes. Langet al. deposited polynapthalene and poly-fluorinated-napthalene using chemical vapordeposition, and reported the properties of thesethin films.28 Their synthesis technique andproperties are discussed in the subsequentsections.

Polymerization Mechanism and ThinFilm Formation

Chemical vapor deposition ofpolynapthalene differs from the parylene andpolyimide systems in terms of the depositionprocess. As mentioned in earlier sectionsdeposition of parylenes and polyimides occurson cold surfaces, and the deposition ratedecreases with increasing substrate temperature.In other words, deposition is done in a "hot wall"reactor. In contrast, CVD of polynapthalenes isperformed in a "cold wall" reactor, meaning thatthe substrate is maintained at a high temperature(~350°C) while the surrounding walltemperature is kept at near room temperature. Aschematic of the CVD reactor setup employedby Lang et al. can be found in Ref. 28.

1,2-Diethynylbenzene and 1,2-diethynyl-tetrafluorobenzene were used as the precursors,the synthesis of which can be found elsewhere.64

The precursors were vaporized in vacuum, andthe vapor was transported into the hot chamberwhere the substrates were placed. Polymer filmformation requires the substrates to bemaintained at 350°C. Unlike the parylenes wherebond dissociation occurs, in this case, the hightemperature surface of the substrate causeschemical bond rearrangement leading to theformation of free radicals. Condensation of thesefree radicals is immediately followed bypolymerization. The proposed polymerizationscheme is shown in Figure 15.

Properties

Polynapthalenes are in general, rigid rod-like low molecular weight aromatic polymersand are insoluble in most common solvents. Thinfilms of polynapthalene deposited by CVD aremicrocrystalline as deposited, and show a lowdielectric constant (ε

r = 2.4), with high dielectric

breakdown strength (3 MV/cm), along withexcellent thermal stability (dissociationtemperature ~570°C).28 CVD studies have so farbeen done primarily in view of their lowdielectric constants, for use as intermetallicdielectrics in ULSI interconnect applications.

Polyimide Tcuring (oC) n ε

r Breakdown

Strength (MV/cm)

ILeakage (@ 5V)

(pA/cm2) Tdecomposition

6FDA-BDAF 300 1.58 2.7 - - 350 PMDA-BAPP 400 - 2.7 - - 420 PMDA-meMDA

400 - 3.1 - - 450

PMDA-ODA 400 1.78 3.0 4 7 470 PMDA-OTD 400 - 3.0 - - 520 BPDA-OTD 400 - 2.9 2 10 530

Table 2. Summary of the Properties of Polyimide Thin Films DepositedUsing CVD42,45,48,60

Page 264: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 263

Poly(p-phenylene vinylene)

Conjugated polymers like poly(1,4-phenylene-vinylene), PPV, or more generallyPoly(arylene-vinylenes), PAVs have evokedconsiderable interest as electrically conductiveand nonlinear optical materials. More recently,electroluminescence properties of PPV haveattracted substantial attention, since it was firstreported in 1990.65 Direct synthesis of PPV hasbeen limited by its insolubility. Hence, the mostcommonly used routes are based on solublepolymer precursors65 or soluble conjugatedprecursors.66 The latter process is also commonlyreferred to as the sulfonium-based poly-electrolyte precursor route. PPV thin films fromthese solution-based routes, however, haveproblems related to contamination by solventsand oxidative defects in the polymer.67,68 CVP isan alternate method for the deposition of highquality thin films of PPV. Reported first byIwatsuki et al.,69 it was investigated forelectroluminescence applications by Staringet al.70

The advantage of CVP, apart from theelimination of solvent induced contaminationand oxidative defects, is that it is compatible withtraditional inorganic semiconductor processes inthe microelectronic and optoelectronic industry.This would be extremely important in theintegration of polymer and inorganicsemiconductors into hybrid devices with aminimum of processing steps. Moreover, CVPallows the mixture of monomeric compoundsin any ratio, thus facilitating the deposition ofgraded films.

Several researchers have used variousprecursor materials and processing parametersin order to produce fully conjugated PPV withdesirable properties.69-72 Furthermore, Schaferet al.73 demonstrated that segmented PPVs, withvarying segmentation ratio, can be produced bythe CVP process.

Mechanism

Conjugated polymer preparation by CVP isa two step process. The first step involveschemical vapor depostion of an unconjugatedprecursor polymer. The second involves theconversion of the unconjugated polymer into aconjugated polymer. This is done bydehydrohalogenation, or dehydrogenation, of theunconjugated polymer to induce the formationof a carbon-carbon double bond.

Initial work in this area was done byIwatsuki et al.,74 who used the two-step processto synthesize a highly conjugated, poly-2,5-thienylene-vinylene (PTV) film. The mechanismof film formation was as follows:1. A starting precursor, (2.2)(2,5) thiopheno-

phane, was sublimed at 100°C. Theevaporated precursor was then cracked at apyrolysis temperature of 580°C and thedeposited at a substrate temperature of 0°Cto produce an insoluble poly-2,5-thienylene-ethylene (PTE) film. Figure 16shows the CVP deposition of PTE film.

2. This PTE film was then dehydrogenized using2,3-dichloro-5,6-dicyano-1,4-benzoquinone(DDQ) to produce a PTV film. Figure 17shows the conversion scheme.

C CHC CH

C CHC CH

350oC

0.25 torr nn

Polymerization

Fig. 15: Polymerization scheme of polynapthalenes.28

Page 265: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu264

SCH2CH2

nSS

CH2CH2

n

+

CN

CN

Cl

Cl

O

O

CN

CN

Cl

Cl

O

O

SSCH2=CH2

n

+

CN

CN

Cl

Cl

OH

HO

PTE DDQ PTV DDQ-H2

SS

S

SS SSCH2CH2

n

Tp ~ 580 oC

Tsub~ 100oC

Ts ~ 0 oC

Fig. 16: Reaction scheme for PTE film by CVP of [2.2] (2,5) thiophenophane presursor.74 Tp:pyrolysis temperature, Tsub: sublimation temperature of precursor, Ts: substrate temperatureduring CVD.

Fig. 17: Reaction scheme for PTV film by dehydrogenation of PTE using DDQ.74

Cl

Cl

CHCl

CH2

n

CHCl

CH2

n

CH CH

n

CH CH

n

PPV

Δ

-HCl

Tp ~ 580 oC

Tsub~ 120oCTs ~ 20 oC

(2)(1)

Fig. 18: Reaction scheme for PPV by thermal dehalohydrogenation of (2) film. (2) is formed bythe CVP of (1), the dimer (1, 9-dichloro-2, 2-paracyclophane).69 Tp: pyrolysis temperature,Tsib: sublimation temperature of precursor, Ts: substrate temperature during CVD.

Page 266: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 265

Iwatsuki et al. followed up on this by usinga similar process to produce PPV films.69 Somemodification, however, were introduced into theprocess. In the first step, poly-phenylene-ethylene (PPE) was deposited by the Gorhamprocess.11 When this was attempted to beconverted to PPV by dehydrogenation, usingDDQ, the PPE film was found to be extremelystable. Therefore, a leaving group (chlorine) wasintroduced which, was not only stable duringthe vapor deposition process but was also easilyremovable during the conversion of PPE to PPV.Figure 18 shows the two step process for theformation of PPV film.

Later researchers followed essentially thesame process, substituting monomer precursorsinstead of the dimer, to produce PPV films.70-72

The monomers were evaporated and the vaporscarried into the pyrolysis chamber, where theywere pyrolyzed at ~800°C. The reactant specieswere then transported to the substrate maintainedat 60°C to form the precursor polymer film. Thisfilm was then thermally converted to PPV at150-320°C.70-72 Scafer et al.73 have further shownthat PPV can be produced via thedehydrogenation route introduced by Iwatsukiet al.74 They showed that soluble a-phenylsubstituted poly-p-xylylene can be prepared byCVP of 1-α-chlorobenzyl-4-methylbenzene.The parylene was then dehydrogenated by DDQresulting in PPV. This process could be used toproduce both segmented and unsegmentedPPVs. The segmentation ratio was controlled bythe molar ratio of the parylene to DDQ, in the

dehydrogenation step. The reaction scheme isshown in Figure 19.

Composition and Structure

One of the major compositional hurdles ofPPV produced by CVP is aliphatic hydrocarbonincorporation. This indicated incompleteconjugation which interrupts the conjugatedπ-bond structure of the polymer, therebyinfluencing the energy bad-gap and peakemission wavelength. Staring et al.70 indicatedthat all their films had aliphatic hydrocarbonincorporation. Further studies71,75 indicated thatsecondary reactions taking place duringprocessing might be the cause of this aliphatichydrocarbon incorporation.

1. Composition

Use of different monomer precursorsindicated that symmetrically substitutedmonomers (α,α'-dihalogeno-p-xylenes) resultedin significantly larger amounts of aliphatichydrocarbon incorporation as compared tounsymmetrically substituted monomers (α,α-dihalogeno-p-xylenes).71 Figure 20 shows thereaction schemes for PPV deposition usingsymmetrically and unsymmetrically substitutedmonomers.

Vaeth and Jensen75 indicated that,fragmentation of precursors during pyrolysiswas the major source of aliphatic hydrocarbonincorporation. This fragmentation was alsoobserved in parylenes, which prompted theintroduction of (2,2) paracyclophanes11 and α,α'-

Cln

x y( ( ( (

n

Tp ~ 800oC

Ts ~ 60 oC

DDQ

(1) (2) (3)

Fig. 19: Reaction scheme for segmented PPV (3), by dehydrogenation of soluble precursor polymer(2), using DDQ. (2) is deposited by CVP of (1).73 Tp: pyrolysis temperature, Ts: substratetemperature during CVD.

Page 267: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu266

XX

X

n n

+ + HX

n

Tp = 900oCTs = 60 oC

Δ(150 - 320 oC)

PPV

Fig. 20: Reaction scheme for PPV using CVD from (a) symmetrically and (b) unsymmetricallysubsituted monomers. (a) shows a possible route for aliphatic hydrocarbon incorporationby formation of parylene during polymerization.71

X

X

X

n

+ HX

n

Tp = 900oCTs = 60 oC

Δ(150 - 320 oC)

PPV

dibromo-p-xylylenes, in conjunction with metalpromoters76,77 in order to reduce the pyrolysistemperatures. Thus, in the case of PPV, use ofchlorine as the leaving group, instead of bromine,and lowering the pyrolysis temperature wouldlead to lesser fragmentation and consequentlylesser aliphatic hydrocarbon incorporation.75

2. Structure

PPV samples prepared by solution basedsulfonium precursor routes were found to bepolycrystalline.78 PPV thin films prepared byCVP, however, show a structure that is dependent

on the annealing/conversion temperature.71 Atannealing temps < 200°C, the PPV samples werefound to be amorphous, while annealingtemperatures > 250°C yielded a polycrystallinestructure with small and highly distorted crystals.The reason for this was suggested to be that PPVexhibits a glass transition at about 220°C.71

Properties

Interest in PPV has centered on its potentialuse as an active element in light emitting devices.

(a)

(a)

Page 268: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 267

Hence the majority of studies of its propertieshave focussed on electroluminescence andphotoluminescence.

1. Electroluminescence

Electroluminescence studies of CVP-PPV,by Staring et al., showed a maximum efficiencywas 0.002% at 35V for devices with ~550 nmthickness.70 They reported that thinner deviceshave lower threshold voltages for light emission,but often burn out before light emission can beobserved. Vaeth and Jensen indicated thatlayered thin films (~ 60 nm) with high integritycan be fabricated by lowering the substratetemperature to about 25 from 60°C.79 Thereduction in the thickness of the films resultedin lower turn-on voltages, comparable to theones obtained by solution-based route. Also, theuse of chlorine as a leaving group, resulted ineven lower turn on voltages. This was suggestedto be due to residual chlorine from the thermalconversion, which dopes the polymer, thuschanging the carrier injection properties of thefilm.79

Schafer et al.71 suggested that the reason forsuch a low efficiency of CVP-PPV devices mightbe due to the fact that the indium-tin oxide (ITO)electrode, used in typical LED devices wasdestroyed by the hydrogen halogenides releasedduring the CVP process. Replacement by neutralgold electrodes was shown to produceelectroluminescence at a threshold field of theorder of 106 V/cm, which is comparable to thatof solution based PPV.65 Further, the use ofsoluble parylene route to produce PPV, wouldavoid the generation of hydrogen halogenidesduring CVP, thereby eliminating the corrosiveeffect on ITO.73

2. Photoluminescence

Photoluminescence spectra of CVD-PPVfilms, show a blue-shift in the maximum PLwavelength relative to the maximum PLwavelength of solution-based PPV.70-73, 75,79 Thisresult was attributed to the higher degree ofdisorder in CVD-PPV films as compared tosolution based PPV films. This has beenattributed to aliphatic hydrocarbonincorporation, which reduces the effectiveconjugation length. Thus, PPV films from

precursor with bromine as the leaving group (Br-PPV) have been found to have peak PL relativelyblue-shifted as compared to Cl-PPV.75

Polyazomethines

Polyazomethines belong to a class ofpolymers that have highly ordered molecularorientation. These materials are important fortheir electronic, optical and nonlinear opticalproperties.80,81 Specifically, polyazomethineshave been studied for their electroluminescenceand nonlinear optical properties. Conventionalsynthesis of polyazomethine involves thesolution-based route, and suffers from the samelimitations as mentioned earlier [section 1]. Also,second order non-linearity in solution depositedpolymers is typically induced by a process calledpoling. This process involves applying a highelectric field at a temperature close to thepolymer's glass transition temperature (T

g) after

the film is formed by spin coating.82,83 The polingtechnique, however, suffers from inherentdrawbacks such as the creation of a free volumethat allows the relaxation of the polymer. Themetastable structure due to poling can also bedisordered by thermal disturbances. Variousmethods, ranging from cross-linking83 to highT

g polymer,84 have been proposed to overcome

this problem. Moreover, the host matrix inhibitsthe mobility of the chromophores (molecularspecies, introduced for polarity), during poling.

Yoshimura et al. suggested that all the abovementioned problems associated withconventional poling of spin-coated polymers canbe overcome by applying an electric field to theCVP process.85 This process (called E-CVD)results in an as-deposited poled polymer, as thechromophores align themselves during thepolymerization process itself. Since, alignedchromophores tend to de-align themselves dueto thermal disturbances (brownian motion), ahigh T

g polymer is temporally more stable. CVP

allows for the deposition of high Tg polymers at

room temperature. Thus, the problems associatedwith thermal disturbance and the host matrix aswell as the free volume would be greatlyreduced. This is another of the process

Page 269: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu268

NH2H2Nn NH2H2Nn n+ CHOOHC CHOOHC NN CHCH

n

-(2n-1)H2O

PPDA TPA Polyazomethine

Fig. 21: Reaction scheme for CVD synthesis of azomethine conjugated polymer, poly.

advantages of CVD as compared to solutionbased techniques.

Synthesis

CVP of polyazomethine involves theintroduction of two monomers separately andsimultaneously into the deposition chamber toform a polymer on the substrate. The startingmonomers are p-phenylenediamine (PPDA) andterephthalaldehyde (TPA). These monomers aresublimed in separate chambers and thenintroduced into a vacuum chamber. Thesublimed monomer gases are held at pressuresranging from 10-3 to 10-1 torr. The deposition ratewas found to be dependent on the gas pressures,which were controlled by changing thetemperature of the monomer chambers from120-150°C. Thus deposition rates ranging from0.1 to 10 A°/s were obtained.86,87 Weaver andBradley deposited polyazomethines bysimultaneous introduction of co-sublimedmonomer gases into a deposition chamber heldat 3 × 10-1 Pa.88 Figure 21 shows the reactionscheme.

Tatsuura et al. employed the earliermentioned E-CVD process to depositpolyazomethine conjugated polymer films withsecond order nonlinear optical properties.87 Themonomers used were 4-methoxy-o-phenylenediamine (MPDA) and o-phtalaldehyde(o-PA). Ortho-type monomers were used toensure a total dipole moment for the polymer inthe direction perpendicular to the chain.Figure 22 shows the structure of the monomersand the resultant polymer.

The energy gap of the polymer depends onthe deposition rate.87 Figure 23 gives the

variation of the absorption peak height andenergy gap as a function of the deposition rate.It can be seen that as the total deposition ratedecreases the absorption peak height increasesand the energy gap decreases. This wassuggested to be due to the increase in conjugationlength, which was attributed to the increasedtime allowed at lower deposition rates, for themonomers to combine to form the polymer.87

Properties

1. Electroluminescence

Electroluminescence (EL) studies done onCVP Polyazomethines indicated that it isprimarily an electron transport polymer with aturn-on field ~ 105 V/cm.88 This turn-on field ismuch better than that reported for solutionprocessed PPV LEDs,65 and is in the same rangeas the some of the best values reported for theCVP-PPV.79 However, EL emission was onlyobserved when a hole-transporting layer wasintroduced. They suggested that this might bedue to the high ITO/polyazomethine energybarrier which injection of holes from the ITOelectrode.88

2. Nonlinear Optical Properties

Second order: polyazomethine possessesboth donor and acceptor groups at the molecularlevel, which when aligned gives a poled polymer.Tatsuura et al. fabricated an as-deposited poledpolymer film by applying an in-situ electric fieldduring CVD and reported on the second ordernonlinear optical properties of the obtainedfilm.87 The polymer film refractive index wasreported to be about 1.68 at 632.8 nm. Secondorder NLO susceptability, β, was found to be

Page 270: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 269

3 – 5 times that of para-nitroaniline.87 An electro-optic (EO) signal, though small, was observedafter heat treatment of the polymer at 80°C for5 hrs. The EO coefficient, however, was notreported.

Third order: The third order nonlinearity ofpolyazomethine is derived from its linearly

conjugated structure. Furthermore, its longconjugated structure results in a high electro-optic (EO) coefficient.89 McElvain et al.90 studiedthe third-order NLO properties ofpolyazomethines. They reported the χ(3) peaksusceptibility values for poly-nitrilo-1,4-phenylenenitrilomethylidyne-1,4-phenylene-

NH2H2N

CHOOHC

OCH3N=CC=N

OCH3

C=N N=

OCH3

Dipole Moment

n

+

Polyazomethine

MPDA

o-PA

Fig. 22: Reaction scheme for CVD synthsis of polyazomethine with second-order nonlinearproperties. The possible dipole moment is shown.87

0

1

2

3

0 2 4

2.9

3

3.1

0 2 4

Deposition Rate (oA/sec ) Deposition Rate (oA/sec )

Abs

orpt

ion

Pea

k H

eigh

t (

arb.

uni

ts )

Ene

rgy

Gap

( e

V )

Fig. 23: Peak absorption and energy gap as functions of deposition rate of polyazomethine.87

Page 271: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu270

methylidyne (PNPP) and poly-nitrilo-2,5-azinylnitrilomethylidyne-1,4-phenylene-methylidyne (PNAP), to be 2.8 × 10-11 e.s.uand 1.8 × 10-11 e.s.u respectively. Orientationof the films was using a SiO

2 underlayer was

found to enhance the χ(3) value of PNPP by abouttwo times to 6.24 × 10-11 e.s.u.90

Novel Polymeric Materialsusing CVP

In addition to the above-mentionedconventional polymers, there are a few novelmaterials that are uniquely deposited by CVD.The following section discusses the depositionand properties of these materials.

CVP of Fluoropolymers

The unique capabilities of chemical vapordeposition are clearly demonstrated by the thinfilms belonging to this class of polymers. Yasudaet al first studied and reported the polymerizationof organic compounds in glow discharge.15,16,91

Polymerization of organic compounds in thepresence of plasma is quite different from theconventional chemically or radiatively initiatedpolymerization. For instance, polymerization ofstyrene in conventional polymerization can bedone using several means of initiation such asradiation, pyrolysis induced, etc., to create thefree radical species. But the propagation is

essentially the same step, addition reaction ofthe free radical on to the vinyl double bond ofstyrene. In this case, two factors namely, theconcentration of the reactive species and thepresence of the vinyl double bond are extremelyessential for the polymerization to occur, andthe absence of either implies no polymerization.Also, there is a balance between the number offree radicals generated and consumed. In plasmapolymerization, the presence of plasma createsseveral reactive species and the balance betweenthe production and consumption of reactivespecies is not necessary. This induces additionalproperty of crosslinking in plasma derivedpolymer films, as in the case of styrene.Consequently, plasma polymerized styrene is notthe same as polystyrene. Also, there are severalreactive species in a plasma. This excess andvariety of reactive species can be exploited toform an entirely new class of materials calledplasma polymerized fluorinated monomers(PPFMs). These are not "polymers" in theconventional sense, as in, there is no onerepeating unit. This can be understood moreclearly by looking at Teflon-like (Dupont,Wilmington, DE), amorphous fluorinated polymer(Teflon AF), shown in Figure 24. Several suchpolymers have been studied by Yasuda et al andare shown in Table 3.91 In the followingdiscussion, we will examine the deposition andpolymerization of plasma polymerizedfluorinated monomers (PPFM) more closely.

CF2 CF2C CF2

CF2

CF CF C CF CF2

C C C C CF2

CF3

Fig. 24: Structure of a typical Teflon like fluoromonomer obtained by plasma enhanced CVD.18

Page 272: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 271

Plasma Polymerized FluorinatedMonomers (PPFM): Thin FilmFormation

Plasma polymerization is a verycomplicated process, and the overall growth rateis a function of several independent factors suchas the type of discharge, reactor geometry,properties and temperature of the substrate,pressure, type and composition of the feed gasand so on. As a result, formulation of ageneralized reaction mechanism is not easy.However, attempts were made to formulate theoverall mechanisms of plasma polymerization,and can be applied to many cases.15

Plasma polymerized fluorinated monomers(PPFMs) are deposited by a freon fed glowdischarge. Glow discharge (also called "coldplasma") is essentially a non-equilibrium systemgenerated in the presence of an electric field.Typically, plasma consists of a significantdensity of neutral atoms, ions, molecules,electrons and free radicals, all at differenttemperatures, thereby creating a "non-thermodynamic condition".92 Freon fed plasmasare commonly used to deposit PPFMs. Freon-fed plasmas are of particular interest because oftheir versatility in enabling (1) deposition. ofplasma polymerized fluorinated monomers(PPFM) and (2) plasma etching of a variety ofsubstrates. Both these processes are inherentlycompetitive and present in any system. The ratesof deposition and etching can be controlled bythe plasma parameters such as the compositionof the feed gas, plasma pressure, substratetemperature etc, and the desired process,deposition or etching, can be achieved.

Fluorocarbon plasmas produce twodifferent kinds of reactive species in the glow,namely, CF

x and F atoms. The density of CF

x

determines the rate of deposition while that of Fatoms, the rate of etching.93 Therefore, to achievehigh deposition rates, CF

x to F ratio is to be

maintained high. As is the case of any CVDprocess, deposition starts with the adsorption ofthe species on the surface, thereby creating an activesurface site, and polymerization occurs by thereaction of the active species in the plasma withthe surface active sites, resulting in film formation.The properties of the film are clearly a function ofthe several plasma-surface interactions along withprocess parameters such as the substratetemperature, composition of the feed gas and soon. The effects of some of these parameters onthe growth rate of teflon AF is discussed below.

Influence of Deposition Parameters

A thorough understanding and carefulcontrol of plasma parameters can enable theprocess to be tailored to the desired application.It must be acknowledged however, that in spiteof an impressive number of studies reported, anaccurate prediction of the molecular structureof plasma polymers is not available. However,attempts have been made to examine the effectsof various deposition parameters on the growthof plasma derived polymer thin films, and someof the results are summarized below.

1. Effect of Gas Feed Composition

As mentioned earlier, freon-fed plasmasgenerate the two species CF

x radicals and F

atoms. CFx radicals adsorb on the surface and

contribute to the film grown. In contrast, F atomsreact with the substrate and contribute to etching.It should be noted that both these processes arecompetitive and occur concurrently. Therefore,it is obvious that for the film deposition processto dominate the etching, the density of CF

x

Ethylene Acetylene Acrylic acid Tetrafluoroethylene Styrene Propionic acid Benzene Cyclohexane Vinyl acetate Hexafluorobenzene Ethylene oxide Methyl acrylate

Table 3. List of All the Plasma Polymerized Organic Monomers91

Page 273: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu272

radicals in the plasma should be much greaterthan that of F atoms. Agostino et al studied thedensity of various reactive species in the plasma(CF, CF

2, CF

3, and F atoms) as a function of the

composition of gas feed. It was expected thatthe order of increasing polymerizing capabilitywould be C

2F

4> C

3F

8> C

2F

6> CF

4.94 To further

reduce the etching effect in the plasma differentgases such as hydrogen and hydrocarbons areoften used as "F atom scavengers." Figure 17shows the rate of polymerization as a functionof the percentage of additive (H

2) in the feed

gas.94 It was observed that the rate ofpolymerization increases with the addition of Hin the feed gas, as shown in the figure.94

2. Substrate Temperature

It has been widely reported in literature thatthe polymerization rate of PPFMs is adverselyaffected by the substrate temperature.94,95

Agostino et al proposed a possible mechanismto explain this behavior.95 They proposed thatpolymerization mechanism is composed of twosteps namely, adsorption of the species on thesurface and subsequent polymerization. As thesubstrate temperature is increased, the speciesadsorbed on the surface have a higher tendencyto desorb before polymerization, leading to lowerpolymerization rates. The rate of polymerization

as a function of substrate temperature is shown inFigure 25. From the figure, it is clear that, at verylow substrate temperatures, the activation energyis close to zero, while at higher temperatures,the adsorption process is the rate limiting stepand correspondingly the polymerization rateinvolves a substantial activation energy.

These plasma polymerized thin films havebecome increasing popular in the last few years,particularly, teflon like polymers, owing to theirexceptional properties some of which aredescribed below.

Properties

For a long time, teflon has been recognizedto have the lowest dielectric constant with verygood mechanical strength. However, a fewproblems such as cold creep which arisesprimarily from the crystallinity, and pooradhesion have hindered its applicability. On theother hand, plasma polymerization offluoropolymers (PPFMs) have improvedadhesion and lower crystallinity, therebyreducing creep, and is currently investigated fornumerous low dielectric constant as well asoptical applications. Some of these propertiesof PPFMs are described in brief in the followingsections.

Fig. 25: Polymerization rate as a function of H in the feed gas as an additive to C2F

6.

0

2

4

6

8

0 20 40 60 80

Percent H2 in Feed

Rat

e po

lym

Page 274: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 273

1. Molecular Structure

Two distinct molecular structures of teflon-like fluoropolymers have been identified byAgostino et al.95 Figure 26 shows the twostructures reported. It can be seen that the firstis clearly not a conventional "polymer", in thesense, there is no one repeating unit. It is ascrambled structure with variable C/F ratio andcrosslinking. There are no long chains of CF

2

and therefore it is not teflon in the real sense.The C/F ratio could be varied resulting in avariation in properties of the material. Thesecond case could be observed to be moreordered and oriented structure, comprising brushlike segments. These are often referred to as afterglow fluoropolymers or AG fluoropolymers.Several comparative studies on the twostructures, varying the C/F ratios can be foundin literature.96,97

2. Thermal and Electrical Properties

As mentioned before, Teflon AF has thelowest dielectric constant of most polymericmaterials (ε

r ~ 2.0) and a dielectric loss (tanδ)

close to 0.02.98 Both dielectric constant and losswere found to be dependent on processparameters such as feed gas composition. Lowdielectric constants (~2.1) were obtained for

films with a high ratio of CFx species to F atoms

in the plasma.99 The leakage currents obtainedwere of the order of 10-8 A/cm2.99 A thoroughthermal stability study of PPFM films was doneindependently by Theil et al.98 and Endo et al.99

It was observed that although films obtainedfrom CF

4 feed gas had the lower dielectric

constant (2.1), those obtained from C4F

8 exhibit

higher thermal stability. This suggests a tradeoffbetween thermal stability and dielectric constant.Figure 27 depicts the results of thermal stabilitystudies on PPFMs obtained using three differentfeed gases.100

Novel Copolymers byThermal CVD

The dry nature of CVD process enablescopolymerization more easily than solutionbased techniques. This approach has beenutilized to tailor the thermal and electricalproperties of parylene thin films for ULSIapplications. One of the first reports of vacuumcopolymerization of xylylene was done withmaleic anhydride as the comonomer.101

Subsequently, 9-vinylanthracene, 4-vinylbiphenyland perfluoro-octyl methacrylate were successfullycopolymerized with parylenes, and reported in

0

1

2

3

4

2 2.25 2.5 2.75 3 3.25

1000 / T ( K -1 )

Ln

( R

ate

po

lym

)

Fig. 26: Rate of polymerization vs. substrate temperature, for C2F

6-50% H

2 feed mixture.94

Page 275: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu274

detail by Gaynor and Desu.101-104 Figure 28 showsthe reactor used to fabricate the copolymer thinfilms. Figure 29 shows the various monomers usedfor copolymerization with parylene.

It was observed that, these copolymers havesuperior properties as compared tohomopolymers of parylene with respect todielectric constant and thermal stability.Copolymerization of PPX-C with perfluoro-octylmethacrylate resulted in reduction ofdielectric constant from 2.68 to 2.19 at opticalfrequencies.101 Copolymerizing PPX-N withvinylbiphenyl yielded an increased thermalstability in oxygen to 450°C.101,102 Although the

idea of copolymerization is not at all novel, it isthe solventless approach for the fabrication ofthese copolymers at near room temperature thatis novel and is expected to open up interestingavenues for microelectronic applications.

Silicon Dioxide-PolymerNanocomposites by Thermal CVD

Traditionally, nanocomposite materialshave been developed using solution based(sol-gel)105 or by sputtering.106 It was not possibleto deposit organic-inorganic nanocomposites by

CF2 CF2C CF2

CF2

CF CF C CF CF2

C C C C CF2

CF3

(b) CF3

CF2

(CF2)n

CF3

CF2

(CF2)n

CF3

CF2

(CF2)n

CF3

CF2

(CF2)n

CF3

CF2

(CF2)n

Substrate Substrate

Fig. 27: Molecular structures of fluoropolymers obtained using PECVD of fluorinated monomers.18

CH = CH2CH = CH2

CH = CH2CH = CH2CH2 = C

CH3

C = O

O

( CF2 )7

CF3

CH2 = C

CH3

C = O

O

( CF2 )7

CF3

(a) (b) (c)

Fig. 28: Thermal CVD reactor to deposit SiO2-PPXC nanocomposites and parylene based

copolymers.

Page 276: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 275

CVD owing to the large difference between thedeposition temperatures of the oxides (> 600°C)and the ceiling temperature of polymers (Tthr, max= 130°C). Recently, in our group, we havedeveloped a novel thermal CVD basednanocomposite thin film synthesis technology.107,108

It is expected that the synthesis of thesenanocomposites could have a tremendous impactespecially in microelectronic devices, and henceis discussed briefly in this review. Although severalorganic-inorganic nanocomposites could besynthesized by this technique, the followingdiscussion focusses on the film formation andproperties of Parylene-SiO

2 nanocomposites.

PPX-C/SiO2 Nanocomposite Thin films:Synthesis

Conventionally, SiO2 thin films are

deposited at temperatures exceeding 600°C bythermal CVD. Therefore to enable co-depositionof SiO

2 and parylene thin films in the form of

nanocomposites, it was necessary to first developa near room temperature silica (SiO

2) synthesis

technique. Desu first demonstrated thepossibility of near room temperature deposition

of silica thin films using di-acetoxy-ditertiarybutoxy silane (DADBS).109,110 Parylene-C andSiO

2 were then co-deposited in a reactor shown

in Figure 28. Parylene was polymerized usingGorham process. The versatility of the co-deposition process can be seen from the widerange of compositions deposited in thistechnique. Compositional variation wasachieved by achieved by careful control over thedeposition parameters. Thin films with a widerange of properties could be obtained using thistechnique as will be shown below.

Properties

Transmission electron spectroscopy (TEM)studies of the co-deposited thin films revealed acontinuos polymer phase with a largely inter-dispersed SiO

2 regions on a 5-50 nm scale,

confirming the nanocomposite morphology. Asmentioned in section 3.1.3, parylene thin filmsobtained are typically highly crystalline. Incontrast, SiO

2 is an amorphous material. From

X-ray diffraction analyses, it was observed thatby increasing the relative amount of polymer inthe nanocomposite, the crystallinity was

Vacuum pump

Substrate Holder(~ 20 oC)

Pyrolysis Chamber

Monomersublimation chamber

Deposition Chamber

Fig. 29: Chemical structures of comonomers used for copolymerization with parylenes.102

Page 277: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu276

correspondingly increased. Also, the dielectricconstant (which along with thermal stability wasthe focus of the study) could be varied graduallyfrom 3.9 (100% SiO

2 film) to 2.8 (100% PPXC)

in the same manner.107,108 Furthermore, it wasobserved that thermal stability of thenanocomposite films was considerably higherthan that of pure polymers. Table 4 shows someof the properties of these polymer-silicananocomposites.107,108

Applications of CVDPolymers

Although there are relatively only fewpolymers synthesized using CVD, thesepolymers have found place in numerousapplications in microelectronics, optical devices,biomedical industry, corrosion resistant andprotective coatings, and even in the automobileindustry. Any attempt to review all of theseapplications would be over-ambitious. In thissection, a few of them are briefly discussed,selected primarily based on the number ofreports available in literature. For eachapplication, first, the requirements imposed onthe candidate materials are listed. Then therationale of choice of these polymers and theCVD process, and finally, the performance ofthe polymers, along with their shortcomings, arediscussed.

Microelectronic Applications

Low-k Dielectric Applications in ULSI

As can be deduced from the frequentmention of dielectric constants in sections aboutproperties of CVD polymers in this review, amajority of CVD polymers are targeted towardslow dielectric constant interconnect applicationsin ultralarge scale integrated circuits (ULSI). Theurgent need to develop a suitable material andprocess for this application can be sensed by thesheer number of papers published in this area.For an in-depth understanding, the reader isreferred to some excellent review articles inliterature.111-113

The next generation of high performancemicrochips are expected to contain as many as0.5 billion transistors on a single chip. Thisenormous density imposes stringent demands oninterconnect wiring technology. In a typicalmicrochip, several layers of copper or aluminuminterconnect wiring are separated by a dielectricinsulator. Currently, SiO

2 and its analogues with

a dielectric constant (er) of about 3.9 are beingused as the interlayer dielectrics. There areseveral problems associated with higher densityof interconnect wiring resulting in increasedmetal resistance and dielectric capacitance. Theyinclude increased signal delays, cross talk,electromigration, loss of noise level immunity,

Property PPX-C SiO2 88% PPX-C Refractive Index (630 nm) 1.639 1.432 1.616 Dielectric Constant @1 MHz 2.88 4.26 3.07 Dissipation Factor 0.0013 0.001 0.021 Leakage Current (A/cm2 @ 1 MV/cm)

< 2 × 10-11 < 1 × 10-11 2.8 × 10-11

Dielectric Breakdown Strength (MV/m)

1.9 >5 >4

Weight loss at 415oC (N2 ambient)

11% 4% < 1%

Table 4. Properties of PPX-C/SiO2 Nanocomposite Thin Films DepositedUsing Thermal CVD107,108

Page 278: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 277

high power consumption among others.Currently used SiO

2 based dielectrics fall short

of the requirements imposed by high densitymicrochips. This has fueled a frantic search foran alternate dielectric material to replace SiO

2.

The requirements for a material to replace SiO2

are manifold, and are often referred to asSematach criteria. These are listed in Table 5.114

The basic properties to be evaluated for anew interlayer dielectric are as follows:1. Chemical and physical properties

comprising residual stress, density,shrinkage, moisture absorption, impurities,etch rate etc.

2. Electrical properties comprising dielectricconstant, dielectric loss, breakdownstrength and leakage current, and

3. Thermal properties such as response to heattreatment cycles and thermogravimetricanalysis (TGA), out gassing, etc.Although several inorganic materials such

as SiOF, SiBN, BN, are being investigated,111

polymers are also rigorously investigatedprimarily owing to their low dielectric constantsand excellent insulating properties. In thisregard, paraxylylenes (parylenes), polyimides,

polynapthalenes, and amorphous fluoro-polymers are pursued. Table 6 shows the resultsof various studies conducted in this direction inthe form of their advantages and shortcomings.

It is clear that, although there is not yet, aclear winner in the race for low dielectricconstant materials, CVD polymers offer greatpotential as interlayer dielectric materials infuture generation high density microchips.

Encapsulation and PackagingApplications

Once the microchip is made, mounting iton a board and providing protective deviceprotection constitutes chip encapsulation andpackaging. Chip on board (COB) assembly is adirect surface mount technology and is verypopular in packaging applications owing to itslow cost and size reduction.116 CVD polymersare becoming increasingly popular for thisapplication, primarily due to the conformalnature of the coatings obtained using CVDtechnique. There are several reviews in literaturedescribing the details of the process andcandidate materials, particularly for high density

Electrical Mechanical Chemical Thermal Low Dielectric Constant

Thickness Uniformity

High Chemical Resistance

High Thermal Stability

Highly Isotropic Dielectric Constant

Adhesion High Etch Selectivity High Glass Transition Temperature

Low Leakage Current Low Stress Low Moisture Absorption

Low Thermal Shrinkage

High Breakdown Strength

High Tensile Modulus

Low Solubility in Water

High Thermal Conductivity

Low Dissipation Factor

High Hardness Low Gas Permeability

High Reliability Low Shrinkage High Purity Low weight loss No Metal Corrosion

High Crack Resistance

Long Storage Life

ESH Compatibility

Table 5. Requirements for Low k Dielectrics, as Formulated by SEMATACH114

Page 279: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu278

devices.115 The material property considerationsof this application include high mechanicalstrength, low moisture permeation, goodinterfacial adhesion, excellent thermal stability,high dielectric strength, low dielectric constant,and high resistivity along with environmentalissues such as radiation resistance. Thepolymeric materials under scrutiny for thisapplication are polyimides, parylenes, andplasma derived polymers such as polymethylmethacrylate (PMMA).116

Lithographic Resists in Microfabrication

Due to the inherent dry nature of CVDtechnique, CVD polymers can be utilized as dryresist coatings. Dry resist lithography isadvantageous over conventional wet resisttechniques because, the whole process can beperformed in a unified vacuum chamber. The

following are the steps involved in a typicallithographic process.1. First the resist is applied on the substrate

conventionally using spin coating of thesolution.

2. Next, the pattern is delineated in the resist.3. Then, the patterned resist is developed by a

dry process, followed by etching of thesubstrate through the resist mask window.

4. Finally, the stripping of residual resist is alsodone by a dry process. The advantages ofutilizing plasma polymerization for resistcoating are two fold1. The whole process can be made

completely dry and2. Spin coating demands that the substrate

be flat, while plasma derived coatingscan be applied to nonplanar surfaces(even spherical substrates) efficiently.A detailed description of the

Polymer Advantages Limitations Parylenes High purity, conformal CVD films,

Thoroughly understood mechanism and properties, Compatible with manufacturing processes, Excellent electrical, Excellent chemical resistance and barrier properties

Low oxidation temperature* Shrinkage when annealed in N2 Anisotropy in properties

Polyimides Excellent electrical properties, Excellent barrier properties, eg., H2O High thermal Stability

Two step deposition process CVD films not completely characterized

Polynapthalenes Excellent electrical Properties Good thermal Properties Insoluble in all solvents

Cumbersome precursor synthesis Process not well established

PPFMs, particularly, Teflon AF and polytetrafluoro ethylene

Excellent electrical Properties (Lowest dielectric constant among most polymers < 2)

Poor thermal stability Adhesion problems High thermal expansion coefficients

Table 6. Polymers for Low k Dielectrics, Advantages, and Limitatons

Page 280: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 279

lithographic process can be found inReference 84. Plasma polymerizedMethyl methacrylate (PMMA) alongwith several copolymers of PMMAhave been investigated for lithography.116

Optical Applications

Light Emitting Devices

The light emitting devices, LEDs and lasers,are the largest application of solid-state devicesin the optoelectronic industry. They offerobvious advantages to other light sources in theirsimpler fabrication procedures, low cost andsimpler drive circuitry and high reliability.Traditional light emitting diodes (LEDs), basedon inorganic semiconductors such as GaAs, arenot easily or economically usable in large-areadevices. This has prompted a search for organicand polymer LEDs. The first LED containingluminescent polymer thin films was introducedby Burroughes et al.65 in 1990. Since then,polymer LEDs have attracted wide attention dueto various advantages they offer over inorganicLEDs, such as cheap fabrication technology,light-weight, large-area devices and mechanicalflexibility. Also, growth of inorganic thin filmsrequires a close lattice match with the underlyingsubstrate unlike crystalline organic thin films,which can be grown with a substantial latticemismatch. Furthermore, the peak emission colorcan be easily tuned by a simple modification ofthe polymer backbone. Molecular organic LEDs(OLEDs) offset some of the disadvantagesinherent in inorganic materials, but suffer fromtheir own shortcomings. Most OLEDs are notstable over long time periods owing to re-crystallization. They also suffer from lowmechanical strength. Both of thesedisadvantages can be overcome by usingpolymer LEDs (PLEDs). For a more in-depthcomparison, the reader.117

Some of requirements of the materials forLED applications are high luminescence (~200cd/m

2), low addressing voltage (<10V), high

energy yield, tunability (for different colors) anda reasonably long lifetime (of the order of 103

hours). Polymers that satisfy almost all these

requirements are currently possible with spin-cast techniques. The best results have been fromPPV and its derivatives.118 A comprehensivereview on the electroluminescence properties ofpolymers and applications can be found inliterature.118-120

CVP-PPV has been reported to have anoutput of 20 cd/m2 at a turn-on voltage of 4.5 Vfor 600A° thick film.79 The output increasesrapidly as the applied voltage is increased.Figure 30 shows the light output vs. voltage forthe best CVP-PPV.79 Also, CVP-polyazomethinefilms have been reported with low turn on fieldsof 6 × 105 V/cm,88 making them good candidatesfor LED applications.

Semiconductor polymer lasers have beeninvestigated only very recently. Though no studyof lasing property studies have been reported onCVP conjugated polymers, studies on solution-based derivatives of PPV, show excellentprospects. Some of the advantages of conjugatedpolymers for laser applications are highphotoluminescence efficiency, low self-absorption and that they can be electricallypumped in a diode configuration. Some goodreviews on the applications of conjugatedpolymers for laser applications121 and of organicmaterials in general, for laser applications122 canbe found in literature.

Non-Linear Optical Applications

Optoelectronic and photonic devices havemade tremendous progress lately. The role ofthese devices has changed from passive, displaydevices and sensors, to active components ininformation systems. This trend is expected tocontinue towards the realization of fullyintegrated optoelectronic devices, if not photonic(all-optical) devices. Nonlinear optics isexpected to play a major role in this technology.The major problems with the development ofoptical communication, hitherto, have been theincoherency of light sources and the lack ofsuitable guiding media.123 With the advent oflasers, as a source of high intensity coherentlight, nonlinear optics is expected to take off.Novel NLO polymers are expected to overcomethe material property drawbacks of conventionalinorganic NLO materials, like GaAs/AlGaAs

Page 281: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu280

and LiNbO3, such as their fragility, high

dielectric constant and processing andintegration difficulties.124 CVP is expected toplay a significant role in the integration ofpolymers in existing silicon devices.

1. Second-order

Second order nonlinear optical propertiesare utilized in the construction of waveguidesand electro-optic modulators, among others. Thisportends new possibilities for the developmentof low cost optoelectronic integrated devices fortelecommunication and datacommunication.The advantage of polymers for these applicationsis derived from their low dielectric constants andhigh χ(2) susceptibilities. This χ(2) susceptibilityallows for the switching of optical signals fromone path to another, by the application of anelectric field.

Second order optical nonlinearity can beinduced in polymeric systems containing dipolar(donor-acceptor) chromophores.125 Thechromophore can be a molecular speciesattached to the host chain or it can beincorporated in the polymeric structure itself. Ingeneral, a good chromophore has an electrondonating group connected to an electron

accepting group by a large p-conjugated group.Noncentrosymmetric ordering of thechromophores is achieved by poling using anelectric field. This is done by applying a highelectric field at a temperature just below theglass-transition temperature (T

g) of the polymer.

CVP allows for the in-situ application of theelectric field during polymerization, therebyaligning the chromophores. This has someinherent advantages over the poling technique,as mentioned earlier [section 3.5].

Among polymers with second order non-linear optical properties, CVP has only been usedfor polyazomethines [section 3.5] andpolyimides [section 3.2]. Polyazomethinedeposited by E-CVD, was reported to have a β,3 - 5 times that of paranitroaniline.87 An EOresponse was also observed after heating the filmat 80°C for 5 hrs, indicating that the alignmentin polymer was thermally stable. Transparentpolyimide films, with second order NLOproperties, have been noted for their high T

g.126

However, they have generally been preparedusing solution based techniques. A goodoverview of a class of transparent polyimides,flourinated polyimides, with an emphasis onwaveguide applications can be obtained.127

Fig. 30: Light output for single layer PPV device (obtained from chlorinated precursor), Thicknessof PPV film = 700°A.79

0

40

80

120

160

0 2 4 6 8Voltage (V)

Lig

ht O

utpu

t (nW

)

Page 282: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 281

Yoshimura et al.,85 applied an electric field tothe CVP process of polyamic acid, a precursorof polyimide, to align the NLO molecules duringpolymerization. They reported that a slightdichromism was observed in the film for the lightpolarized parallel and perpendicular to thedirection of the aligning field. Though not toolarge, this indicated that a poled polymer can befabricated without subsequent poling by heating.They followed it up by fabricating an electro-optic waveguide from an epoxy-amine polymerfilm, using E-CVD.128

2. Third-Order

Third-order properties involve self-focusingor defocusing, four wave mixing, opticalbistability and optical Kerr effect.129 Thisfrequency mixing phenomenon gives rise to thedependence of the refractive index of thematerial on the intensity of the incident lightwaves. This provides a basis for all opticalprocessing of information, which can be usedto develop photonic devices. One of the majordrawbacks of all-optical switching and logicdevices is that they require large amounts ofinput optical power. This limitation is sought tobe overcome by the development of novelpolymers with large χ (3) susceptibility.Theoretical models predict large non-resonantthird order nonlinearity associated withdelocalized p-electron systems. Furthermore, themolecular structures do not have to benoncentrosymmetric, though they must possessanharmonicity.129

Conjugated polymers satisfy theserequirements and have thus emerged as the mostwidely studied materials for their χ (3)

susceptability. Some of the examples ofconjugated polymers, that have been studied fortheir third order NLO properties, arepolydiacetylenes, poly-p-phenylenevinylenesand polythiophenes. However, CVD has onlybeen used in the case of poly-p-phenylenevinylenes (PPV) [section 3.4],although χ(3) values have not been reported. Anexcellent review of third order nonlinear opticalproperties of PPV in general, can be found inliterature.130 Recently, McElvain et al.90 reportedthe χ(3) values of CVD polyazomethines to be

~10-11 e.s.u, with a near doubling of the χ(3)

values for oriented polyazomethine films.

Coating Applications

Biomedical Applications

In addition to microelectronic and opticalapplications, polymers deposited using thermaland plasma assisted CVD are increasingly beingused in several biomedical applications as well.For instance, drug particles microencapsulatedwith parylenes provide effective control releaseactivity.27 Plasma polymerized tetrafluoroethylene,parylenes and ethylene/nitrogen mixtures can beused as blood compatible materials.131,132 Anexcellent review of plasma polymers used inbiomedical applications can be found inreference 131.

Protective Coatings Applications

A low cost thin parylene coating on fuelsystem seals, O-rings, hoses and diaphragms inautomobiles, improves the resistance toalternative fuels preventing corrosion andpremature failure of car fuel systems.43 Thenature of CVD process enables formation of verythin coatings which otherwise cannot be deposited.This property has been exploited for betterpreservation of old books and documents andextended to fragile artifacts, since very thinparylene coating is sufficient to reduce theirbrittleness.27 Parylenes are also used aselectroinsulation coatings in miniature transformersand motor armature.27 Parylene coatings have alsobeen proposed for use to provide corrosionprotection for a number of thin porous inorganicmaterials.27 Furthermore, CVD polymers such asparylenes are hydrophobic and their coatings canbe used to transport ultrapure water.27

Summary

In this paper, the fundamentals of chemicalvapor deposition have been reviewed withemphasis on polymer thin films. CVD, being avapor deposition technique offers several uniqueadvantages over conventional thin film

Page 283: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu282

deposition techniques such as solution basedmethods, sputtering etc. Moreover, plasmaenhanced CVD polymers have specificproperties such as higher degree of crosslinking,which otherwise cannot be achieved. There are,however, relatively few distinct families ofpolymers that can be deposited using CVD,namely, parylenes, polyimides, polyphenylenevinylenes, and polynapthalenes. More recently,there have been reports of novel materials, suchas plasma polymerized fluorinated monomers(PPFM), and inorganic-organic nanocomposites.The deposition mechanisms along with detailsof polymerization have been discussed, withemphasis on the influence of depositionparameters on the film properties. Thesepolymers are being used, and investigated forfuture potential applications in variedtechnologies, the notable ones being, lowdielectric constant interconnect materials andoptical devices along with protective, moisturerepellant and corrosion resistant coatingsapplications. Clearly, the excellent properties ofpolymers combined with the unique advantagesoffered by CVD (such as the ability to deposituniform, high purity ultra thin coatings) are yetto be fully exploited.

References

1. S. Sivaram, Chemical Vapor Deposition,International Thomson Publishing Inc.1995.

2. Arthur Sherman, Chemical VaporDeposition for Microelectronics, NoyesPublications, 1987.

3. H.O. Pierson, Handbook of Chemical VaporDeposition: Principles, Technology andApplications, Noyes Publications, 1992.

4. H.S.W. Massey and E.H.S. Burhop,Electronic and Ionic Impact Phenomena,Oxford University Press, London, 1952.

5. R.S. Corley, H.C. Haas, M.W. Kane, andD.I. Livingston, Journal of PolymerScience, Vol.13, 1954, p.137.

6. B. McCarrol and E. Ehrlich, Condensationand Evaporation of Solids, Gordon andBreach, NY, 1964, p.521.

7. W.F. Gorham, Encycl. Polym. Sci. Technol.,vol.15, 1971, p.98.

8. S. Kubo and B. Wunderlich, Journal ofPolymer Science and Polymer Physics,Vol.10, 1972, p.1949.

9. J. Bloem and J. Giling, VLSI ElectronicsMicrostructure Science, Academic Press,Florida, Vol.12, 1985, p.91.

10. R. Sarangpani and R. Singh, Rev. Sci.Instrum., Vol.68, (No.3), 1997, p.1564.

11. W.F. Gorham, Journal of Polymer ScienceA-1, Vol.4, 1966, p.3027.

12. R. Reif, Handbook of Plasma ProcessingTechnologoy, Noyes Publications, NewJersey, 1990, p.268.

13. R.S. Rosler, W.C. Benzing, and J. Baldo,Solid State Technol., Vol.19, (No.6), 1976,p.45.

14. S.C. Brown, Basic Data of Plasma Physics,John Wiley & Sons, NY, 1959.

15. H. Yasuda and T. Hsu, J. Polym. Sci: Polym.Chem., Vol.15, 1977, p.81.

16. H. Yasuda and C.E. Lamaze, J. Appl. Polym.Sci., Vol.17, 1973, p.1519.

17. R. dAgostino, F. Cramarossa, andF. Fracassi, Plasma Deposition, Treatmentand Etching of Polymers, Academic PressInc., 1990, p.95.

18. R. dAgostino, Plasma Processing ofPolymers, Kluwer Academic Publishers,1997, p.3.

19. M. Szwarc, Disc. Faraday Soc., Vol.2, 1947,p.48.

20. B. Bartlett, L.J. Buckley, D.J. Godbey,M.J. Schroeder, C. Fontenot, and S. Eisinger,J. Vac. Sci. Tech. B, Vol.17, (No.1), 1999,p.90.

21. F. Cacialli and P. Bruschi, J. Appl. Phys.,Vol.80, (No.1), 1996, p.70.

22. S. Tatsuura, W. Sotoyama, and T. Yoshimura,Appl. Phys. Lett. Vol.60, 1992, p.1158.

23. M. Szwarc, J. Polym. Sci., Vol.16, 1948,p.128.

24. M. Szwarc, J. Polym. Sci., Vol.6, 1951,p.319.

25. W.F. Beach, Macromolecules, Vol.11,(No.1), 1978, p.72.

26. J.F. Gaynor, S.B. Desu, and J.J. Senkevich,Macromolecules, Vol.28, 1995, p.7343.

27. L. Alexandrova and R. Veragraziano,Unpublished Private Communication.

28. C.I. Lang, G.R. Yang, J.A. Moore, and

Page 284: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 283

T.M. Lu, Proceedings of Mater. Res. Symp.,Vol.381, 1995, p.45.

29. W.F. Beach, C. Lee, D.R. Bassett,T.M. Austin, and R. Olson, Encycl. Polym.Sci. Eng., 2nd edn., John Wiley & Sons,Vol.17, 1989, p.990.

30. S. Ganguly, H. Agrawal, B. Wang,J.F. McDonald, T.M. Lu, G.R. Yang andW.N. Gill, J. Vac. Sci. Techol., Vol.A15,(No.6), 1997, p.3138.

31. F.E. Cariou, D.J. Valley, and W.E. Loeb,IEEE Trans. Parts; Mater. Packg., Vol.54,1965.

32. D. Mathur, G.R. Yang, and T.M. Lu, Journalof Materials Res., Vol.14, (No.1), 1999,p.246.

33. P. Simon, S. Mang, A. Hasenhindl,W. Gronski, and A. Greiner,Macromolecules, Vol.31, 1998, p.8775.

34. A.S. Harrus, M.A. Plano, D. Kumar, andJ. Kelly, Proceedings of Materials Res.Symp., Vol.443, 1997, p.21.

35. R. Vedula, V. Simkovic, and S.B. Desu,Proceedings of Mat. Res. Symp., 1998.

36. S.C. Selbrede and M.L. Zucker,Proceedings Mat. Res. Symp., Vol.476,1997, p.219.

37. M. Szwarc, Polym. Eng. Sci., Vol.16,(No.7), 1976, p.473.

38. K.J. Miller, H.B. Hollinger, J. Grebowic,and B. Wunderlich, Macromolecules,Vol.23, 1990, p.3885.

39. N.S. Murthy and H.G. Kim, Polymer,Vol.25, 1984, p.1093.

40. B. Joesten, Journal Applied Polym. Sci.,Vol.18, 1974, p.439.

41. P.K. Wu, G.R. Yang, J.F. McDonald, andT.M. Lu, J. Electronic. Mat., Vol.24, (No.1),1995, p.53.

42. N. Majid, S. Dabral, and J.F. McDonald,Journal of Electronic Materaials, Vol.18,(No.2), 1989, p.301.

43. J. Pyle, Machine Design, 1993, p.77.44. M. Iijima, Y. Takahashi, K. Inagawa, and

A. Itoh, Journal of Vac. Soc. Jpn., Vol.28,1985, p.437.

45. J.R. Salem, F.O. Sequeda, J. Duran,W.Y. Lee, and R.M. Yang, Journal of Vac.Sci. Technol. A 4, 1986, p.369.

46. B.C. Auman, Proceedings of Materials Res.Soc. Symp., Vol.381, 1995, p.19.

47. Y.K. Lee, S.P. Murarka, and B. Auman,Proceedings of Materials Res. Soc. Symp.,Vol.443, 1997, p.71.

48. Y. Takahashi, M. Iijima, K. Inagawa, andA. Itoh, J. Vac. Sci. Technol. A5, Vol.4, 1987,p.2253.

49. C.W. Hutchings and M. Grunze, Rev. Sci.Instrum., Vol.66, 1995, p.3943.

50. M. Iijima and Y. Takahashi,Macromolecules, Vol.22, 1989, p.2944.

51. T. Strunskus and M. Grunze, Polyimides,Dekker, NewYork, 1996, p.187.

52. R.G. Pethe, C.M. Carlin, H.H. Patterson,and W.N. Unertl, Journal of Mater. Res.,Vol.8, 1993, p.3218.

53. A. Kubuno, H. Higuchi, S. Umemoto, andN. Okui, Thin Solid Films, Vol.232, 1993,p.256.

54. C. Hahn, T. Strunskus, D. Frankel, andM. Grunze, Journal of Electron Spectrosc.Relat. Phenom., Vol.54/55, 1990, p.1123.

55. S.D. Senturia, ACS Symp. Ser., Vol.346,1987, p.428.

56. R.J. Jensen, ACS Symp. Ser., Vol.346, 1987,p.466.

57. A. Golzhauser, S. Panov, M. Mast,A. Schertel, M. Grunze, and C. Woll,Surface Sci., Vol.334, 1995, p.235.

58. K. Iida, T. Nohara, K. Totani, S. Nakamura,and G. Sawa, Japan Journal of Appl. Phys.,Vol.28, 1989, p.2552.

59. N. Takahashi, D.Y. Yoon and W. Parrish,Macromolecules, Vol.17, 1984, p.2583.

60. S. Ukishima, M. Iijima, M. Sato,Y. Takahashi, and E. Fukada, Thin SolidFilms, Vol.308/309, 1997, p.475.

61. V. Liberman, V. Malba, and A.F. Bernhardt,Proceedings of Mat. Res. Soc. Symp.,Vol.443, 1997, p.15.

62. M.I. Bessenov, M.M. Koton, V.V. Kudryavtsev,and L.A. Laius, Polyimides, ThermallyStable Polymers, Consultants Brueau,NewYork and London, 1987, p.171.

63. J.G. Speight, P. Kovacic, and F.W. Koch,Journal Macromol. Sci. Revs. Macromol.Chem., Vol.C5, 1971, p.295.

64. S. Takahashi, Y. Kuroyama, K. Sonogashira,and N. Hagihara, Synthesis, 1980, p.627.

Page 285: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vedula, Kaza, and Desu284

65. J.H. Burroughes, D.D.C. Bradley, A.R. Brown,R.N. Marks, K. Macay, R.H. Friend,P.L. Burns, and A.B. Holmes, Nature,Vol.347, 1990, p.539.

66. D. Braun and A.J. Heeger, Appl. Phys. Lett.,Vol.58, 1991, p.1982.

67. B.R. Hsieh, H. Antoniadis, M.A. Abkowitz,and M. Stolka, Polym. Prep., Vol.33, 1992,p.414.

68. F. Papadimitrakopoulos, K. Konstandinidis,T.M. Miller, R. Opila, E.A. Chandross, andM.E. Galvin, Chem. Mater., Vol.6, 1994,p.1563.

69. S. Iwatsuki, M. Kubo, and T. Kumeuchi,Chem. Lett., 1991, p.1071.

70. E.G.J. Staring, D. Braun, G.L.J.A. Rikken,R.J.C.E. Demandt, Y.A.R.R. Kessener,M. Bouwmans, and D. Broer, Synth. Met.,Vol.67, 1994, p.71.

71. O. Schafer, A. Greiner, J. Pommerehne,W. Guss, H. Vestweber, H.Y. Tak,H. Bassler, C. Schmidt, G. Lussem,B. Schartel, V. Stumpfln, J.H. Wendorff,S. Spiegel, C. Moller, and H.W. Spiess,Synth. Met., Vol.82, 1996, p.1.

72. K.M. Vaeth and K.F. Jensen, Adv. Mater.,Vol.9, 1997, p.490.

73. O. Schafer, S. Mang, E. Arici, G. Lussem,C. Unterlechner, J.H. Wendorff, andA. Greiner, Macromol. Chem. Phys.,Vol.199, 1998, p.807.

74. S. Iwatsuki, M. Kubo, and H. Yamashita,Chem. Lett., 1989, p.729.

75. K.M. Vaeth and K.F. Jensen,Macromolecules, Vol.31, 1998, p.6789.

76. A.V. Pebalk, I.Y. Kardash, andA.N. Pravendnikov, Polym. Sci. U.S.S.R.,Vol.23, 1981, p.2101.

77. L. You, G.R. Yang, C.I. Lang, P. Wu,J.A. Moore, J.F. McDonald, and T.M. Lu,Proceedings of Mater. Res. Symp., Vol.282,1993, p.593.

78. V. Massardier, V.B. Cajipe, T.P. Nguyen,and V.H. Tran, Synth. Met., Vol.75, 1995,p.169.

79. K.M. Vaeth and K.F. Jensen, Appl. Phys.Lett., Vol.71, (No.15), 1997, p.2091.

80. D.S. Chemla and J. Zyss, Nonlinear OpticalProperties of Organic Molecules andCrystals, Academic, New York, 1987.

81. P. Prasad and D. Williams, Introduction toNonlinear Optical Effects in Molecules andPolymers, Wiley, New York, 1991.

82. K.D. Singer, M.G. Kuzyk, W.R. Holland,J.E. Sohn, S.J. Lalama, R.B. Comizzoli,H.E. Katz, and M.L. Schilling, Appl. Phys.Lett., Vol.53, 1988, p.1802.

83. D. Jungbauer, B. Reck, R. Twieg,D.Y. Yoon, C.G. Willson, J.D. Swalen, Appl.Phys. Lett., Vol.56, 1990, p.2610.

84. J.W. Wu, J.F. Valley, S. Ermer, E.S. Binkley,J.T. Kenney, G.F. Lipscomb, and R. Lytel,Appl. Phys. Lett., Vol.58, 1991, p.225.

85. T. Yoshimura, S. Tatsuura, andW. Sotoyama, Thin Solid Films, Vol.207,1992, p.9.

86. T. Yoshimura, S. Tatsuura, W. Sotoyama,A. Matsuura, and T. Hayano, Appl. Phys.Lett., Vol.60, (No.3), 1992, p.268.

87. S. Tatsuura, W. Sotoyama, K. Motoyoshi,A. Matsuura, T. Hayano, and T. Yoshimura,Applied Physics Letters, Vol.62, (No.18),1993, p.2182.

88. M.S. Weaver and D.D.C. Bradley, Synth.Met., Vol.83, 1996, p.61.

89. T. Yoshimura, Physics Rev. B, Vol.40, 1989,p.534.

90. J. McElvain, S. Tasuura, F. Wudl,and A.J. Heeger, Synth. Met., Vol.95, 1998,p.101.

91. H. Yasuda and T. Hsu, J. Polym. Sci: Polym.Chem. Vol.15, 1977, p.2411.

92. N. Morosoff, Plasma Deposition, Treatmentand Etching of Polymers, Academic PressInc., 1990, p.1.

93. R.D. Agostino, F. Cramarossa, andF. Illuzzi, J. Appl. Phys., Vol.61, 1987,p.2754.

94. R.D. Agostino, F. Cramarossa, F. Fracassi,and F. Illuzzi, Plasma Deposition,Treatment and Etching of Polymers,Academic Press Inc., 1990, p.95.

95. R.D. Agostino, Proceedings of Mater. Res.Soc. Symp., Vol.476, 1997, p.185.

96. D.G. Castner, K.B. Lewis, D.A. Fisher,B.D. Ratner, and J.L. Gland, Langmuir,Vol.9, 1993, p.537.

97. A. Kiaei, A.S. Hoffman, B.D. Ratner, andT.A. Horbett, Journal of Appl. Polym. Sci.Polym. Symp., Vol.42, 1988, p.269.

Page 286: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chemical Vapor Deposition of Polymers: Principles, Materials, and Applications 285

98. J.A. Theil, F. Mertz, M. Yairi, K. Seaward,G. Ray, and G. Kooi, Proceedings of Mater.Res. Soc. Symp., Vol. 476, 1997, p.31.

99. K. Endo and T. Tatsumi, J. Appl. Phys.,Vol.78, (No.2), 1995, p.1370.

100.K. Endo, T. Tatsumi, Y. Matsubara, andT. Horiuchi, Proceedings Mater. Res. Soc.Symp., Vol.443, 1997, p.165.

101.J.F. Gaynor and S.B. Desu, Journal of Mat.Res., Vol.9, (No.12), 1994, p.3125.

102.J.F. Gaynor and S.B. Desu, J. Mat. Res.,Vol.11, (No.1), 1996, p.236.

103.J.F. Gaynor, J.J. Senkevich, and S.B. Desu,Journal of Mat. Res., Vol.11, (No.7), 1996,p.1842.

104.K.J. Taylor, M. Eissa, J.F. Gaynor, S.P. Jeng,and H. Nguyen, Proceedings of Mat. Res.Symp., Vol. 476, 1997, p.197.

105.M. Benaissa, K.E. Gonsalves, andS.P. Rangarajan, Appl. Phys. Lett., Vol.71,(No.25), 1997, p.3685.

106.E. Holtz, U.S. Patent # 5.158,933.107.J.J. Senkevich and S. B. Desu, Chem.

Mater., Vol.11, (No.7), 1999, p.1814.108.J.J. Senkevich and S.B. Desu, J. Vac. Sci.

Tech. B, Vol.18, (No.1), 2000, p.321.109.S.B. Desu, J. Am. Cer. Soc., Vol.72, (No.9),

1989, p.1615.110.J.J. Senkevich and S.B. Desu, Adv. Mater.

CVD, Vol.10, (No.4), 1998, p.92.111.T. Homma, Mater. Sci. Engin., Vol.R23,

(No.6), 1998, p.243.112.S.P. Murarka, Mater. Sci. Engin., Vol.R19,

1997, pp.3-4.113.H. Treichel, B. Withers, G. Ruhl,

P. Ansmann, R. Wurl, Ch. Muller,M. Dietlmeier, and G. Maier, Handbook ofLow and High Dielectric ConstantMaterials and their Applications, Vol.1,1999, p.1.

114.C.H. Ting and T.E. Seidel, Proceedings ofMater. Res. Soc. Symp., Vol.381, 1995, p.3.

115.D.S. Soane and Z. Martynenko, Polymersin Microelectronics, Fundamentals andApplications, 1989, p.213.

116.R.D. Agostino, F. Cramarossa, F. Fracassi,and F. Illuzzi, Plasma Deposition,Treatment and Etching of Polymers,

Academic Press Inc., 1990, p.425.117.V. Bulovic and S.R. Forrest,

Electroluminiscence II, Academic Press,San Diego, CA, 2000.

118.A.J. Heeger, Solid State Comm., Vol.107,(No.11), 1998, p.673.

119.F. Cacialli, Photonic Polym. Sys., MarcelDekker Inc., New York, 1998, p.103.

120.G. Wang, C. Yuan, Z. Lu, and Y. Wei,Photonic Polym. Sys., Marcel Dekker Inc.,NY, 1998, p.217.

121.F. Hide, B.J. Schwartz, M.A. Diaz-Garcia,A.J. Heeger, Synth. Met., Vol.91, 1997,p.35.

122.G. Kranzelbinder and G. Leising, Rep.Prog. Phys., Vol.63, 2000, p.729.

123.P. Battacharya, Semiconductor OptoelectronicDevices, Prentice-Hall Inc., New Jersey,1994.

124.G.F. Lindsay, Second Order NonlinearOptics, ACS Symp. Series, 601, WashingtonDC, 1995.

125.G.R. Meredith, J.G. Van Dusen, andD.J. Williams, Macromolecules, Vol.15,1982, p.1385.

126.M.H. Davey, V.Y. Lee, L.M. Wu,C.R. Moylan, W. Volksen, A. Knoesen,R.D. Miller, and T.J. Marks, Chem. Mater.,Vol.12, 2000, p.1679.

127.S. Ishibashi and H. Takahara, SPIE Conf.,Vol.3799, 1999, p.254.

128.S. Tatsuura, W. Sotoyama, and T. Yoshimura,Appl. Phys. Lett., Vol.60, (No.14), 1992,p.1661.

129.P.N. Prasad and D.J. Williams, Introductionto Nonlinear Optical Effects in Moleculesand Polymers, John Wiley & Sons, Inc.,New York, 1991.

130.A. Samoc, M. Samoc, M Woodruff,and B. Luther-Davies, Photonic Polym. Sys.,Marcel Dekker Inc., New York, 1998, p.373.

131.B.D. Ratner, A. Chilkoti, and G.P. Lopez,Plasma Deposition, Treatment and Etchingof Polymers, Academic Press Inc., 1990,p.463.

132.D. Klee, H. Thelen, H. Bienert, D. Vorwerk,and H. Hocker, J. Mater. Sci: Mater. Med.,Vol.10, 1999, p.443.

Page 287: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

287

Chapter 9

CVD of Metals: The Case of Nickel

Constantin Vahlas and Laurent BrissonneauCentre Interuniversitaire de Recherche et d’Ingénierie des MatériauxEcole Nationale Supérieure de Chimie de Toulouse118 Route de Narbonne, 31077 Toulouse cedex 4France

Introduction

Processing of metallic thin films bychemical vapor deposition has been rapidlydeveloped during the past few years, mainlydue to numerous potential applications in themicroelectronics industry. Research groupsworldwide publish in this or allied fields.Contributions come from diverse scientificdomains, such as coordination and theoreticalchemistry, chemical engineering, and of coursematerials science. Such an interest from thescientific community reveals that CVD is anattractive route for the deposition of metallic films.However, there are numerous and complexproblems to solve before optimizing it.

Most metals have actually been depositedin thin films by CVD. Even today, manyprecursors that are proposed in the literature forthis process as well as corresponding processingconditions are tested trial and error. The lack ofadvanced fundamental studies often leads toan incomplete understanding or validation ofthe proposed solutions. Within this frame, thebest known processes are those referring to themost popular metals in the microelectronicsindustry: aluminum, copper, and tungsten. Fora review of the CVD of these metals, the readeris invited to consult references.1,2

This chapter focuses on the chemical vapordeposition of nickel. The raison d’être for theselection of this metal comes from the numerousapplications in which nickel films are implied

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p287-330 DOI:10.1361/chvd2001p287

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 288: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau288

today. Still in the microelectronics domain, it isused as interconnections in integrated circuitsor as ohmic contact, especially in applicationsrequiring improved corrosion resistancerelatively to that of copper and aluminum. Inthis aim it can be even preferred to gold foreconomical reasons. Concerning integratedcircuit repair, laser CVD (LCVD) of nickel fromnickel tetracarbonyl Ni(CO)

4 is proposed as a

reliable process for IC rewiring.3 Ni has alsobeen recently proposed for ohmic contacts ton-type doped SiC, a promising material for highpower and high temperature deviceapplications.4,5 Indeed, as severe thermal orelectrical cyclic stresses will be applied on thesedevices, the ohmic contact with SiC must bestable enough so that the properties of thecomponent are kept constant during its life.Nickel is a material of choice for this applicationas the Ni

2Si phase formed after Ni deposition is

in thermodynamic equilibrium with SiC. Forthis application, the deposition ofapproximately 200 nm nickel layer by electronbeam evaporation techniques is actuallypreferred. The stability of nickel gallides andaluminides also make nickel an attractivematerial for ohmic contact with the III-Vsemiconductors.6

Nickel plating is also extensively used fordecorative and corrosion resistanceapplications. In most cases, it is electrolyticallydeposited. However, some applications canrequire high purity films or good conformalcoverage that can be better performed by theCVD process.

Nickel is also present in numerous alloysin the form of thin films, such as Au-Ge-Ni orNiP

2, that have been used in microelectronics

for specific applications.7 Nickel silicides havebeen used as contacts on silicon,8 and have beeninvestigated as diffusion barriers.9

The fabrication of microelectromechanicalsystems (MEMS), e.g. actuators and sensors, isalso one of the promising applications for nickelfilms. Nickel is currently electroplated into pre-form molds. One typical process is the LIGAprocess*, where pure or alloyed nickel films are

deposited on isolating plastic surfaces (mainlyPMMA) structured by x-rays lithography.10 Inthis field, the CVD process mainly concernspolysilicon, but it is obvious that the advantagesof CVD (high purity, good conformal coverage)could be extended to nickel if convenientprecursors are proposed. Still in concern withthe LIGA process, metallic structures from aplastic predecessor (mould tool obtained fromthe LIGA process) can be processed byelectroplating if a conducting layer is depositedon the insulating plastic structure. This layercan be obtained by the metal-organic CVD(MOCVD) technique, in view of the lowdeposition temperature imposed by the plasticsubstrate.10

Processing of nickel molds for mandrels,and coating of plastic molds11 have also beenproposed by MOCVD from Ni(CO)

4. The

metallization of composites or plastic isolatingstructure by nickel is of interest in aeronauticalapplications as protection against strongelectrical discharges such as caused bylightning.12 In the nuclear industry, nickel layersare used for the protection of uranium blocks innuclear reactors.13 They have been also proposedfor the encapsulation of contaminated graphitewastes from gas-cooled nuclear reactors, in orderto reduce the emission of radionucleides.14

Nickel is also interesting because of itsmagnetic properties or those of its compounds:Pure or iron alloyed (e.g. Permalloy) nickel filmshave been grown by CVD for the fabrication ofmagnetoresistive sensors.15 Films of Co

xNi

y of

various magnetic properties,16 andferromagnetic nickel and nickel boride thinfilms,17 have been obtained by LCVD. Ferritescontaining nickel have also been obtained fromvarious CVD processes.18-19 Thin filmsprocessing of these materials is of great interestfor integration in high frequency microwavedevices. Nickel oxide thin films can be used innumerous applications due to their opticalproperties.20,21

Nickel is also interesting for its catalyticproperties. In particular, the hydrogenation ofalcenes and the reaction of water gas (reaction

* LIGA (LIthograghic, Galvanoformung und Abformung). LIGA is alternative to silicon-based micro-fabricationprocess, consisting of three main steps: deep x-ray lithography, electroforming, and plastic molding.

Page 289: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 289

between C and H2O to provide CO and H

2) are

catalyzed by supported nickel. Within thisframe, CVD is an interesting alternative to theimpregnation and co-precipitation methods.22

CVD prepared nickel membrane catalysts havebeen proved to be more useful then thoseprepared by the classical adsoption-oxidationmethod.23 Palladium and nickel containingporous membranes have been fabricated byCVD for catalysis applications or for thedetection and purification of hydrogen.24 Also,bimetallic alloys such as Ni-Pt presentinteresting catalytic properties.25

Deposition of a nickel layer by fluidizedbed CVD can improve sintering in powdermetallurgy applications. The process can beused as a “premixing” step of the composite,for example on W particles.26 A similartechnique can also be used to improve thewettabilty of ceramics like SiC by the moltenAl during the fabrication of metal matrixcomposites.27

Finally, it can be added that very thin nickelfilms are used as seed layers for the growth offullerenes28 and of silicon carbide SiCwhiskers,29 and that C/Ni multilayers are usedas x-ray mirrors.30,31

In view of the above applications and ofthe fact that extensive literature is actuallyavailable on the CVD of aluminum, copper, andtungsten, processing of nickel films has beenchosen in this chapter as an introduction to theactual challenges in the CVD of metals. Thisfield is large, especially if it is considered toinclude deposition on substrates such aspreforms, membranes or, particles. Because ofspace limitations no attempt has been made toprovide a comprehensive overview. Althoughthe choice of materials to be discussed was ofnecessity subjective, it is expected that thepresent approach will be useful for theinvestigation of different cases of metal CVD.

In many of the previously mentionedapplications, the substrate or one of its elementson which deposition occurs, is temperaturesensitive. This is typically the case for organicor polymeric materials. It may also be interestingto limit diffusion effects from and to thesubstrate. Consequently, low deposition

temperatures are often necessary for thedeposition of thin films. MOCVD can meet thiscondition. Nickel tetracarbonyl Ni(CO)

4 is the

first compound that was used for the MOCVDof nickel.32 Ni films from Ni(CO)

4 are indeed

obtained at low temperature and are weaklycontaminated by heteroatoms. Numerousprocesses integrating Ni thin films are actuallyusing Ni(CO)

4. However, due to the high toxicity

of this precursor and of its by-product carbonmonoxide CO (their threshold limits for humanexposure are 10-3 ppm and 10-2 ppmrespectively,33 non toxic substitution precursorsare being investigated. A bibliographicsynthesis concerning the different precursorsthat have been used for the CVD of nickel, thecorresponding processing conditions and theproperties of the obtained films will be presentedin the first part of this chapter.

Among the different complexes that havebeen used as starting materials for the CVD ofnickel, nickel-biscyclopentadienyl (Ni(C

5H

5)

2

nickelocene or NiCp2) a priori satisfies most of

the criteria that characterize an ideal precursorin MOCVD as have been listed.34 Indeed, it isvolatile,9,35,36 rather inexpensive, and easy topurify. It is thermodynamically9,37 andkinetically38 stable in inert atmosphere up to300°C. Its decomposition temperature is givenat the melting point, 173°C. In hydrogenatmosphere it can easily yield nickel,37 and thetwo cyclopentadienyl ligands can behydrogenated into cyclopentadiene C

5H

6,

cyclopentene C5H

8, or cyclopentane C

5H

10.

Among them, the last two are quite stable incommonly adopted operating conditions.

Different studies have been conducted inorder to verify the ability of nickelocene to yieldnickel films with satisfactory properties.9,39-42

The main conclusion that has been drawn fromthese efforts is that, as is generally the case formany precursors using cyclopentadienylligands, nickelocene leads to carbonincorporation in the deposits. For this reasonmainly, nickelocene has not been extensivelyused for deposition of nickel thin films byMOCVD. However, a literature review revealsthat the question of carbon incorporation innickel films processed from nickelocene has not

Page 290: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau290

been extensively investigated. Only two reportsdeal with this point. In the most cited reference,40

nickelocene has been used with no addition ofhydrogen in the gas phase. These conditionsnecessarily lead to carbon incorporation, as theligand C

5H

5 alone is not a stable radical. In the

second report,42 carbon incorporation has beenstudied as a function of deposition temperature.Nevertheless, no other parameters have beenstudied for their influence on the carbon contentof the deposits. Based on these partial resultsand without an extended investigation of theconditions that may prevent the incorporationof carbon in the deposits, it has been concludedthat the use of nickelocene leads to considerablecontamination of nickel films. Because it hasbeen admitted that this process is notcompatible with the fabrication of pure nickelfilms, it has not been extensively investigatedin terms of other important parameters such asgrowth rate or morphology. Moreover, themechanisms that control the carbonincorporation and more generally thedecomposition of the precursor and theformation of the deposit have not been clearlyidentified. For this reason, no concise proposalhas been formulated to optimize the depositionconditions and to reduce the carbon content ofthe films. This situation confirms what has beenpreviously mentioned on the drawbacks thatare originated from the relative lack offundamental studies of the CVD of metals. Forthis reason the second and main part of thischapter, is dedicated to a detailed investigationof the growth rate, the morphology and thecarbon content of thin films of nickel processedfrom nickelocene, and of the composition ofthe gas phase during this process. Based onthese results, a mechanism will be proposed thatholds for the decomposition of nickelocene, forthe subsequent growth of nickel films, and forthe possible incorporation of carbon therein.This part is based on a series of articles recentlypublished by the authors.43-49 Detailedinformation on different parts of the followingsections (e.g. experimental details) can be foundtherein.

The aim of the present approach is not tooptimize the processing conditions for a given

application per se, but rather to contribute to abetter understanding of this process, thusproviding the elements for the selection ofoptimum operating conditions as a function ofthe desired application. Furthermore, depositionfrom nickelocene is considered here as a modelsystem. Indeed, as previously discussed,questions on the deposition mechanisms arestill open, despite the apparent simplicity ofthis system. Their elucidation should help tounderstand and thus to optimize similarprocesses for the deposition of metallic thinfilms in general, and particularly when usingmetallocenes or precursors containingcyclopentadienyl ligands.

Precursors for the CVD of Ni

A list of precursors for the CVD of Ni until1993 has been compiled by Zinn et al.50

Numerous compounds have been proposedsince then. In the following paragraphs, theseprecursors are first presented. Then, depositionconditions are detailed for those from which Nifilms with satisfactory properties have beenobtained.

Families of Precursors

In Table 1 are listed the different precursorstogether with their acronyms that have beenused for the CVD of nickel. Mainly two typesof ligands and their derivatives are currentlyused in organometallic chemistry to achievehigh volatility, and have been used for thesynthesis of these compounds: thecyclopentadienyl C

5H

5, and the b-diketone

CH3COCH

2COCH

3. In most of these

compounds, nickel is found in the oxidationstate (+ II).

In the first family, NiCp2, a green solid, has

been often used for the deposition of nickelfilms9,38-42 (and the present report), and also forthe deposition of NiO20 or for the doping withnickel of other films.62 Its methyl derivative,Ni(MeCp)

2 also seems promising.51

Ni(η5-C

5Me

2)(η

3-C

3H

5) has been proposed by

Zych et al.17 It is highly volatile but less stablethan NiCP

2 and seems to be sensitive to air.

Page 291: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CV

D of M

etals: The C

ase of Nickel

291

Table 1. List of Different Precursors that have Been Proposed for the CVD of Ni

Contd...

Name Formula Abbreviation Reference

Cyclopentadienyl Family

Nickel Biscylopentadienyl Ni(η5-C5H5)2NiCp2

Nickelocene9, 38-42, 44-46

Nickel Bis (1-1' Dimethyl Cyclopentadienyl Ni(η5-CH3C5H4)2 Ni(MeCp)2 51

Nickel Allyl Pentamethylcyclopentadienyl Ni(η5- C5(CH3)5)(η3-C3H5) Cp*Ni (Allyl) 17

β-Diketone Family

Nickel Bis (2,4 Pentanedione) Acetylacetonate Ni(CH3COCHCOCH3)2 Ni(acac)2 52

Nickel-Bishexafluoropentanedione or Nickel Bis(Hexafluoroacetylacetonato)

Ni(CF3COCHCOCF3)2 Ni(hfa)2 53

Nickel-Bis (Hexafluoropentanedionate) N, N, N', N", N" -Pentamethydiethyl-Enetriamine

Ni(CF3COCHCOCF3)2

(CH3)2NC2H4NCH3C2H4N(CH3)2Ni(hfa)2 PMDETA 21

Nickel-Bis (Hexafluoropentanedionate) N, N, N', N',Tetramethylethylenediamine

Ni(CF3COCHCOCF3)2

(CH3)2NC2H4N(CH3)2Ni(hfa)2 TMEDA 21

Nickel Bis (Hexafluoroacetylacetonato) Bis (Pyridine) Ni(CF3COCHCOCF3)2 (C5H5N)2 Ni(hfa)2-2pyr 54

Nickel Bis (:2,2,6,6-Tetramethyl-3,5-Heptandionato)* Ni(C(CH3)3COCHCOC(CH3)3) Ni(thd)2 54,55

Nickel Bis-(Hexafluoropentanedionate) Ethylenediamine*Ni(NH2C2H4NH2)

(CF3COCH2COCF3)2

Ni(en)(hfa)2 56

Other Compounds

Nickel Dimethylglyoximato or Nickel Bis (2,3Butanedionedioximato)

Ni(NO(CCH3)2NOH)2 Ni(dmg)2 55

Nickel Bis (3,4-Hexanedionedioximato) Ni(NO(CC2H5)2NOH)2 Ni(deg)2 7

Nickel Bis (N (3-Hydroxyimino-2-Methylbutyl-2)-Hydroxylamine

Ni(NOC2H4CH3CNOHCH3)2 Ni(HL*)2 57

Nickel Bis (2-Imino-Pen-En-4-Trifluoroacetylacetonato) Ni(CH3COCHCNHCF3)2 Ni(ktfaa)2 54

Nickel Bis(2-Imino-Pen-En-4-Onato)* Ni(CH3COCHCNHCF3)2 Ni(kaa)2 54

Nickel Bis(2-Imino-Pent-2-En-Onato) Ni(CH3CNHCHCOCH3)2 Ni(apo)2 13, 58

Nickel N, N' Bis Ethylenebis (2,4-Pentandion-Iminoato)* Ni(CH3COCHCNCH2-CH3)2 Ni(enacac) 58

Nickel Tri-Ethanediamine Bis (2-Trifluoro Propane_One) Ni(NH2C2H4NH2)3 (CF3CO2)2 Ni(en)3(CF3CO2)2 56

H

Page 292: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau

292

Nickel Bis-Trifluororacetate Bis (N, N'Dimethylethylenediamine)

Ni(CH3NHC2H4NHCH3)2

(CF3COO)2Ni(dmen)2 (CF3CO2)2 59

Nickel Dichloride NiCl2 23, 27, 60, 61

Acronyms

CpacachfadmgdegenenacacdmenthdapoHL*PMEDTATMEDAktfaakaa

Cyclopentadienyl or η5-C5H5

acetylacetonate or 2,4 pentanedione or CH3COCH2COCH3

hexafluoroacetylacetonate or; 1, 1, 5, 5, 5 hexafluoro 2, 4 pentanedione (oudionato) CF3COCH2COCF3

diméthylglyoxime or 2, 3 butanedionedioximato3,4 hexanedionedioximatoethanediamine ou ethylenediamineethylene bis (2,4-pentandion-iminoato)dimethylethylenediamine2, 2, 6, 6-tetramethyl-3, 5-heptandionato2-amino-pent-2-en-onato or acetylactoiminato(3-hydroxyimino-2-methylbutyl-2)-hydroxylaminepentamethylethylenetriamine, (CH3)2NC2H4NCH3C2H4N(CH3)2

tetramethylethylenediamine, (CH3)2NC2H4N(CH3)2

2-imino-pen-en-4trifluoroacetylacetonato2-imino-pen-en-onato

Table 1. Continued

Page 293: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 293

The base compound in the second familyis Ni(acac)

2. It has been used for the deposition

of thin films of nickel,52 or Ni-Pd alloys for theprocessing of membranes on porous substrates.24

Its fluorinated derivative, Ni(hfa)2•2H

2O was the

first alternative nickel precursor to Ni(CO)4,53

but it has not been further investigated. Thereason for this is the difficult control of itsvolatility which varies due to the number ofattached water ligands. The hfa ligand resultsin highly volatile compounds. For this reasonit is present, together with other ligands, innumerous precursors: Ni(hfa)

2-2pyr54 (this

compound presents however the drawback of areduced stability), or Ni(hfa)

2-PMDETA and

Ni(hfa)2-TMEDA which have been used for the

deposition of NiO.21 Other precursors that belongor are near to this group have not yieldedmetallic nickel films. These are Ni(thd)

254,55 and

Ni(en)(hfa)2.56 Ni(hfa)

2 DME, Ni(hfa)

2 diglyme,

and Ni(hfa)2diglyme. H

2O decompose during

evaporation.21 Saito et al.63 also proposeddifferent volatile compounds containing the hfaligand and its ethylenediamine substitutes.

More recently, other precursors have alsobeen synthesized for the deposition of nickelfilms. They usually contain Ni-N bonds in orderto avoid the incorporation of oxygen or carbonatoms in the films. It has thus been reported thathigh quality nickel films can be obtained fromNi(deg)

27 and from Ni(dmg)

2.55 Decomposition

and volatility of other similar compounds havealso been investigated, but not their compatibilitywith CVD.7 Ni(ktfaa)54 and Ni(HL*)

257 yield

satisfactory growth rates. Good quality nickel filmsstarting from Ni(apo)

2 have been obtained by

Leonov et al.13 Ni(dmen)2(CF

3CO

2)

2 59 and the

ionic compound Ni(en)3(CF

3CO

2)

256 lead to the

(co-)deposition of the metastable nickel carbideNi

3C. Finally, Ni(dmen)

2(hfa)

2,64 Ni(kaa)

2,54 and

Ni(enacac)55 have been proposed for the CVD ofnickel films without satisfactory results, but theirusefulness as nickel precursors has not beenexhaustively investigated.

NiCp2, Ni(hfa)

2•2H

2O, Ni(dmg)

2, and

Ni(acac)2 are commercially available products

having low cost and easily controllable purity.The other precursors reported in Table 1 can besynthesized following relatively simple, but

careful operation procedures. Among theseproducts, cyclopentadienyl derivatives can beeasily purified by sublimation. However, beingslightly sensitive to the air, they must be handledin inert atmosphere, and they present a reducedalthough not negligible toxicity.

Deposition Conditions

All but Ni(CO)4 among the previously

mentioned compounds are solid in standardconditions. Ni(MeCp)

2 and Ni(hfa)

2-PMDETA

have low melting points, respectively 37°C and34°C. Cyclopentadienyl compounds possessconvenient volatility for CVD, permitting molarfractions in the input gas between 10-4 and 10-2

for sublimation temperatures lower that 150°Cand even 100°C. This is less valid for the othercompounds. Volatilization temperatures varybetween 100°C for Ni(hfa)

2, Ni(hfa)

2-PMDETA

and Ni(hfa)2-TMEDA, and 220°C for Ni(acac)

2

and Ni(dmg)2. Thermodynamic data on the

vapor pressures of the most common compounds(NiCP

2, Ni(dmg)

2,...) are available55 (and present

report). These, as well as data on weight losspermitted relations such as Ln(P) = A-B/Tbetween vapor pressure and temperature formany of these compounds. Most of them aregenerally decomposed at temperatures that are20° higher than the ones required for theirvolatilization, thus allowing for theirconvenient transport to the deposition area.

Although many reports are available ondeposition performed at atmospheric pressure,low pressure operation is convenient in order toobtain satisfactory molar fractions of theprecursor (of the order of 10-3) at relatively low(if possible less than 100°C) volatilizationtemperature, and also to achieve improvedconformal coverage of the substrates. Only fewinvestigations have been performed with varyingdeposition pressure.41 It is worth noting thatNi(HL*) and NiCp

2 have been decomposed in

molecular beam epitaxy (MBE) conditions, butwith a high carbon contamination for the latter.40

In the absence of additional hydrogen inthe input gas, deposition temperatures aregenerally elevated. For example, depositionoccurs at 550°C for NiCp

240 and at 540°C for

Page 294: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau294

Ni(dmg)2.55 For all these precursors, the use of

hydrogen allows a decrease of 150°C to 250°Cfor the deposition temperature which becomesrelatively low, but still higher than that fordeposition from Ni(CO)

4. In view of the

generally high reactivity of the precursors withhydrogen, the latter is injected in the input gasjust before the deposition zone. The transportof the precursor takes place with an inert gas,whose flow rate is typically of the same order ofmagnitude as that of hydrogen. The mostcommonly adopted deposition conditions fordifferent precursors are reviewed in Table 2.

Deposits Characteristics

Growth rates for films processed fromNi(CO)

4 (15-800 μm.h-1) are by far the highest

among the different nickel precursors. Resultsfor growth rates are also reported in Table 2.Those followed by a star correspond to thermallyactivated processes. Results reported by Borisovet al.57 (3-20 μm.h-1) are remarkable, particularlyin view of the adopted deposition technique(metal organic chemical beam epitaxy,MOCBE). Growth rates are generally lower than0.2 μm.h-1. Ni(deg)

2 (3 μm.h-1) and NiCp

2

(>1 μm.h-1) are the precursors allowing highergrowth rates, but at elevated depositiontemperatures for the former.

Most of the characteristics of the filmsreported in the literature have been obtained usingx-ray diffraction (XRD). In the absence of analyticaltechniques such as x-ray photoelectron spectroscope(XPS), Auger electron spectroscope (AES), or electronprobe microanalysts (EPMA), it is difficult tocompare the performance of different precursorsin this domain. Generally speaking, the use ofhydrogen produces weakly contaminated films.65

High purity films have been obtained fromNi(MeCp)

2,6 from Ni(deg)

27 and from Ni(dmg)

258

although oxygen may be present in the latter.

Concluding Remarks

The choice of a precursor is conditionedby the application of the nickel film. If theoperating environment requires the lowestpossible temperature, Ni(CO)

4 remains the best

choice, because deposition temperature for allother precursors exceeds 150°C. NiCp

2 (as it

will be demonstrated in the followingparagraphs) and Ni(hfa)

2 are good candidates

for deposition around 200°C, because thesecommercially available complexes havesatisfactory volatility and produce relativelypure deposits. However, films processed fromNiCp

2 present a residual carbon contamination

which, even at very low levels, may beprohibitive for some applications. If high purityis mandatory, Ni(MeCp)

2, Ni(dmg)

2, and Ni(den)

2

are the more interesting precursors. However, eachone presents important drawbacks: low growthrates for Ni(MeCp)

2, reduced volatility for

Ni(dmg)2, and decomposition at relatively high

temperatures for Ni(den)2 with, nevertheless,

high growth rates.

Processing of Ni Films fromNickelocene

Experimental

Most metal CVD in industry is carried outin single-wafer, cold wall systems. The resultsreported here concern deposition in 2.1 cmdiameter horizontal reactor, where substrateswere placed on an inductively heated graphitesusceptor positioned immediately after a quartzramp. The precursor containing carrier gas wasmixed with excess hydrogen just before thedeposition area. He was also occasionally usedas a dilution gas. In all runs total flow rateQ and He flow rate through the sublimator Q

He,Ni

were kept constant at 80 sccm and 30 sccmrespectively. Several depositions wereperformed around a main set of operatingconditions with code name A3 that was definedby T = 175°C, P = 1 atm, hydrogen flow rate

2HQ = 50 secm, and XNiCp2

= 1.8 × 10-3, obtainedby thermoregulating NiCp

2 at 102°C. The most

important of these conditions and theirevolution relative to conditions A3 areschematically presented in Figure 1.

Polished and depolished, inert (turbostraticcarbon, glass and silica) and metallic ((200)oriented copper polycrystals, and Ni-25 at.%

Page 295: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CV

D of M

etals: The C

ase of Nickel

295

PrecursorDegradation

Temperature (°C)Volatilization

Temperature (°C)Deposition

Temperature (°C)Pressure(Torr)

HydrogenGrowth Rate

(μm.h-1)Reference

NiCp2 150-170 27

100-120

<130

120

550

190-230

180-350

200-350

5.10-4

50-550

760

760

No

Yes

Yes

Yes

0.05-0.1

0.2-0.8

0.8-2

40

41

39

42

Ni (MeCp)2 38 35 280 760 Yes 0.05 6

Ni(acac)2 238 200-220350-400

250-350350-400

7601

YesYes

0.1-0.15* 5224

Ni(hfa)2, 2H2O 135 200-350 760 Yes 53

Ni(dmg)2 250 240 300-450 15 Yes 0.15* 55

Ni(deg)2 241 200 350-450 23 Yes/No 1.5-3* 7

Ni(apo)2 130 300 760 Yes 13

Ni(hfa)2 80-120 300-800 1.5-760 Yes 0.15 21

PMDETA

Ni(en)(hfa)2 180 140-160 200-400 0.03-0.15 No 56

Ni(Ktfaa) 460 200 200-400 760 Yes 54

Ni(hfa)2, 2pyr 420 200 200-400 760 Yes 54

Ni(HL*)2 25 225-300 10-4 No 3-20* 57

Table 2. Deposition Conditions that Have Been Used for Different Nickel Precursors

* Thermally activated processes

Page 296: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau296

Cr, Ni3Cr alloys) were used as substrates. The

investigation of the composition of the gasphase in the vicinity of the growing surfaceduring deposition was performed by on-linemass spectrometry with an electron impactionization quadripole mass spectrometer (QMS).Sampling of the gas phase was achieved via a 2mm diameter stainless steel tube. The tube waspositioned parallel to the flux with its entry 1mm above the substrates. It was connected tothe QMS through a molecular leak valve.

Growth Rate

The obtained growth rates in differentconditions are schematically presented inFigure 2 similarly to Figure 1. Intensity of grayscale is qualitatively proportional to the growthrate. In all experiments, an incubation periodwas observed before deposition occurred. Theduration of the incubation period depended onprocessing conditions and especially on the natureof the substrate. It was shortest on the coppersubstrates (about 15 minutes) and longest on inertand polished substrates. In conditions A4(atmospheric pressure, low hydrogen flow rate

2HQ ), it could be as long as 2 hours. The reportedperiods correspond to the interval between the

opening of the mass flow meters and theobservation of the change in color of thesubstrate through the reactor walls.Consequently, they overestimate the incubationperiod, defined by the time between the arrivalof the input gas and the formation of the firstnuclei on the surface. Deposition was observedneither for temperatures higher than 350°C norfor pressures lower than 20 Torr. Films weredeposited at a pressure lower than 100 Torr onlyin the presence of copper substrates.

Higher growth rates were obtained on Ni3Cr

substrates. Although incubation period wasshorter on copper or on unpolished substrates,because these substrates were generally placeddownstream, the observed growth rates werecomparable with those on polished substratesplaced upstream. This was true when theduration of the experiment was long enoughafter the formation of the film on the polishedsubstrates.

Growth rate did not depend on temperaturebetween 150 and 225°C, in agreement withreported results.39,41 Below 150°C, growth ratewas low, and films grew only at the end of thesusceptor. Above 200°C, deposits preferentiallyoccurred at the beginning of the susceptor.Above 250°C growth rate was lower than

TP

T

X

AT4T = 350°C

= 50 sccm, Q

He,dil=0 sccm

P = 760 Torr, T = 175°C, X = 1,8×10-3

A2X = 5×10-4

A4=7 sccm, Q

He,dil=43 sccm

BT4T = 300°C

B, P = 100 TorrD, P = 50 Torr

QH2

QH2

QH2

Fig. 1: Schematic representation showing the main set (A3) and other deposition conditions,and their evolution relative to the main set.

Page 297: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 297

0.05 μm.h-1. In these conditions depositspreferentially occurred on the colder part of thereactor, e.g. the walls above the susceptor or thequartz ramp. Based on these remarks, it appearsthat the optimum deposition temperature in thepresent configuration and in the investigatedoperating conditions is between 160°C and180°C. In this temperature range deposition ratewas more or less uniform on the susceptor.

The detrimental effect on the growth rate ofthe increase of the temperature above 250°C cannot be attributed to the depletion of the precursordue to gas phase reactions. Indeed, this type ofreaction occurs only above 400°C in the adoptedexperimental conditions, when particles of “soot”are deposited on the substrate. In-situ FTIR studiesof the gas phase,66 showed that gas phasereactions begin at 250°C for a value of X

NiCp2

five times higher than the one adopted in thepresent study, and that these reactions are slowbelow 350°C. Also, this effect can hardly beattributed to detrimental aerodynamic phenomena,because the Rayleigh number of the reactor in theinvestigated operating conditions atatmospheric pressure is lower than 50. Thisvalue is too low compared to 1708 which is thecritical value for the formation of longitudinalroll, i.e. when buoyancy forces become

predominant.67 The detrimental effect of theincrease of the temperature on the growth rateis attributed instead to the enhancement of thedesorption rate of the precursor from the surfaceof the substrate. Indeed, when the activationenergy for desorption of the precursor is higherthan the activation energy for its decomposition,the increase of temperature can result in slowerreaction rates, because apparent activationenergy for the deposition process becomesnegative.2 In the next paragraph it is shown thatthis indeed holds for the case of nickelocene.

It is generally admitted that the overallreaction for the deposition of nickel fromnickelocene is:9, 42

Ni(C5H

5)

2 + n H

2 → Ni(s) + 2 C

5H

5+n (g) (1)

where n = 0, 1 3 5. n = 0 corresponds to theradical C

5H

5, n = 1 to C

5H

6, n = 3 to C

5H

8, and

n = 5 to C5H

10. Assuming that the decomposition

of the precursor is achieved by thehydrogenation of a ligand, the activation energyfor the decomposition of nickelocene can beestimated by the activation energy for thehydrogenation of a C

5H

5 radical. For the

hydrogenation of C5H

6 to C

5H

8 on the surface of

nickel boride NiB, Wang et al.68 found anactivation energy of 7 kJ.mol-1, while for the

T

X

QH2

P

T

P

A40.08

A20.08

AT4<0.05

A30.5 - 1

B0.4 - 0.9

BT4<0.05

D0.15

Fig. 2: Schematic representation of the growth rate of the nickel films (μm*h-1) in differentprocessing conditions. Intensity of gray scale is qualitatively proportional to the growthrate.

Page 298: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau298

hydrogenation of C5H

6 to C

5H

7 in gas phase

combustion, Zhong and Bozzelli69 calculatedan activation energy of 11 kJ.mol-1. In analogyto the deposition of palladium from CpPd(η3-C

3H

5)22 and of platinum from CpPtMe

3,70 nickel

deposition from NiCp2 is likely to be

autocatalytic.39 Indeed, nickel is expected todissociate molecular H

2 to atomic hydrogen,

making easier the hydrogenation of the ligand.For this reason, the previously proposed valuesof the activation energy for the hydrogenationof C

5H

6 can be considered as an upper limit for

the case of NiCp2. On the other hand, the energy

of adsorption of nickelocene on Ag (100) hasbeen estimated by Welipitiya et al.71 to be ofthe order of 50 kJ.mol-1. This is certainly a lowerlimit of the activation energy for the desorptionfrom the surface of the substrates in the presentstudy because more bonds can be formed on arough surface than on a smooth (100) surface. Itis thus concluded that the desorption energyfor nickelocene is much higher than itsdecomposition energy, and that the increase ofthe temperature should lead more to a fasterdesorption of the nickelocene rather than to theformation of a nickel film.

Van den Brekel41 reported a linear relationbetween X

NiCp2

and growth rate, indicating thatunder the conditions for which X

NiCp2

isincreased (conditions A2 to A3), the surface isnot saturated with nickelocene, and that thelimiting step in this process is of first order withrespect to the precursor. This step can correspondeither to the transport of NiCp

2 through the

diffusion layer or to a particular surface reaction.Experiments in conditions A4 show that

nickel deposition can be obtained from NiCp2

with a reduced quantity of hydrogen in the gasphase. It has been reported39 that nickeldeposition from nickelocene without additionalhydrogen requires high temperature (at least350°C at atmospheric pressure) and leads tohigh carbon contamination. Stauf et al.40

obtained nickel films by evaporatingnickelocene at low pressure only above 550°C.These films were also heavily contaminatedwith carbon. On the other hand, Dormans9 failedin depositing nickel with H

2 as carrier gas,

because of premature decomposition of NiCp2

in the sublimator. In the present experiments,although 2HQ is systematically higher than thatof nickelocene (the molar ratio H

2/NiCp

2 is 310

and 40 in conditions A3 and A4 respectively),the growth rate is still highly dependent on 2HQ .It thus appears that the surface reaction betweenNiCp

2 and H

2 becomes the limiting reaction for

the investigated gas phase concentrations of H2.

If the decomposition reaction takes place withatomic hydrogen on the surface, it must beassumed that there is a competition for theoccupation of the adsorption sites between Heand H

2, because both kinds of molecules in the

gas phase are in excess relative to the availableadsorption sites. Considering that growth rateis approximately divided by 5 when H

2 pressure

is divided by 7.5, the partial order with respectto H

2 for the growth rate of nickel is about 0.8.

Concerning deposition of cobalt fromcobaltocene CoCp

2, it has been reported72 that

dependence on H2 partial pressure is stronger,

because replacement of half of H2 by He leads

to the inhibition of the deposition. Thisdependence has been attributed to the decreaseof the rates of the reactions with n = 3 or n = 5 inrelation (2). The partial order of these reactionswith respect to H

2 would be about 3 and 5

respectively.72 A high partial order with respectto H

2 for the decomposition of CoCp

2

comparatively to that of NiCp2 is certainly

possible because cobalt is not as good a catalystas nickel for the dissociative adsorption ofhydrogen. However, concerning thedecomposition of NiCp

2, and in agreement with

the mechanism proposed by Kaplin et al.,42 it ismore likely that the dissociation of the metal -ligand bond occurs just after the firsthydrogenation of the ligand yielding C

5H

6, and

that C5H

8 and C

5H

10 are products of sequential

hydrogenation of the C5 cycles.

Comparison of conditions A3, B and D,reveals that despite the change of total pressure,

2HQ remains unchanged. Hence, although thepartial pressure of H

2 decreases when pressure

is decreased, the number of hydrogen moleculesabove the surface per unit time should be thesame, because H

2 is a light molecule with a high

diffusion rate. Lowering the pressure leads toenhancement of the diffusion of heavy rather

Page 299: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 299

than light species in the boundary layer.67,73

Consequently, the decrease in pressure shouldlead to an increase in concentration ofnickelocene molecules on the surface. Thishigher number of NiCp

2 molecules should lead

to a higher deposition rate, unless they competewith H

2 for the occupation of the adsorption sites

following a Langmuir-Hinshelwood mechanism.In such a case, decreasing pressure decreases andeven prevents the dissociative adsorption of H

2

molecules on the surface. As a result, hydrogenis only available in molecular form, but itsreaction with adsorbed nickelocene should notbe favored at such a low temperature. At 100Torr (conditions B) the depletion of adsorbedhydrogen atoms is balanced by the higherdensity of adsorbed nickelocene molecules.However, as pressure becomes lower than 100Torr, the surface should be almost covered byNiCP

2, and very few H

2 molecules can be

dissociatively adsorbed. The area covered by anickelocene molecule is at least that coveredby a cyclopentadienyl ligand, which has beenestimated by Avery74 to be about 0.4 nm2,considering Van der Waals interactions. In thepresent conditions, approximately 15nickelocene molecules react on the surface persecond and per nm2. Thus, as only a maximumof three nickelocene molecules can be adsorbedper nm2, surface sites will be saturated if anickelocene molecule remains adsorbed on thesurface for at least 0.2 s. The duration of theadsorption for a molecule is generally given asa function of its adsorption energy E

ads,75

equation (2).

tE

R Tads= ××

⎝⎜

⎠⎟−10 12 exp (2)

At 175°C, t > 0.2 s for Eads

> 100 kJ.mol-1.For the value of 50 kJ.mol-1 given by Welipitiyaet al.,71 the adsorption time is only 7 × 10-7 s.The extreme sensitivity on E

ads does not allow a

precise estimation of the adsorption time in thepresent case. However, the value of50 kJ.mol-1 proposed for E

ads is certainly under-

estimated, because it concerns an atomicallysmooth surface. On a surface under growthconditions that are far from the Frank-van der

Merwe mode76 as it will be shown in the nextsection, kinks and steps are more abundant, andthe nickelocene molecule can form two or morebonds with the surface leading to anapproximately two or more times higheradsorption energy. The increase of the numberof surface-nickelocene bonds yields adsorptionduration that is consistent with the presentedassumptions.

Morphology

Figure 3 presents an SEM micrograph of afilm deposited on carbon in conditions A2. Thefilm was scratched from the substrate with apliers. Thickness and morphology of theinterface and of the surface are shown, the latterbeing observed from different angles. The highplasticity of the film is also illustrated. Theobserved nodular morphology corresponds toa Völmer-Weber growth mode.76 This mode isdue to low chemical affinity between substrateand deposit and generally induces lownucleation rates. A typical example is thedeposition of a metal on an insulator. It hasrecently been shown that, in such a case, wherethe deposition reaction is faster on the depositrather than on the substrate, formation of islandsrather than of a continuous layer is favored inCVD compared to PVD processes, due to theautocatalytic nature of the former.77

Nodule size depends on the depositionconditions, on the chemical nature and themorphology of the substrates and on thethickness of the films. General trends of theinfluence of the deposition parameters on thenodule size can be obtained from the SEMmicrographs of Figure 4, illustrating surfaces offilms processed in different conditions. Thenumber by the code at the upper left part ofeach micrograph is the grain size (nm). Thenumber at the lower left side is the thickness ofthe film (μm). Thickness is comparable (0.6 ±0.4 μm), except for that of the left micrographof conditions A3 (2.7 μm). In this way, thedifferences in nodule size when changingdeposition conditions can be mainly attributedto parameters other than thickness. All films inthis figure have been deposited on polished,

Page 300: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau300

Fig. 3: SEM micrograph of a nickel film deposited on a polished inert (carbon) substrate, inconditions A2. The film has been scratched from the substrate with a pliers.

1μm

Fig. 4: Surface morphology of films processed in different conditions. Thickness (μm) is given inthe lower left side, and grain size (nm) is given by the code at the upper left side of eachmicrograph.

2 μm

Page 301: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 301

chemically inert substrates. Nodules of filmsdeposited on rough or on chemically activesubstrates such as Ni

3Cr or copper, are generally

smaller than those of films deposited on inertsubstrates during the same experiment.

For comparison among differentmorphologies of Figure 4, the right micrographof conditions A3 will be taken as reference.Nodule size increases with increasing thicknessof the films (conditions A3). For thinner films,nodule size is roughly equal to film thickness,while for the thicker films, nodule size is lowerthan the thickness of the film. Decreasing 2NiCpXleads to increasing nodule size (A2). The same,but in lesser extend happens when 2HQ isdecreased (A4). Decreasing pressure andincreasing temperature lead to the decrease ofnodule size (B and AT4 respectively).

As shown in the SEM micrograph ofFigure 5, nodules are composed of small grains,in agreement with the results of Andreev et al.39

The mean size of the crystallites that compose

these grains has been estimated from the fullwidth at mean height (FWMH) of the (111) and(200) peaks of the XRD diagrams obtained intheta-theta and in grazing incidenceconfiguration, by using the Laüe-Scherrerrelation. The evolution of grain size follows thatof nodules. In Figure 6 are presented two brightfield transmission electron microscope (TEM)micrographs of a cross section of a sampleprocessed in conditions A2. It is shown thatgrains are smaller in the vicinity of the substratethan near the surface of a film. This trend hasbeen confirmed by FWMH measurementsbecause for two films processed in the sameconditions, the thicker one exhibits a largermean size of the nickel crystallites.

The size of the crystallites decreases withdecreasing pressure or with increasing X

NiCp2

.It increases with increasing temperature. Nopreferential orientation was found on inertsubstrates. Annealing at 300°C for 30 min invacuum, of films deposited on copper substrates,yields a (200) preferential orientation.

1μm

Fig. 5: Surface morphology of a film deposited in conditions A2. It is shown that nodules arecomposed of smaller grains.

Page 302: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau302

Results indicate that deposition isfacilitated on active (copper, Ni

3Cr) compared

to inert substrates. This is attributed to an easierdecomposition of the precursor on copper orNi

3Cr rather than on glass or carbon surfaces.

Hydrogen can be dissociatively adsorbed onNi

3Cr substrates enhancing the decomposition

of NiCp2. On copper substrates, the dissociative

adsorption of hydrogen is not easy. In that case,the enhanced nucleation is certainly due to the

good wetting of the nickel on the copper surface.The equilibrium wetting angle for a nickelparticle on a copper surface has been estimated tobe 45°**. This is a relatively low value indicatingthat only a small number of nickel atoms isnecessary for the nickel nucleus to be stable onthe copper surface.

The observed differences in morphology ofthe films can be explained by differences ofsupersaturation of the precursor above the

20μm

Fig. 6: Bright field TEM cross section micrographs of a film processed in conditions A2. Grainsare shown near the substrate (lower part) and the surface (upper part).

** The wetting angle θ is calculated from the Young Dupré relation: σCu

= σNi/Cu

+ σNi

*cosθ. Mean surface energiesσ

Cu and σ

Ni are 1.78 J*m-2 and 2.28 J* m-2 for copper at 925°C and for nickel at 1060°C respectively.78 The

interfacial energyσ

Ni/Cu is the sum of a crystallographic term resulting from the parametric misfit, and of a chemical term due to the

difference of the bonding energy between copper atoms and nickel atoms relatively to the bonding energybetween copper and nickel atoms. In the present case, the crystallographic term is low (~0.01 J*m-2) due to thelow parametric misfit (3%) between copper and nickel. The chemical term is the product of the fraction of bondsof each atom through the interface (here 0.25) with the molar exchange energy in the nickel-copper solution(estimated to be ~25 kJ*mol-1), divided by the molar interfacial surface (3.6 * 10-4 m2 * mol-1). σ

Ni/Cu roughly

equals 0.18 J*mol-1. Based on these results, θ equals 45°.

Page 303: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 303

surface in the investigated operating conditions.When X

NiCp2

is low (conditions A2),supersaturation is low and the number of nucleiformed on the surface decreases. Consequently,the nodule size increases. When pressure isdecreased, the concentration of nickelocene, i.e.its supersaturation above the surface, increasesbecause diffusion through the boundary layeris enhanced.*** This should enhance thenucleation rate. Due to the decrease of availableadsorption sites, surface diffusion rate alsodecreases. Indeed, Monte-Carlo simulations ofnucleation and growth processes in CVDshowed that lower surface diffusion induces ahigher nucleation rate and a lower nodule size.77

The increase in nodule size with increasingtemperature is due to the enhancement of surfacediffusion and to the higher number of vacantsites due to increased desorption. The highernodule size with decreasing concentration ofH

2 can not be explained in terms of differences

in supersaturation or in activation energy. Thisbehavior can be attributed to the fact that

hydrogen is probably adsorbed preferentiallyon nickel rather than on the substrates leadingto a decrease of its concentration on the latter.Finally, the increase of grain size with increasingtemperature is attributed to the excess energythat is available for rearrangement ofnickel atoms while at the same time the numberof crystal defects is reduced. Finally, the increaseof grain size with decreasing attributed to thedecrease of the reaction rate in these conditions,allowing more time for the nickel atoms to bereorganized in more stable positions.

Carbon Content

The carbon content of the films asdetermined by EPMA is schematically presentedin Figure 7 for different deposition conditions,similarly to Figures 1 and 2. Intensity of grayscale is qualitatively proportional to the carboncontent. When the partial pressure of hydrogendecreases (conditions A4) and when total

*** This possibility has already been made in the previous paragraph to explain the variation of the growth rate withthe pressure.

AT49 - 17

A33 - 4

A45 - 6

B7 - 13

D14 - 19

A23 - 4

X

T

P P

Fig. 7: Carbon content of the nickel films (at.%) in different processing conditions. Intensity ofgray scale is qualitatively proportional to the carbon content.

QH2

Page 304: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau304

pressure decreases (conditions B or D), carbonincorporation respectively slightly or stronglyincreases. In conditions B or D, carbonconcentration varies from one experiment toanother. For the same sample, the results arereproducible within ± 0.5 at.% from one EPMAmeasurement session to another. It is worthnoting that the carbon content of 99.99% pureNi foils was found to be 3-4 at.% in the sameconditions of analysis. This value correspondsto the lower detection limit of the spectrometer.It is due both to the residual contamination ofthe apparatus and to the interference betweenthe third order Ni Lα. and Lβ, and the C Kαfluorescence peaks. Secondary ions massspectrometry (SIMS) analysis of the films withnegative primary ions distinguished the carbonconcentration of only one heavilycontaminated sample (conditions B, 10 at.% C)from samples with 3-4 at.% C (conditions A3)or even 5-6 at.% C (conditions A4). It was thusnot possible to conclude by this technique thatfilms processed in conditions A3 are carbonfree. It will be shown in the next paragraphsthat these films are indeed slightly

contaminated with carbon. Finally, SIMSshowed that the concentration profile of carbonremains unchanged along the thickness of thedeposits.

Kaplin et al.42 carried out experiments underconditions similar to A3 but with a higher molarfraction and with nitrogen N

2 as carrier gas. The

carbon content in their films is higher than inthose deposited in conditions A3 and is closerto that of films deposited in conditions B. Theauthors reported that the carbon content innickel films increases with increasingtemperature reaching a maximum of 17 at.% at300°C before decreasing again at 350°C.

The Cls XPS peak is slightly shifted towards

the carbide position. However, it was notpossible to obtain unambiguous evidence onthe coexistence of two different chemical formsfor the incorporated carbon. By comparison, foriron films with a carbon content lower than thatreported in the present study, lzaki and Omi79

obtained additional, well defined XRD peaks(compared to carbon peaks due to apparatuscontamination at about 285 eV) for interstitialcarbon at 283 eV. Also, XRD fcc Ni peaks of

150

100

50

021 22 23

θ (°) JCPDS #4-850

B

A2

Inte

nsity

(a.

u.)

Fig. 8: X-ray diffraction spectra focused on the (111) Ni peak of films processed in conditions A2(�) and B (O). The reference position for fcc Ni is shown for comparison.

Page 305: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 305

carbon contaminated films are shifted, althoughnot systematically, towards lower theta values.This is clearly illustrated in Figure 8, where the(111) Ni peaks of two samples processed inconditions A2 and B are shown. Indeed, the peakposition of the carbon-free film (A2) is the sameas the reference for fcc Ni,80 while that of thefilm containing 8-9 at.% carbon (B) correspondsto an enlargement of the unit cell from 0.3521to 0.3528 nm. Similar enlargement of the unitcell has been observed by Aouni et al.81 for Nifilms containing 10 at.% carbon relative tothose containing 1 at.% carbon. Finally, fromFigure 8 it can also be noticed that the FWMHof the films is higher in conditions B than inconditions A2 revealing a decrease of grain sizein the former. This behavior has been previouslydiscussed.

Figure 9 shows the evolution of theelectrical resistivity of the as processed films asa function of their carbon content. Resistivityof bulk Ni (6.84 μΩ∗cm) is also reported forcomparison. Error bars show deviations bothamong different samples with the same carboncontent, and among different positions within

the same sample. Two unambiguousconclusions can be drawn from Figure 9. First,resistivity of the films is systematically higherthan that of bulk Ni. This can be at least attributedto the numerous grain boundaries in the films.Second, the lowest values correspond to films withlow carbon content, such as the ones deposited inconditions A3 and A4. Resistivity of filmsdeposited in conditions B and D is higher. It isconcluded that, in addition to thickness andmorphology, the presence of the previouslymentioned forms of carbon is also deleteriousto the electrical properties of the films.

Figure 10 illustrates the variation ofmagnetization (M) as a function of applied fieldat 5 K for films processed in conditions A3 andB (film containing 7 at.% C). The (111) XRD Nipeak of these samples is not shifted relative tothe reference position, in contrast to the8-9 at.% C containing film whose XRD patternis shown in Figure 8. In addition, the variationof M of a pure Ni foil and of a film processed inconditions B, containing 7 at.% C and annealedat 700°C for 3 hours under vacuum(approximately 10-4 Torr) is shown in this figure

70

60

50

40

30

20

10

02 4 6 8 10 12 14 16

Carbon Content (at.%)

Res

istiv

ity (

μΩ.c

m)

Pure Ni

Fig. 9: Resistivity of Ni films as a function of their carbon content.

Page 306: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau306

for the same conditions. It appears that allsamples exhibit a typical ferromagnetic behavior,characterized by increased magnetization withincreasing magnetic field before reaching aplateau corresponding to the saturationmagnetization value (M

s). M

s decreases with

increasing temperature. This is illustrated inFigure 11, where M

s of each sample is plotted

against T3/2. A linear relation between the twoparameters appears from this plot, characteristicof Bloch’s law.82 In Table 3, M

s at 5 K and at 300

K of the above investigated samples are shown,and are compared with some reported valuesfor Ni layers. Table 3. Saturation magnetizationM

s, at 5 and 300 K of samples A3, B, pure Ni,

and B annealed. Some values of M, for variousNi layers from literature are also reported forcomparison.

The results drawn in Figure 11 andtabulated in Table 3 indicate that M

s decreases

with increasing carbon content of the asprocessed films. This is in agreement withliterature information following whichimpurities such as boron, carbon or oxygen

decrease the magnetization of nanocrystallineNi powders,85 and thin films.17,83 It has also beenreported that M

s, decreases when carbon has

diffused in Ni, in particular if the nonferromagnetic nickel carbide Ni

3C is formed.31

It is worth noting that magnetization of the filmsprocessed in conditions A3 is lower than that ofthe pure Ni sample, and that their M

s at 300 K is

close to that of other carbon containing LCVDNi films, deposited from nickelocene.83 Inagreement with a previous conclusion it thusappears that, although carbon contaminationof films processed in conditions A3 is notdetectable by EPMA, these deposits are carboncontaminated. This contamination level is highenough to affect their magnetic properties.

Sella et al.31 observed that annealing Ni(C)films at 200°C under vacuum led to an increasein M

s, indicating that carbon atoms are

segregating out of the crystal lattice. Such adiffusion mechanism of carbon at grainboundaries could explain that M

s of a sample

processed in conditions B and annealed is closeto that of bulk crystalline Ni.

6

5

4

3

2

1

00 1 2 4 5

Magnetic Field (Tesla)

Mag

netiz

atio

n (×

105

m-1)

Pure Ni

A3

B

B Annealed

Fig. 10: Variation of the magnetization M, as a function of the magnetic field at 5 K for differentsamples: a pure Ni foil (O), a film deposited in conditions A3 (�), a film deposited inconditions B containing 7 at.% C(Δ), and the same film annealed for 3 h at 700°C invacuum (∇).

Page 307: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 307

Pure Ni Foil A3 B (7 at.% C) B (7 at.% C)

Annealed

Ms (.10-5 A.m-1) at 5 K 5.14 4.77 4.03 5.17

Ms (.10-5 A.m-1) at 300 K 4.90 4.47 3.63 4.79

Reference 31 83 84 17

Material PVD Ni/C LCVD Ni (C) Amorphous Ni(B)

Multilayer Ni Layer Thin Films

Ms(.10-5 A.m-1) at 300 K 1.3 - 5.1 4.4 3.0 2.3

5

4

0 1000 2000 3000 4000 5000

T3/2 (T in Kelvin)

Sat

urat

ion

Mag

netiz

atio

n(×

105

m-1)

Pure NiA3BB Annealed

Fig. 11: Variation of the saturation magnetization Ms, as a function of T3/2 for the samples of

Figure 10.

Table 3. Saturation Magnetization Ms at 5 and 300 K of Samples A3, B, Pure Ni, andB Annealed. Some Values of Ms for Various Ni Layers from Literature are also

Reported for Comparison

For amorphous Ni films, Tamura and Endoobserved a reduced M

s value at 300 K compared

to that of the crystalline state.84 In the present case,the grain size of the deposits is systematicallyhigher than 20 nm, i.e. at least one order ofmagnitude higher than the limit value whichaffects magnetizationd.

In Figure 12 the variation of the magneticsusceptibility as a function of temperature for afilm processed in conditions B (7 at.%C) ispresented. The Curie temperature T

c for this

sample was measured from the heating and thecooling parts of this diagram. It slightlydecreases after the annealing (approximately

Page 308: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau308

3 hrs. above 400°C), but the magneticsusceptibility remains unchanged at lowtemperatures. T

c of the reference sample and of

the films processed in conditions A3 remainunchanged after heating and cooling. Both T

c

and magnetic susceptibility at low temperature ofthe films processed in conditions B(12 at.%C) significantly increase after annealing.Table 4 shows the T

c of the reference sample

and the three films. It appears that Tc decreases

with increasing carbon content of the films.

Decomposition ofNickelocene and CarbonContamination: ReactionSchemes

Reaction (2) takes into account neither thecarbon incorporation in the films nor theproduction of methane (CH

4), identified as one

among the major by-products during thisprocess. Thus, it is necessary to introduce a more

complete scheme for the representation of thesephenomena. A model will be proposed in orderto understand, at least qualitatively, theinfluence of the main parameters on the reactionrates. It is based on the following assumptions.a. Steady-state is reached in the reactor.b. Residence time of the gases does not

influence the rate of the reactions. Becausemost of them take place on the surface,reaction time is controlled by the time ofadsorption on the substrate.

c. The hydrogenated derivatives of the C5H

5

ligand are stable. They can be eitherdesorbed from the substrates or furtherhydrogenated. Indeed, thedehydrogenation of C

5H

686 and of C

5H

874

proceed with C5H

5 as an intermediate

species, and both take place in the absenceof hydrogen. Thus, althoughdehydrogenation reactions are possible,they should be slow and in any case, theirdecomposition is described by that of C

5H

5.

d. The carbon incorporated in the films isproduced either from the condensation of

0.006

0.004

0.002

0.000

300 400 500 600 700

Temperature (K)

Mag

netic

Sus

cept

ibili

ty (

a.u.

)

0 100 200 300 400 500

Temperature (°C)

Fig. 12: Evolution of the magnetic susceptibility as a function of temperature during a heating(O), and a cooling (Δ) ramp, of a film processed in conditions B (7 at.% C). Thecorresponding T

C was determined as 335 ± 2°C.

Page 309: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 309

cyclic parts or from the decomposition ofthe ligand, following a reaction schemesimilar to those observed for thedehydrogenation of C

5H

6 or C

5H

8 but with

C5H

5 as starting species. Partial and total

decompositions lead respectively to theincorporation of aliphatic (free) andcarbidic (interstitial) carbon.Following the proposed model, two types

of reactions are in competition (Table 5). Thoseconcerning the hydrogenation of the ligands(followed by desorption) (Reactions 3a to 8 inTable 5). Those concerning their decomposition,eventually leading to the incorporation of carbon(Reactions 9a to 12 in Table 5). In Table 5, the twobranches of this reaction scheme are summarized.Although H

2 is written in its gaseous state, it is

likely that it reacts in most cases under atomicform on the surface.75 All other species areconsidered to be absorbed on the surface, unlessotherwise specified.

Reaction (2) corresponds to the successivereactions (3) to (8). Because H

2 is dissociatively

adsorbed on Ni, numerous highly reactivehydrogen atoms are available on the surfaceand consequently, hydrogenation reactions (3),(5) and (7) are catalysed on nickel. Reactions(9b and 10) describe the decomposition of theligand C

5H

5. Incorporation of aliphatic carbon

is represented by reaction (10a); that ofinterstitial or graphitic carbon is representedby reaction (10b). Incorporation of condensedspecies is represented by reactions (9c) and (9d).The methanation of carbon on nickel, reaction(12), has been extensively studied75,87 andreferences therein), generally fromdecomposition of CO, because it plays a key

role in many industrial processes. Thehydrogenation of carbon atoms on nickelcarbide surface has been studied by usingsurface characterization techniques.88, 89 Finettiet al.89 showed that 0.2 monolayer of carbonadsorbed on nickel can be only partiallyremoved between 50°C and 75°C under a H

2

partial pressure PH2

of 75 Torr, a residual quantityof carbon remaining on the surface afterhydrogenation. By contrast, for experiments at180°C, carbon is completely removed from thesurface by methanation. Using a simple kineticmodel to fit their experimental data, the authorspropose that one among the four simpleelementary steps CH

x + H → CH

x+1 (x = 0, 1, 2 or

3) is a hundred times slower than the others.Following He et al.88 this rate-limiting stepcorresponds to x = 2. These authors also showedthat hydrogenation is induced by H* radicalsand not directly by H

2. The total activation

energy calculated for carbon hydrogenation toCH

4 is about 87 kJ.mol-1.89 Small size of

crystallites, yielding surface with high density ofreactive sites (as is the case of a growing surfaceby the CVD process), induce higher reactivity.87

Following Zinn et al.,65 metals like Ni, Pd or Pt,which catalyse this methanation reaction, can bedeposited pure, without carbon incorporation.

According to the above presented reactionscheme, the level of carbon incorporation inthe films will depend on the rate of reactions(9), v

9, which describe the decomposition of the

cyclopentadienyl ligands, relative to the rateof reactions (3), V

3, which describe their

hydrogenation. In order for the model to becomplete, rates v

11 and v

12 must also be

Pure Ni A3 B (7 at.% C) B (12 at.% C)

TC (Heating Ramp) 360°C 350°C 336°C 333°C

TC (Cooling Ramp) 360°C 350°C 334°C 343°C

Table 4. Curie Temperature TC for Four Ni Samples: A Pure Ni Foil, One FilmProcessed in Conditions A3, and Two Films Processed in Conditions B

Containing 7 and 12 at.% C

Page 310: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau310

considered, since part of the produced carbon isremoved via the corresponding reactions (11) and(12). Two methods are presented for thecomparison of these reaction rates.

The first method consists in a directcomparison of the rates between reactions (3) andreactions (9). Reactions (3) lead to the depositionof one nickel atom for one NiCp

2 molecule and to

the production of two ligands that yield C5H

8 and

C5H

10. Reactions (9) are the starting point for the

incorporation of carbon in the films and for theproduction of CH

4 (assuming reaction rate v

11 is

negligible, v9= v

10 + v

12). Assuming that most of

the NiCp2 molecules are decomposed via reactions

(3) in typical CVD conditions, the production ofCH

4 relative to each C

5H

5 ligand can be illustrated

by the ratio

10585

4

HCHC

CH

+

This allows a direct comparison of the ratiov

12/(v

6 + v

8) in different conditions. Because

reaction rate v5 is considered to be negligible,

v3 ~ v

6 + v

5 based on assumption of steady state.

Thus, this molar ratio should be 2* v12

/v3, i.e.

equal to the number of molecules of CH4 formed

for each half atom of deposited Ni. The rate ofcarbon incorporation per deposited nickel atom(i.e. the ratio v

10/v

3) is directly given by the

carbon content of the films. As reaction (9) isrelated to C

5H

5, each ligand yields 5 carbon

atoms, and ratio v9/v

3 is provided by (v

10 + v

12)/5.

Hence, the following relation can be obtained:

v

vat C

CH

C H C H10

4

4

5 8 5 10

1

5= × +

+

⎝⎜⎜

⎠⎟⎟.% (13)

This method provides a direct estimationof the ratio v

9/v

3. Its main drawback comes from

the simultaneous consideration of two differentkinds of measurements since EPMA and massspectrometry have been used respectively forthe determination of the first and the secondterms in the right side of equation (13).

The second method consists in acomparison of the hydrogenation rates. As itcan be expected, all reactions which shouldlead to pure Ni films are hydrogenation-type,

in contrast to reactions which lead to carboncontaining deposits. Hence, the two branchesof Table 5 should have an inverse relationshipwith hydrogen. For example, it has been reportedthat the hydrogenation reaction of C

5H

6 to C

5H

8

On nickel boride NiB presents a partial order of1 relatively to P

H2.68 On the contrary,

dehydrogenation reaction and C-C bonddissociation of C

2H

6 on the Ni surface presents

an order of -2.5 relative to PH2

.90 Consequently,in processing conditions for which reactions(3) are favored, reactions (9) should not be, andvice versa. This shows that if the ratio of therates v

3 for two sets of operating conditions can

be established, it then becomes possible tocompare the ratio of the rates of the twoconcurrent reactions (3) and (9).

It was not possible to evaluate v3 by means

of the present mass spectrometric measurements.C

5H

8 and C

5H

10 are the two cyclic hydrocarbons

whose molar ratio was quantitativelydetermined in all investigated conditions. Theirratio corresponds to the ratio of the reactionrates v

6/v

8, or to that of v

6/v

7 because steady-

state is assumed. v6/v

7 illustrates the ratio of the

rate of desorption over that of hydrogenationfor C

5H

8. At constant temperature, desorption

rate depends on surface coverage. Thus, thecomparison of this ratio for two experimentsperformed in different conditions yields a directcomparison between the hydrogenation rates ofC

5H

8, provided temperature remains unchanged.Because reactions (3), (5) and (7) are all

hydrogenation reactions of cyclopentadienylderivatives, it can also be assumed that theirrates will vary the same way. Thus, thecomparison of molar ratios,

C H

C H5 8

5 10

for deposition at the same temperature, allowsthe comparison of the reaction rates of the“clean” decomposition of nickelocene (3). Thehigher this ratio, the less nickelocenedecomposed following reaction (3).

This second method relies on thedetermination of the ratio

C H

C H5 8

5 10

Page 311: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CV

D of M

etals: The C

ase of Nickel

311

Table 5. Two Branches of the Proposed Reaction Scheme for the Deposition of Ni Films from Nickelocene

Decomposition of the Precursor with Hydrogenation of the Ligands Decomposition of the Precursor with Decomposition of the Ligands

Dissociation of the Two Ni-Ligand Bonds: Decomposition of the First Ligand:

Ni(C5H5)2 + ½ H2 (g) → NiC5H5 + C5H6 (3a)

Ni(C5H5) + ½ H2 (g) → Ni(s) + C5H6 (3b)

C5H6 from reaction (3) can either be

desorbed (4) or hydrogenated (5)

C5H6 → C5H6 (g) (4)

C5H6 + H2 (g) → C5H8 (5)

C5H8 can be either desorbed (6) or hydrogenated (7, 8):

C5H8 → C5H8 (g) (6)

C5H8 + H2 (g) → C5H10 (7)

C5H10 → C5H10 (g) (8)

Ni(C5H5)2 → CxHyNiC5H5 + C5-xH5-y (9a)

Similar decomposition involving a ligand

attached to a chemisorbed Ni:

C5H5 → CxHy + C5-xH5-y (9b)

Carbon incorporation is induced by the decomposition ofspecies C5-xH5-y and CxHy (10a), or by their directincorporation in the deposit (10b):

C5-xH5-y → CuHv(Ni) + CwHz (g) (w ≤ 5-x and z < 5-y) (10a)

C5-xH5-y → C(s) ou C(Ni) (10b)

Condensation reactions can also lead to carbon

incorporation by the formation of heavy olefins:

2 C5H5 ⇔ C10H10 (9c)

n C5H6 ⇔ (CxHy)m + k H2 (9d)

with m*x = 5*n; m*y + 2*k = 6*n

Some of these species can react with hydrogen and give more stable molecules: C5-xH5-y + n H2 → C5-xH5-y + 2n (g) (11)

Incorporated carbon can also react with hydrogen to yield CH4. This reaction removes the incorporated carbon from the deposit:

C(Ni) + 2H2 → CH4 (g) (12)

Page 312: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau312

The approach appears less direct than thefirst one, but this parameter is based exclusivelyon mass spectrometric results and varies in a largerscale compared to the ratio v

9/v

3. Both ratios

C H

C Hand

CH

C H C H5 8

5 10

4

5 8 5 10+allow the investigation of the relative ratesbetween reactions (3) and (9), leadingrespectively to pure and to carbon contaminatedNi films. The mass spectrometric study of thegas phase described below is mainly focusedon the investigation of these two ratios.

Investigation of the GasPhase

Investigation of the gas phase wasperformed during deposition of Ni films. Threetypes of mass spectrometric experiments wererealized.

a. In conditions B, at 100°C, where H2 was

replaced by He. In the course of theanalysis, H

2 was also injected in the gas

phase. He and H2 flow rates were chosen in

such a way that pressure in the massspectrometer remained unchanged.

b. During deposition in conditions A3, A4,AT4, B and D.

c. In conditions A3 or B, during which, afterthe signal is stabilized, temperature wasincreased in increments of 25 or 50° up to350°C.From the last two types of experiments, the

molar ratios

C H

C Hand

CH

C H C H5 8

5 10

4

5 8 5 10+

in the reactor, involving C5H

8, C

5H

10 and CH

4,

were quantified following a calibrationprocedure reported in 46.

Gas Phase Analysis in theAbsence of H2

Figure 13 shows the variation with time ofmasses 97, 123, 125, and 188 at P = 100 Torr,T = 90°C, and a molar fraction of NiCp

2

1.5 × 10-3. The vertical dotted line indicates thereplacement of He by H

2 in the input gas. Other

18000

15000

12000

9000

6000

3000

01000 2000 3000 4000 5000

124189

Injectionof H2

126

98

Inte

nsity

Time (s)

Fig. 13: Variation of masses m/q = 97, 123, 125, 188 as a function of time. Dotted line indicatesthe addition of H2 in the input gas. P = 100 Torr, T = 100 °C.

Page 313: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 313

masses, namely m/q = 58, 65, 66, and 124 wereidentified in these conditions but they have notbeen reported in the figure for clarity. Beforethe introduction of H

2, intensities increase with

time and finally attain a stable value indicatinga stationary regime. Masses 65 and 66 areattributed to C

5H

6. Mass 188 is attributed to

NiCp2 in contrast to masses 124 and 125 which

do not belong to its mass spectrum. Intensities ofmasses 123 and 97 are stronger than in the spectrumof NiCp

2 (three to four times for the former). These

results indicate that, in addition to NiCp2, there

should exist at least one other Ni containingspecies in the gas phase above the substrate.

The injection of H2 results in:

a. A rapid and strong increase of the intensitiesof masses 123 and 125 in the same ratio asbefore the injection of H

2, followed by a rapid

decrease,b. A weak increase of mass 124 (as well as 58

and 97) followed, as for the previous masses,by a rapid decrease, and

c. A strong decrease of the intensity of mass

188 along with an increase in intensity ofmasses 123 and 125.The decrease of the intensity of mass 188

and the simultaneous increase of masses 123and 125 indicate that the latter can not beattributed exclusively to fragments of NiCp

2 in

the gas phase. These masses are attributed tothe ions HNiCp+ and H

2NiCp+, which, as it will

be shown below, can be provided by the H2NiCp,

and possibly HNiCp molecules,

Evolution of the C5H8/C5H10 Ratio

In Figure 14, the evolution of theC

5H

8/C

5H

10 ratio during different processing

conditions is schematically illustrated. Intensityof gray scale is inversely proportional to theC

5H

8/C

5H

10 ratio, i.e. qualitatively proportional

to the hydrogenation of the cyclopentadienylligands. In conditions B values (a) correspondto the beginning of the experiment and values(b) to utilization of copper substrates.

AT4

0.8T

P P

A4

10 (+C5H

6)

A3

5 ×10-3

B

10a 2b

D

10 (+C5H

6)

QH2

Fig. 14: C5H

8/C

5H

10 molar ratio during different processing conditions. Intensity of gray scale is

inversely proportional to the C5H

8/C

5H

10 ratio, i.e. qualitatively proportional to the

hydrogenation of the cyclopentadienyl ligands. In conditions B values (a) and (b) correspondrespectively to the beginning of the experiment and to analysis in the presence of coppersubstrates.

Page 314: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau314

The C5H

8/C

5H

10 ratio generally decreases

before reaching a stable value. In conditionsA3, almost all ligands (~99.5%) are fullyhydrogenated to yield C

5H

10, and no C

5H

6 can

be detected. For higher temperature (350°C,conditions AT4), the ratio C

5H

8/C

5H

10 increases

by almost a factor of 100 and approximatelyequals one, indicating that half of the ligandsare desorbed in the form of C

5H

8 instead of being

hydrogenated to yield C5H

10. The highest value

of the C5H

8/C

5H

10 ratio (~10), was obtained at

low H2 flow rate (conditions A4). C

5H

6 was also

detected in these experiments. Its quantitydecreases with time, varying from 50% to 10%of the total cyclopentadienyl derivatives. TheC

5H

8/C

5H

10 ratio also increases with decreasing

pressure from conditions A3 to B and D. Inconditions D it attains a value comparable tothat in conditions A4. Moreover, C

5H

6 is

detected during the first steps in both conditionsB and D. It represents 2% to 10% of the totalamount of the cyclopentadienyl derivatives inconditions B, and 25% in conditions D. Thedecrease with time of the value of C

5H

8/C

5H

10 in

conditions B is faster when a copper substrateis placed upstream of the sampling tube. Whenthe copper substrate is not present or is placeddownstream, this transition period is muchlonger, and it lasts approximately until a nickelfilm is visible on the glass or silica SiO

2

substrates placed upstream.Figure 15 shows the values of the ratios

C H

C Ha and

C H

C H C H5 8

5 10

5 6

5 8 5 10

15 15b( ) ( )+

obtained from experiments where temperaturewas increased from 150°C to 350°C. Theseexperiments have been performed at 100 Torrin conditions similar to deposition conditionsB and at 760 Torr in conditions similar todeposition conditions A3 (or AT4). They arecompared with results reported by Kaplin et al.42

who operated close to conditions A3 and AT4, butwith a higher molar fraction of nickelocene andwith N

2 as carrier gas. Lines are guides to the eye.

As already noticed at 175°C, the C5H

8/C

5H

10 ratio

is about 100 times higher at 100 Torr than at 760Torr. Both ratios increase very slowly withtemperature. Ligands are more hydrogenated inthe present experiments than in those of Kaplin

et al. In particular, these authors observed muchmore C

5H

6, especially above 300°C.

The evolution of the composition of thegas phase was also investigated during cooldown and with the saturator by-passed, after adeposition experiment had been completed. Itwas found that during a transition period whenby-products were still observable, the decreaseof the temperature induced a strong decrease ofthe signal attributed to C

5H

6 and C

5H

8, and a

strong increase of the signal attributed to C5H

10.

Evolution of theCH4 /(C5H8 + C5H10) Ratio

In Figure 16 the evolution of the CH4/

C5H

8 + C

5H

10 ratio during different processing

conditions is schematically illustrated. Intensityof gray scale is proportional to the value of thisratio. This value is very close to 0. 1 in all cases,except in conditions A3, in which case it is about5 × 10-3. The evolution of the CH

4/C

5H

8 + C

5H

10

ratio with temperature at 760 Torr and at 100Torr is shown in Figure 17. At 760 Torr it isnegligible at low temperature, but it sharplyincreases above 275°C. At 100 Torr, it remainsstable in the order of a few percent from 175°Cto 300°C, before strongly increasing. For bothpressures, the values of the ratio CH

4/C

5H

8 +

C5H

10 are comparable above 300°C.

Some films deposited in conditions B wereannealed at 300°C under the same (except forthe presence of NiCp

2) atmosphere as during

deposition. In these experiments, the signalsattributed to the cyclopentadienyl derivativeswere very weak, whereas the signal attributedto CH

4 was very strong. It is remarkable that the

signal attributed to the nickelocene alsobecomes observable, whereas it was notobservable during deposition experiments.

Other Masses

Masses m/q 27, 29, 37, 39, 40, 41, 43, thathave not been attributed up to now to specificmolecules, were observed in low quantities.They probably correspond to hydrocarbons with2 or 3 atoms of carbon. They were detectedmainly during experiments in conditions A4

Page 315: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 315

10

1

0.1

0.01

450 500 550 600

150 200 250 300 350

Temperature (°C)

B

AT4

A3

Temperature (K)

C5H

8/C

5H10

0.1

0.01

0.001

0.0001

150 200 250 300 350

450 500 550 600

Temperature (°C)

Temperature (K)

C5H

6/(C

5H8 +

C5H

10)

AT4

B

Fig. 15: Variation of the molar ratios (a) C5H

8/C

5H

10 and

(b) C

5H

6/(C

5H

8 + C

5H

10) as a function of

temperature for experiments performed at P = 760 Torr (•) and at 100 Torr (�).Corresponding results from Kaplin et al.34 (▲) are also reported. Points (�) correspondto experiments performed at constant temperature.

(b)

(a)

Page 316: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau316

AT4

0.3T

P P

A4

0.10

A3

5 × 10-3

B

0.10

D

0.15QH

2

Fig. 16: CH4/(C

5H

8 + C

5H

10) molar ratio during different processing conditions. Intensity of gray

scale is qualitatively proportional to the value of the CH4/(C5H8 + C5H10) ratio.

0.1

0.01

150 200 250 300 350Temperature (°C)

450 500 550 600

Temperature (K)

CH

4/(C

5H8 +

C5H

10)

Fig. 17: Variation of the molar ratio CH4/(C

5H

8/C

5H

10) as a function of temperature during

experiments performed at 760 Torr (•) and at 100 Torr (�).

Page 317: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 317

and to a lesser extent during experiments usingconditions B and D. Masses heavier than thosecorresponding to C

5H

10 were detected only in

very weak quantities. These results differ fromthose reported in42 where moleculescorresponding to condensed species weredetected, especially for deposition temperaturesabove 300°C.

Growth Mechanisms

Results

Variation with TimeHydrogenation rate increases with time,

before reaching a stationary value. In conditionsB, this transition period is strongly reducedwhen a copper substrate is placed on thesusceptor, upstream of the sampling tube. Thistransition period is attributed to the time that isnecessary to cover the substrates with nickel.When nickel is present, hydrogenation iscatalysed. Consequently, decomposition ofnickelocene is enhanced, and deposition of Nibecomes autocatalytic.39

Variation with TemperatureBecause desorption rates are different, it is

not possible to directly compare the C5H

8/C

5H

10

ratio, i.e. the hydrogenation rates between twoexperiments at different temperatures.Consequently, in such conditions the C

5H

8/

C5H

10 ratio does not allow the comparison

between rates v4 and v

10. However, the increase

of this ratio with increasing temperature (Figure15a) clearly shows that the desorption rate ofthe ligands becomes higher than theirhydrogenation rate. Inversely, it becomes lowerwhen temperature is decreased below 175°C aswas shown from the gas phase analysis duringcooling of the reactor at the end of theexperiments. This is attributed to a higheractivation energy for desorption than forhydrogenation. It is recalled that the adsorptionenergy of nickelocene on nickel growing filmsis certainly higher than that found by Welipitiyaet al. for NiCp

2 on Ag (100), i.e. 50 kJ/mol-1,71

that C5H

6 is desorbed from the surface of Cu

only above 350°C,86 and that the energy for the

hydrogenation of a ligand was estimated to belower than 11 kJ.mol-1 (see section on the growthrate). This result confirms that the lower reactionrates observed at temperatures above 250°C aredue to higher desorption rates of nickelocenein these conditions. The hydrogenation reactionand thus the reduction of NiCp

2 by H

2 is slow

compared to desorption above 250°C.When temperature is increased, the amount

of CH4 greatly increases (Figure 17), especially

above 300°C. Following Kaplin et al.,42 theamount of carbon in the deposits increases withincreasing temperature up to 300°C anddecreases at 350°C. It is concluded that whentemperature is increased, reaction (12) increasesfaster than reaction (9) which increases fasterthan reaction (3). Thus, the activation energiesfor these three reactions should be related as:E

A(3) < E

A(9) < E

A(12). Considering the values

mentioned above, 11 < EA(9) < 87 kJ.mol-1.

Generally speaking, the activation energy forhydrogenolysis reactions on transition metalsis between 64 and 100 kJ.mol-1.75 The increasein temperature leads to an increase in theamount of carbon incorporated in the films,until the methanation reaction can remove it,mainly at temperatures higher than 300°C. Thestrong increase of the production of CH

4 above

300°C at 100 Torr suggests a change for themechanism of the ligand decomposition.Considering the similar amount of CH

4 produced

at 760 Torr above 300°C, this mechanism,characterized by a higher activation energy,should be the same in these two conditions.

Variation with Hydrogen FlowHydrogenation strongly decreases when the

hydrogen flow is decreased as illustrated bycomparison of the values of the C

5H

8/C

5H

10 ratio

in conditions A3 and A4 (Figure 14). If the rateof reaction (7) is written in the classical form:

v7 = K · Pα (H

2) (14)

then α ~ 3.5 according to the values of the aboveratio obtained for experiments in conditions A3and conditions A4. Coefficient K includes theactivity of nickelocene on the surface. Thisactivity is thus assumed to be the same inconditions A3 and A4; i.e. adsorption ofnickelocene is only weakly influenced by the

Page 318: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau318

hydrogen coverage of the surface. The value ofα is significantly higher than that of 0.8,estimated for a similar relation applied toreaction (3). However, these calculations onlyreveal trends. They show that reactions (3) and(7) vary in the same way, even if the latter ismore sensitive towards P

H2

than the former.Further measurements are necessary to obtainprecise values for partial orders α.

The amount of incorporated carbon in thedeposits processed in conditions A4, is 5-6 at.%,i.e. slightly higher than that of films depositedin conditions A3 (Figure 7). From Figure 16 itcan also be noticed that in conditions A4,approximately 20 molecules of CH

4 are

produced when 100 atoms of Ni are deposited.This is considerably higher than in conditionsA3 (about 1 CH

4 per 100 deposited Ni atoms).

These two results show that according to relation(13), the rate of ligand decomposition (9)increases relatively to reaction (3) whenhydrogen flow rate is decreased. They alsoindicate that in conditions A4, despite a verylow hydrogenation rate, methanation reaction(12) is very efficient permitting removal of 80%of the carbon produced by reactions (9) and(10). Because many other light molecules havebeen detected in conditions A4, reaction (11)helps to remove some parts of the decomposedligands from the nickel surface. Because inconditions A

3 H

2 flow rate is higher than in

conditions A4, the methanation rate for a givenquantity of carbon should also be higher. Inview of the high efficiency of the methanationreaction in conditions A4, the amount of carbonin films processed in conditions A3 should beless than the number of methane moleculesformed per atom of deposited nickel, that is tosay inferior to 1 at.%.

Variation with PressureComparison of the values of the ratio C

5H

8/

C5H

10 in conditions A3 and B, or B and D shows

that the hydrogenation rate strongly decreaseswhen pressure is decreased. This behavior is tobe related with the decrease of the growth ratewith decreasing pressure below 100 Torr.Because the growth rate of films is comparablein conditions A3 and B, and reaction (4) is

slower in conditions B (as reaction (8) is slower),the number of nickelocene molecules on thesurface should be higher in conditions B tobalance the slower hydrogenation rate. This isattributed to a better diffusion of nickelocenein the boundary layer at low pressure. In such acase, the increased number of nickelocenemolecules should saturate the adsorption sitesfor hydrogen, as has already been proposed.Consequently, less hydrogen should bedissociated on the surface and be available fornickelocene decomposition or ligandshydrogenation.

Following Figure 14, in conditions of lowH

2 flow rate (A4) hydrogenation is slower than

conditions B, and of the same order of magnitudeas in conditions D. On the other hand,production of CH

4 is comparable in conditions

B, D, and A4. Moreover, the amount of carbonis higher in conditions B (7-12 at.%) and D (14-19 at.%) than in conditions A4. Although thehydrogenation reaction is faster, themethanation reaction is less efficient inconditions B than in conditions A4, and theligand decomposition is favored. This implies,according to relation (13), that the rate ofreaction v

9 relative to reaction v

3 is faster at low

pressure, independently of the decrease of thehydrogenation rate.

It is worth noting that the increase of thedecomposition reaction of the ligands and thedecrease of the efficiency of methanation arenot only a consequence of the decrease of thehydrogenation reaction. Conditions that favorreaction (3) (or (12)) must discourage reaction(9) and vice versa. In a following paragraph,these phenomena will be correlated with thesurface saturation of nickelocene.

Variation with the Molar Fraction or theCarrier Gas

The lower hydrogenation rates in theexperiments reported by Kaplin et al.42

compared with those in conditions A3 can beexplained by the higher molar fraction ofnickelocene or by the use of N

2 as carrier gas in

their experiments. A higher concentration in thegas phase induces a higher concentration onthe surface, which should lead to a saturation of

Page 319: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 319

the sites for the adsorption of hydrogen. It isrecalled that in the experiments reported bythese authors, carbon content is also higher thanthat in films processed in conditions A3. Bycontrast, it is closer to that observed forconditions B, for which the surface has beensaid to be saturated with nickelocene.

Because hydrogenation is obviously asurface reaction between adsorbed H* andligands, its ‘rate must depend on the competitionfor adsorption sites between molecules of thecarrier gas and H

2. N

2 is larger than He, and its

adsorption energy is certainly higher. Thus, asimilar flow rate of these two carrier gases willlead to a different surface coverage. It is possiblethat more adsorption sites for H

2 are occupied

by the carrier gas when N2 rather than He is

used, leading to a slower hydrogenation rate.

Comparison with EquilibriumCalculations

Total and partial equilibrium calculationswere performed using the GEMINI2 software,91

in order to determine whether the variations inoperating conditions can change along with theproducts of the reaction or their quantity bydisplacing the equilibrium.

Total equilibrium calculations considered:a. fcc Ni, graphite and the nickel - carbon

Ni(C) solid solution,b. nickelocene, andc. C

xH

y hydrocarbons with × ≤ 6, and also

toluene, naphtalene, anthracene andphenantrene that have been detectedduring the pyrolysis of nickelocene.38

Data for all species were taken from theSGTE data bank,92 except for nickelocene,37 andfor C

5H

5 and C

5H

6.93 Results show that in a large

domain of operating conditions, includingthose investigated in the present work, the Ni(C)solid solution is the only stable condensedphase. Carbon solubility in Ni is very low (below0.1 at.%). CH

4 is the only stable gas under these

conditions. In view of the misfit betweencalculated and experimental results (the lattershowing that CH

4 is not the major gas and that

carbon content in the film is higher) it isconcluded that equilibrium was not attained inthe experiments.

Partial equilibrium calculations consideredfcc Ni as condensed species, and thecyclopentadienyl radical and its hydrogenatedderivatives as gaseous species. Following thislist, the only possibility for nickelocene is tobe decomposed into solid Ni, while sufficienttime is allowed for the ligands to reachequilibrium through hydrogenation reactions.However, ligands are not allowed to bedecomposed. The results are illustrated inFigure 18 where the evolution of the calculatedmolar ratio C

5H

8/C

5H

10 as a function of

temperature is reported at 100 Torr and 760 Torr.No C

5H

6 was found below 500°C. In this figure,

experimental results obtained in similarconditions are also reported. From thecomparison between experimental andtheoretical results, it appears that equilibriumfor the hydrogenation reaction (7) is far frombeing reached, except at 350°C and atmosphericpressure. Consequently, the experimentallyshown evolution of C

5H

8/C

5H

10 with varying

operating conditions can not be attributed tothe displacement of the equilibrium with thepressure or temperature.

Finally, the same gaseous species wereconsidered, with fcc Ni being replaced by theNi(C) solid solution. Within this scheme,ligands were allowed to be hydrogenated or tobe completely decomposed leading to theincorporation of carbon in Ni. The absence ofCH

4 does not allow this carbon to be removed

by a methanation reaction. The results show aslight increase of carbon solubility in Ni relativeto the results of total equilibrium calculations:Carbon content in Ni is now 4 × 10-4 at.% at175°C and 0.01 at.% at 350°C at atmosphericpressure, and slightly higher at 100 Torr.Although trends from calculations and fromexperiments are similar, the misfit between themis still high. The evolution of carbonincorporation in the deposits in differentoperating conditions can not be attributed toequilibrium displacement.

The decrease of the intensity of mass 188and the simultaneous increase of those ofmasses 123 and 125 after the injection ofhydrogen (Figure 13) indicate that the last twomasses can not be attributed exclusively tofragments of NiCp

2 in the gas phase. We

Page 320: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau320

attribute these masses to the ions HNiCp+ andH

2NiCp+, which can be provided by the H

2NiCp,

and possibly HNiCp molecules. In agreementwith the work of Pradeep et al.,94 hydrogenatoms in these molecules are considered to belinked to nickel. In such a case, these speciesran be formed from the reaction of hydrogenwith the nickel cyclopentadienyl radical NiCp,which in turn is formed as an intermediateproduct during the dissociation of NiCP

2

(reaction 3a).In order to investigate the stability of NiCp

and of its hydrogenated forms, quantumchemistry calculations have been performedusing the density functional theory.47 Thecharacteristics of the Ni-Cp bond have beenoptimized for the above compounds togetherwith those of the Ni-H bond in H

2NiCp, and

HNiCp. In Figure 19 an energy diagram of thedissociation energies (BDE) of the firstand the second bonds of the twocyclopentadienyl ligands linked to Ni inNiCP

2 is presented. It can be noticed that the

1

1×10-1

1×10-2

1×10-3

1×10-4

1×10-5

1×10-6

400 500 600

Temperature (°C)

C5H

8/C

5H10

Temperature (K)

100 Torr

760 Torr

100 Torr exp.

760 Torr

Calc.

200 300 400

Fig. 18: Comparison of the C5H8/C5H10 ratio as a function of temperature at 760 Torr and at 100Torr, calculated in partial equilibrium conditions and corresponding experimental values.

first BDE is considerably higher than the secondby, 90 kJ/mol.

The BDEs of Ni-H bonds in HNiCp, andH

2NiCp are approximately 300 kJ/mol, their

difference being less than 20 kJ/mol. Althoughthe Ni-Cp BDE is weaker in H

2NiCp relatively

to NiCp (230 instead of 310 kJ/mol), thesevalues suggest that both the Ni-H and the Ni-Cp bonds are quite strong. Consequently, ifhydrogen is available in the vicinity of NiCp,the formation of HNiCp and H

2NiCp, can not

be excluded.Based on the above calculations, both the

free energy function (FEF) and the standardenthalpy of formation ΔH°

f (298) of NiCp were

obtained, the first from its structure and normalmode of vibration by applying the harmonic-oscillator, rigid-rotator approximation, and thesecond from a Born-Haber thermodynamiccycle.95 The thermodynamic description ofNiCp, together with that of the otherparticipating compounds, permitted a secondseries of partial equilibrium calculations of the

Page 321: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 321

metastable gaseous phase. It was then possibleto obtain trends on the behavior of the gasphase in two different configurations, accordingto reaction (15) alone and to reactions (15) and(16) considered together:

Ni(C5H

5)

2 (g) → Ni(C

5H

5) (g) + C

5H

5 (g) (15)

Ni(C5H

5) (g) → Ni(s) + C

5H

5 (g) (16)

The results are illustrated by the twodiagrams of Figure 20, where the evolution ofthe molar fractions of NiCp

2, NiCp, and Ni(s) is

reported as a function of temperature for twoextreme values of pressure, 4 × 10-5 Torr, and760 Torr. Calculations have also been performedat 13.3 kPa (100 Torr) and yield intermediateresults between those illustrated in the diagramsof Figure 20. The main conclusions from thesecalculations are:i. NiCp

2 is stable at atmospheric pressure.

ii. It yields NiCp or Ni(s) above 773K(500°C).

iii. At low pressures it is decomposed moreeasily and yields NiCp which can in turnyield Ni(s) above 623K (350°C). In theseconditions NiCp becomes more stableabove 723K (450°C), leading to a decreasein the quantity of Ni(s).The lower stability of NiCp compared to

that of NiCp2 and Ni(s) has been experimentally

confirmed for this 96 and related compounds.97

This can also be expected from the lower BDEof the Ni-Cp bond in NiCp, relative to that ofsame bond in NiCp

2. It is thus shown that, in the

absence of hydrogen, NiCp2 can not decompose

below 200°C via the dissociation of a Ni-Cpbond. This is consistent with the experimentalresults of Stauf et al.40 who reported that inequivalent conditions deposition of nickel filmsis not possible below 550°C.

The decomposition of NiCp2 in the presence

of a comparable quantity of hydrogen followingreaction (17) has then been investigated.

13

12

11

10

8

7

6

5

4

3

2

1

0

12.54 (5)

9.84 (6)

7.61 (1)

7.08 (3)

4.87 (5)4.20 (4)

3.99 (2)

0.78 (1)0.00 (3)

Ene

rgy

(eV

)

Ni(Cp)2 Ni(Cp)+Cp Ni+2Cp

Fig. 19: Diagram of excitation energy (eV), predicted first bond dissociation energy (3.99 eV,lower arrow), and the sum of the first and the second bond dissociation (7.08 eV botharrows) of NiCp2. Spin multiplicity is given in parenthesis. In all calculations the groundstate of the cyclopentadienyl radical is considered.

Page 322: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau322

100

80

60

40

20

0500 600 700 800 900 1000

Temperature (K)

200 300 400 500 600 700 800

Temperature (°C)

NiCp

NiCp2

4 × 10-5 Torr 760 Torr

Mol

ar F

ract

ion

(%)

200 300 400 500 600 700 800

500 600 700 800 900 1000Temperature (K)

Mol

ar F

ract

ion

(%)

Temperature (°C)

760 Torr4 × 10-5 Torr

NiCp2

NiCpNi

(a)

(b)

Fig. 20: Yield diagrams of stabilized species as a function of temperature for two pressures,4 × 10-5 Torr and 760 Torr. Diagrams were obtained by partial equilibrium calculationswithin two chemical systems, one defined by equation (16) (diagram 20a) and the otherby both equations (16) and (17) (diagram 20b).

Ni(C5H

5)

2(g) + ½ H

2(g) → C

5H

6(g) +

Ni(C5H

5)(g) (17)

The results show that, if partialhydrogenation of the ligands through a limitedquantity of hydrogen is allowed, NiCp starts

forming above 200°C at very low pressure, andthat this limit increases with increasing pressure.Hence, at atmospheric pressure, NiCp isstabilized above 300°C, to be compared with550°C when there is no hydrogen available

Page 323: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 323

(previous calculations). Finally, if nickel isallowed to form in these conditions, it is foundthat, in the presence of a minimum amount ofhydrogen, NiCp

2 is decomposed at room

temperature and yields Ni(s) and C5H

6. NiCp is

stabilized above 650°C.

Mechanism for CarbonIncorporation

From the previous sections, the followingpoints can be made. Nickelocene and thecyclopentadienyl ligands are hydrogenatedwith atomic hydrogen produced from thedissociative adsorption of H

2 on the surface.

Carrier gas, H2 and precursor compete for the

adsorption sites. Nickelocene can almostcompletely cover the surface and thus preventthe adsorption of H

2. Even with low

hydrogenation rate, the methanation reactioncan be very efficient for the cleaning of thesurface. Hence, a decrease in hydrogenation

results in limited carbon incorporation(conditions A4).

When the surface is almost saturated withnickelocene, decomposition of ligands isenhanced (reactions (9) and (10)), methanationbecomes less efficient, and more carbon isincorporated in the nickel. This behavior cannot be solely explained by the competitionbetween hydrogenation and dehydrogenationreactions. Indeed, hydrogenation of ligands isslower in conditions A4 than in conditions B orD. Inversely, dehydrogenation of ligandsleading to carbon incorporation andmethanation reactions are faster in conditionsB and D than in conditions A4. Reactions (10)certainly correspond to a high activation energymechanism that is predominant above 300°C.We propose another mechanism for lowertemperatures, which is based on the saturationof the surface with nickelocene. This mechanismis schematically presented in Figure 21 whichapproximates the relative dimensions amongthe involved atoms. The cyclopentadienyl

C5H6

C5H4

C5H

4

NiCp

1

8 7 5

46

32

Fig. 21: Schematic representation of the reaction between adsorbed molecules of NiCp2. (Seetext for detailed description of each step.)

Page 324: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau324

ligand is represented by a pentagon. The modeof adsorption of nickelocene molecules is asproposed by Welipitiya et al. for highcoverage,71 although a different mode has veryrecently been proposed.98

Following this mechanism, the reaction ofthe decomposition of the ligands is due to thereaction between two neighbor molecules ofnickelocene. Hydrogen is transferred from aligand of the first to a ligand of the secondmolecule of nickelocene (step 1). The firstmolecule is decomposed yielding NiCp andC

5H

4, whereas the other gives C

5H

6 and NiCp

(steps 2 and 3). C5H

4 would be expected to serve

as precursor for the incorporation of carbon inthe growing films following a dehydrogenationprocess proposed by Sun et al.86 (step 4). Theavailable hydrogen could react with theadsorbed NiCp to yield H

2NiCp or HNiCp (steps

5 and 7). It has been shown from ab initiocalculations that these species can be stablerelative to NiCp. Once HNiCp or H

2NiCp have

been formed on the surface, they are expectedto be desorbed more easily than NiCp, due to adecrease of the energy of the bond betweennickel and the surface (step 8). If excesshydrogen is now directly injected in the gasphase (as was done during the analysis of thegas phase in section 5b) hydrogenation of NiCpcould be performed directly from molecular H

2

(steps 6 and 7). Consequently, production ofH

2NiCp should be enhanced relative to the

previous case, and also relative to theproduction of HNiCp.

In summary, the reaction between twonickelocene molecules would necessarily leadto the decomposition of one ligand, with a weakprobability for carbon from this reaction to behydrogenated into CH

4. This or similar

hydrogen exchange-based reactionmechanisms between two metalorganicmolecules have already been proposed byDruzhkov et al.99 for nickelocenedecomposition, and by Russel97 for thedecomposition of FeCp

2 and CpMo(CO)

3.

According to this decomposition mode,carbon should be in the deposit in an aliphaticor carbidic form, due to the more or less completedecomposition of C

5H

4. The condensed cyclic

form is less probable by this mechanism unless

C5H

4 can react with other C

5H

4 cycles, or with a

nearby NiC5H

5 radical, before it is decomposed.

The mechanism presented in Figure 21 requireslower activation energy than the directdecomposition of the C

5H

5 ligands, which takes

place at 350°C,74,86 and is responsible for anincrease in CH

4 above 300°C.

Summary and Conclusions

In view of the properties of the depositedfilms and of the corresponding processes, themost promising precursors for nickel CVD areNi(CO)

4 (which, despite its high toxicity

presents important advantages relative to theother precursors), NiCp

2, Ni(MeCp)

2, Ni(hfa)

2,

Ni(dmg)2, and Ni(den)

2. The choice of the most

appropriate among them will depend on theintended application.

Thin films of nickel can be grown fromnickelocene in the presence of hydrogen at ratesup to 1 μm.h-1 depending on the depositionconditions and the nature and the substratesurface morphology. The most appropriatetemperature interval for this is between 160°Cand 180°C. Smooth films are obtained duringprocessing below 100 Torr, but these conditionsare detrimental to the growth rate. Above 225°Cdesorption of nickelocene is favored over itsdecomposition. It appears that, although notoptimized, the growth rate of Ni films fromNiCp

2 is intrinsically limited due to the

saturation of surface sites and to the competitionbetween NiCp

2 and hydrogen for adsorption and,

consequently, can not be significantly increasedby modifying the operating conditions.

Carbon is incorporated in the films ininterstitial, in aliphatic and/or organic forms.Carbon content is attributed to the high surfacecoverage of nickelocene, whether this highconcentration is due to high molar fraction ofthe precursor or to low operating pressure. Anincrease in temperature above 250°C and, to alesser extent, a decrease in hydrogen flow ratelead to an increase of carbon incorporation inthe films. Interstitial carbon deforms the Nilattice and is responsible for degradation ofmagnetic properties of the deposited films.

Page 325: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 325

The gas phase study during depositionassociated with the determination of thecomposition of the films revealed that thedeposition reaction can be described by aLangmuir-Hinshelwood mechanism, i.e. thatnickelocene prevents H

2 adsorption by

occupying most of the adsorption sites. Thishigh surface coverage is obtained by an increaseof the nickelocene molar fraction or by adecrease of the total pressure. Thus, thehydrogenation reaction and deposition ratestrongly decrease for high nickelocene surfacecoverage. The high surface coverage alsoinduces reactions between nickelocenemolecules. Many of these reactions are directlyresponsible for carbon incorporation, becausethey produce active species that decomposeeasily, and they prevent removal of the carbonby methanation. Dehydrogenation of the ligandunder thermal conditions is responsible onlyfor a weak part of the contamination. Carbon ispresent both in the intestinal and aliphatic ororganic forms. These conclusions can beextended to other MOCVD processes withprecursors containing cyclopentadienylligands. They could explain the generally highcarbon contamination in deposits obtained fromsuch precursors. Because the surfaceconcentration of the precursor should berelatively low, films having good electrical ormagnetic characteristics should be processedwith low deposition rates. On the other hand, itseems that nickelocene can be a very convenientprecursor for Ni films for applications that donot require optimum electrical properties.

Chemical vapor deposition in general, andCVD of metals in particular is a complexprocess. Comprehension of the underlyingmechanisms requires a multi-disciplinaryapproach, and different scientific andtechnological skills. For example, the couplingof gas phase analysis with the microstructure ofthe material was demonstrated in this chapter. Itwas confirmed that mass spectrometry is apowerful analytical instrument. However, inorder to propose a surface reaction scheme, itwas necessary to make different assumptions.This kind of study is complementary to highvacuum investigation of surface reactions.

Indeed, operating conditions in the latter arefar from practical deposition conditions, andfor this reason, extrapolation of conclusions tothe real process may not be reliable. On the otherhand, these fundamental investigations arevaluable in determining the different steps ofthe proposed reaction schemes.

Acknowledgments

Major parts of this chapter are based on thePh.D. thesis of L.B., performed through a grantfrom the French Ministry of Education andResearch. We are indebted to A. Castillo fortechnical assistance, to A. Reynes andM. Parioleau for help with the mass spectrometrywork, to R. Sahnoun and C. Mijoule for the abinitio calculations, to D. de Caro, R. Madar andD. Boursier for the magnetic measurements, toP. de Parseval, and C. Armand, for EPMA andSM4S analysis, to J.K. Kang and S.W. Rhee forFFIR measurements, to F. Maury for fruitfuldiscussions, and to an anonymous referee forvaluable remarks.

References

1. T.T. Kodas and M. Hampden Smith, TheChemistry of Metal CVD, Weinheim,Germany, VCH, 1994.

2. J.R. Creighton and J.E. Parmeter, MetalCVD for Microelectronic Applications, AnExamination of Surface Chemistry andKinetics, Critical Review in Solid StateMaterials Science, Vol.18, 1993, pp.175-238.

3. S. Leppävuori, J. Remes, andH. Moilanen, Laser Chemical VaporDeposition of Cu and Ni in IntegratedCircuit Repair, SPIE, Vol.2874, 1996,pp.272-282.

4. M.W. Cole, C. Hubbard, C.G. Fountzoulas,D. Demaree, A. Natarajan, R.A. Miller,D. Zhu, and K. Xie, The Reliability of NiContacts to n-SiC Subjected to PulsedThermal Fatigue, Electrochemical andSolid-State Letters, Vol.2, 1999, pp.97-99.

5. J. Crofton, P.G. Mcmullin, J.R. Williams,and M.J. Bozack, High-Temperature Ohmic

Page 326: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau326

Contact to n-Type 6H-SiC Using Nickel,Journal of Applied Physics, Vol.77, 1995,pp.1317-1319.

6. H.D. Kaesz, R.S. Williams, R.F. Hicks,J.I. Zink, Y.J. Chen, H.J. Muller, Z. Xue, D.Xu, D.K. Shuh, and Y.K. Kim, Depositionof Transition Metal and Mixed Metal ThinFilms from Organometallic Precursors, NewJournal of Chemistry, Vol.14, 1990, pp.527-534.

7. J.D. Martin, P.H. Hogan, K.A. Abboud, andK.H. Dahmen, Variations on NickelComplexes of the Vic-Doximes, AnUnderstanding of Factors AffectingVolatility Toward Improved Precursors forMetal-Organic Chemical Vapor Depositionof Nickel, Chemistry of Materials, Vol.10,1998, pp.2525-2532.

8. E. Hökelek and G.Y. Robinson, Aluminum/Nickel Silicide Contacts on Silicon, ThinSolid Films, Vol.53, 1978, pp.135-140.

9. G.J.M. Dormans, OMCVD of TransitionMetals and their Silicides UsingMetallocenes and (di) Silane or SiliconTetra-Bromide, Journal of Crystal Growth,Vol.108, 1991, pp.806-816.

10. A. Thies, G. Schanz, E. Walch, andJ. Konys, Chemical Deposition of Metalsfor the Formation of Microstructures, AnAlternative Method to Galvanoforming,Electrochimica Acta, Vol.42, 1997,pp.3033-3040.

11. S. Balog, Chemical Vapor Deposition ofNickel Molds, Proceedings of the 66th

Annual Technological Conference,American Electroplating Society, Vol.66,(No.5), 1979, pp.1-9.

12. F. Fau Canillac, Métallisation DeMatériaux Composites Organiques parDépôt Chimique en Phase Vapeur à partirde Sources Organométalliques, Ph.D.Thesis #626, Institut NationalPolytechnique de Toulouse, France, 1992.

13. M.R. Leonov, V.A. Ilyushenkov, andN.I. Kiselev, Preparation of Two-LayerCoatings Containing Uranium or ThoriumDioxide and Metallic Nickel,Radiochemistry, Vol.39, 1997, pp.239-242.

14. F. Caturla, F. Molina, M. Molina-Sabio, andF. Rodriguez-Reinoso, Electroless Plating

of Graphite with Copper and Nickel,Journal of Electrochemical Society,Vol.142, 1995, pp.4084-4090.

15. P.A. Lane, P.J. Wright, P.E. Oliver,C.L. Reeves, A.D. Pitt, and J.M. Keen,Growth of Iron, Nickel, and Permalloy ThinFilms by MOCVD for use inMagnetoresistive Sensors, ChemicalVapor Deposition, Vol.3, 1997, pp.97-101.

16. Y.G. Jim and D. Byun, Journal of AppliedPhysics, Vol.70, 1991, pp.6062-6064.

17. D. Zych, A. Patwa, S.S. Kher,J.T. Spencer, J. Kushneir, A.J. Goodby, N.M.Boag, and P.A. Dowben, Novel Methodsfor the Fabrication of FerromagneticNickel and Nickel Boride Thin Films,Journal of Applied Physics, Vol.76, 1994,pp.3684-3687.

18. P. A. Lane, Liquid Injection Metal OrganicChemical Vapor Deposition of Nickel ZincFerrite Thin Films, Journal of Crystal,Growth, Vol.192, 1998, pp.423-429.

19. G.F. Dionne, IEEE Trans on Magnetics,Vol.31, 1995, pp.3853-3855.

20. W.C. Yeh and M. Matsumura, ChemicalVapor Deposition of Nickel Oxide Filmsfrom bis-pi-Cyclopentadienyl-nickel,Japan Journal of Applied Physics Part I.Regular Papers Short Notes & ReviewPapers, Vol.36, 1997, pp.6884-6887.

21. C.I.M.A. Spee, H.L. Linden, A. Mackor,K. Timmer, and H.A. Meinema,Development of Novel Nickel (B-Diketonate) 2 Ligand Complexes asPrecursors for MOCVD of Nickel andNickel Oxide, Proceedings MaterialsResearch Society, Vol.415, 1996, pp.93-98.

22. J.C. Hierso, C. Satto, F. Feurer, andP. Kalck, Organometallic Chemical VaporDeposition of Palladium Under Very MildConditions of Temperature in the Presenceof Low Reactive Gas Partial Pressure,Chemical Materials, Vol.8, 1996, pp.2481-2485.

23. T. Nishikawa, T. Ono, N. Kakutani,M. Yano, and Y. Ogo, Preparation of NiMembrane Catalyst Supported on CeramicFilter by CVD Method, Member of Facultyof Engineering, Osaka City University,

Page 327: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 327

Vol.32, 1991, pp.37-46.24. G.Y. Meng, L. Huang, M. Pan, C.S. Chen,

and D.K. Peng, Preparation andCharacterization of Pd and Pd-Ni AlloyMembranes on Porous Substrates byMOCVD with Mixed Metal Beta-diketonePrecursors, Material Research Bulletin,Vol.32, 1997, pp.385-395.

25. J.F. Faudon, F. Senocq, G. Bergeret,B. Moraveck, and G. Clugnet, Preparationand Characterization of Ni-Pt Catalysts byX-Ray Diffraction Analytical Microscopyand EXAFS, Journal of Catalysis, Vol.144,1993, pp.460-471.

26. B.E. Williams, J.J.J. Stiglich, andR.B. Kaplan, CVD Coated TungstenPowder Composites, Part I, PowderProcessing Characterization, Presented atTungsten and Tungsten Alloys - RecentAdvances, A. Choson and E. Chen, eds.,1991, pp.95-101.

27. C.C. Chen and S.W. Chen, Nickel andCopper Deposition on A1

2O

3 and SiC

Particulates by Using the Chemical VaporDeposition Fluidized Bed ReactorTechnique, Journal of Materials Science,Vol.32, 1997, pp.4429-4435.

28. C. Crowley, R. Taylor, H.W. Kroto,D.R.M. Walton, P.C. Cheng, andL.T. Scott, Pyrolytic Production ofFullerenes, Synthetic Materials, Vol.77,1996, pp.17-22.

29. I.C. Leu, M.H. Hon, and Y.M. Lu, ChemicalVapor Deposition of Silicon CarbideWhiskers Activated by Elemental Nickel,Journal of Electrical Society, Vol.146,1999, pp.184-188.

30. R. Krawietz, B. Wehner, D. Meyer,K. Richter, H. Mai, R. Dietsch, S. Hopfe,R. Scholz, and W. Pompe, Investigation ofthe Thermal Stability of Ni/C Multilayers byX-Ray Methods, Fresenius' Journal ofAnalytical Chemistry, Vol.353, 1995,pp.246-250.

31. C. Sella, K. Youn, R. Barchewitz,M. Arbaoui, and R. Krishnan, Structure andProperties of WIC and Ni/C MultilayerFilms, Thin Solid Films, Vol.164, 1988,pp.405-409.

32. L. Mond, C. Langer, and F. Quincke, Actionof Carbon Monoxide on Nickel, Journalof Chemical Society, Vol.14, 1885, pp.749-752.

33. R.C. Weast, Handbook of Chemistry andPhysics, 66th ed., Boca Raton, FL, C.R.C.Press, 1985.

34. F. Maury, Recent Trends in the Selectionof Metal-Organic Precursors for MOCVD,Journal of de Physique IV, Vol.C5, 1995,pp.449-463.

35. L.A. Torres-Comez, G. Barreiro-Rodriguez,and F. Mendez-Ruiz, Vapor Pressures andEnthalpies of Sublimation of Ferrocene,Cobaltocene and Nickelocene,Thermochemistry Acta, Vol.124, 1988,pp.179-183.

36. A.G. Turnbull, Thermochemistry ofBiscyclopentadienyl Metal Compounds.II. Entropy of Sublimation, AustralianJournal of Chemical, Vol.20, 1967,pp.2757-2760.

37. A.G. Turnbull, Thermochemistry ofBiscyclopentadienyl Metal CompoundsI. Preparation and Themal Stability,Australian Journal of Chemical, Vol.20,1967, pp.2059-2067.

38. L.M. Dyagileva, O.N. Druzhkov, andY.A. Andrianov, Gas-Phase Pyrolysis ofNickelocene, Journal of General Chemistryof USSR, Vol.1, 1977, pp.82-85.

39. O.N. Andreev, O.P. Bespal'ko, V.P. Marii,L.N. Vyshinskaia, and G.A. Ruzuvaev,Nickel Deposition from ThermalDecomposition of Nickelocene,Metalloorganitcheskaya Khimiya, Vol.1,1988, pp.1184-1186.

40. G.T. Stauf, D.C. Driscoll, P.A. Dowben,S. Barfuss, and M. Grade, Iron and NickelThin Film Deposition via MetalloceneDecomposition, Thin Solid Films, Vol.153,1987, pp.421-430.

41. C.H.J. Van den Brekel, R.M.M. Fonville,P.J.M. Van der Straten, and G. Verspui, CVDof Ni, TiN, TiC on Complex Shapes,Presented at Proceedings of the 8th

International Conference on ChemicalVapor Deposition, Proceedings of theElectrochemical Society, Vol.81, (No.7),1981, pp.142-156.

Page 328: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau328

42. Y.A. Kaplin, G.V. Belysheva,S.F. Zhil'tsov, G.A. Domrachev, andL.S. Chernyshova, Decomposition ofNickelocene in Presence of Hydrogen,Journal of General Chemical USSR, Vol.50,1980, pp.100-103.

43. L. Brissonneau and C. Vahlas, Precursorsand Operating Conditions for the Metal-Organic Chemical Vapor Deposition ofNickel Films, Annales de Chemie - Sciencedes Matériaux, Vol.25, pp.81-90.

44. L. Brissonneau and C. Vafflas, MOCVDProcessed Ni Films from Nickelocene. PartI, Growth Rate and Morphology, ChemicalVapor Deposition, Vol.5, 1999, pp.135-142.

45. L. Brissonneau, D. deCaro, D. Boursier,R. Madar, and C. Vahlas, MOCVD ProcessedNi Films from Nickelocene. Part II, CarbonContent of the Films, Chemical VaporDeposition, Vol.5, 1999, pp.143-149.

46. L. Brissonneau, A. Reynes, and C. Vahlas,MOCVD Processed Ni films fromNickelocene. Part III, Investigation of theGas Phase, Chemical Vapor Deposition,Vol.5, (No.6), 1999, pp.281-290.

47. L. Brissonneau, R. Sahnoun, C. Mijoule,and C. Vahlas, Investigation of nickelocenedecomposition during chemical vapordeposition of nickel, Journal of theElectrochemical Society. in Press.

48. L. Brissonneau, C. Vahlas, and A. Reynes,Processing of Pure Ni MOCVD Films,Presented at Advanced Interconects andContact Materials and Processes for FutureIntegrated Circuits Symposium,Proceedings of Material Research SocietySymposium, Vol.514, 1998, pp.491-496.

49. L. Brissonneau, A. Reynes, and C. Vahlas,Morphology and Carbon Content of NickelFilms Deposited from Nickelocene,Presented at International Symposium onChemical Vapor Deposition, CVD-XIV andEUROCVD 11, Proceedings of theElectrochemical Society, Vol.97, (No.25),1997, pp.1580-1587.

50. A.A. Zinn, L. Brandt, H.D. Kaesz, andR.F. Hicks, Nickel, Palladium andPlatinum, The Chemistry of Metal CVD,T.T. Kodas and M.J. Hampdens-Smith, eds.,Weinheim, VCH, 1994, pp.329-355.

51. H.D. Kaesz, R.S. Williams, R.F. Hicks,Y.A. Chen, Z. Xue, D. Xu, D.K. Shuh, andH. Thridandam, Low TemperatureOrganometallic Chemical VaporDeposition of Transition Metals,Proceeding of Material Research SocietySymposium, Vol.131, 1989, pp.395-400.

52. T. Maruyama and T. Tago, Nickel ThinFilms Prepared by Chemical VaporDeposition from Nickel Acetylacetonate,Journal of Materials Science, Vol.28, 1993,pp.5345-5348.

53. R.L. Van Hemert, L.B. Spendlove, andR.E. Sievers, Vapor Deposition of Metalsby Hydrogen Reduction of Metal Chelates,Journal of Electrochemical Society,Vol.112, 1965, pp.1123-1126.

54. P.P. Semyannikov, V.M. Grankin, andI.K. Igumenov, Investigation ofThermolysis Mechanism of Gas Phase ofNi(II) Chelates by Mass Spectrometry,International Symposium on ChemicalVapor Deposition, CVD-XIV andEUROCVD 11, The ElectrochemicalSociety Proceeding, Vol.97, (No.25), 1997,pp.89-96.

55. M. Becht, J. Gallus, M. Hunziker,F. Atamny, and K.H. Dahmen, Nickel ThinFilms Grown by MOCVD Using Ni(dmg)2as Precursor, Journal of Physique IV, Vol.C5,1995, pp.465-472.

56. M. Urrutigoity, C. Cecutti, F. Senocq,J.P. Gorrichon, and A. Gleizes, PreliminaryStudy of (Ni(en)3

) (CF3CO

2)

2 and Ni(en)

(hfpd)2 as Precursors for MetalorganicChemical Vapour Deposition, StructuralProperties and Thermal Behaviors,Inorganica Chimical Acta, Vol.248, 1996,pp.15-21.

57. V.O. Borisov, V.V. Bakovets,L.F. Bakhturova, and I.P. Dolgovesova,Kinetics of Nickel Deposition fromNi(HL*)2 Vapor, Inorganic Materials-EnglTr, Vol.31, 1995, pp.1026-1027.

58. M. Becht, F. Atamny, A. Baiker, andK.H. Dahmen, Morphology Analysis ofNickel Thin Films Grown by MOCVD,Surface Science, Vol.371, 1997, pp.399-408.

Page 329: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD of Metals: The Case of Nickel 329

59. L. Brissonneau, A. Kacheva, F. Senocq,J.K. Kang, S.W. Rhee, A. Gleizes, andC. Vahlas, MOCVD of Ni and Ni

3C Thin

Films from Ni(dmen)2 (CF3OO)2, Journalde Physique IV, Vol.9, 1999, pp.597-604.

60. S. Motojima, K. Haguri, Y. Takahashi, andK. Sugiyama, Chemical Vapor Depositionof Nickel Phosphide Ni

2P, Journal of Less-

Common Metals, Vol.64, 1979, pp.101-106.61. S. Kher and J.T. Spencer, The Chemical

Vapor Deposition of Pure Nickel andNickel Boride Films from Borane ClusterCompounds, Proceedings of MaterialResearch Society Symposium, Vol.250,1992, pp.311-316.

62. S.D. Hwang, N. Remmes, P.A. Dowben, andD.N. McIlroy, Nickel Doping of Boron-Carbon Alloy Films and CorrespondingFermi Level Shifts, Journal of VacuumScience Technology, Vol.A15, 1997,pp.854-859.

63. Y. Saito, T. Takeuchi, Y. Fukuda, andK. Sone, On Some Mixed Chelates ofNickel (II) which are Highly Volatile,Fusible and Soluble in Nonpolar Solvent,Bulletin of the Chemical Society of Japan,Vol.54, 1981, pp.196-199.

64. F. Senocq, M. Urrutigoity, Y. Caubel,J.P. Gorrichon, and A. Gleizes, PreliminaryStudies of (Ni(dmen)

2 (CF

3CO

2)2, Ni

(deen)2 (CF3CO

2)2 and Ni(en) (CF

3C(O)

CHC(O)CF3)

2 as Precursors for

Metalorganic Chemical Vapor Deposition,Structures, Thermal behaviors and VaporPressures, Inorganic Chemical Acta,Vol.288, 1999, pp.233-238.

65. A. Zinn, B. Niemer, and H.D. Kaesz, ReactionPathways in Organometallic Chemical VaporDeposition (OMCVD), Advanced Materials,Vol.4,1992, pp.375-378.

66. J.K. Kang and S.W. Rhee, UnpublishedResults.

67. W.L. Holstein, Design and Modelling ofChemical Vapor Deposition Reactors,Progress in Crystal Growth andCharacterization, Vol.24, 1992, pp.111-211.

68. W.J. Wang, M.H. Qiao, J. Yang, S.H. Xie,and J.F. Deng, Selective Hydrogenation ofCyclopentadiene to Cyclopentene over anAmorphous NiB/SiO

2 Catalyst, Applied

Catalysis A, Vol.163, 1997, pp.101-109.69. X. Zhong and J.W. Bozzelli,

Thermochemical and Kinetic Analysis onthe Addition Reactions of H, O, OH, andHO

2 with 1,3 Cyclopentadiene,

International Journal of ChemicalKinetics, Vol.29, 1997, pp.893-913.

70. Z. Xue, H. Thridandam, H.D. Kaesz, andR.F. Hicks, Organometallic Chemical VaporDeposition of Platinum. Reaction Kineticsand Vapor Pressures of Precursors,Chemical Materials, Vol.4, 1992, pp.162-166.

71. D. Welipitiya, C.N. Borea, C. Waldfried, C.Hutchings, L. Sage, C.M. Woodbridge, andP.A. Dowben, The Adsorption ofNickelocene. Part I, Molecular Bonding onAg(100), Surface Science, Vol.393, 1997,pp.34-46.

72. G.J.M. Dormans, G.J.B.M. Meekes, andE.G.J. Staring, OMCVD of Cobalt andCobalt Silicide, Journal of Crystal Growth,Vol.114, 1991, pp.364-372.

73. M.L. Hitchman and K.F. Jensen, ChemicalVapor Deposition, Principles andApplications, Academic Press, London,1993.

74. N.R. Avery, Bonding and Reactivity ofCyclopentene on Pt (III), Surface Science,Vol.146, 1984, pp.363-381.

75. G.A. Somorjai, Chimie des Surfaces etCatalyse, Ediscience International, Paris,1995.

76. J.A. Venables and G.D.T. Spiller, Nucleationand Growth of Thin Films, SurfaceMobilities on Solid MaterialsFundamental Concepts and Applications,NATO ASI series, 1983, pp.341-404.

77. D.P. Adams, T.M. Mayer, E. Chason,B.K. Kellerman, and B.S. Swartzentruber,Island Structure Evolution DuringChemical Vapor Deposition, SurfaceScience, Vol.371, 1997, pp.445-454.

78. R.T. DeHoff, Thermodynamics in Materials,McGraw-Hill, New York, 1993.

79. M. Izaki and T. Omi, StructuralCharacterization of Martensitic IronCarbon Alloy Films Electrodeposited froman Iron (II) Sulfate Solution, Metallurgical

Page 330: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Vahlas and Brissonneau330

Material Transactions, Vol.27A, 1996,pp.483-485.

80. JCPDS Card #4-850, Powder DiffractionFiles, PDF-2 Database, InternationalCenter for Diffraction Data, NewtonSquare, PA.

81. A. Aouni, A. Pianelli, and E. Bauer Grosse,Etude Structurale et Stabilité Thermiquede dépôts Ni

l

-XC

X é1aborés par

pulvérisation Cathodique, Revue deMétallurgie, Vol.9, 1992, p.597.

82. Saturation Magnetization follows Bloch'sRelation, M

s(T) = M

s(0)*[1-B*T3/2] where

Ms(0) is the Saturation Magnetization at

0K and B is the Bloch Constant, SeeF. Bloch, Z. Physics, Vol.61, 1931, p.206.

83. Y.G. Kim, D. Byun, C. Hutchings,P.A. Dowben, H. Hejase, and K. Shröder,Fabricating Magnetic Co-Ni-C Thin FilmAlloys by Organometallic Chemical VaporDeposition, Journal of Applied Physics,Vol.70, 1991, pp.6062-6064.

84. K. Tamura and H. Endo, FerromagneticProperties of Amorphous Nickel, PhysicsLetters, Vol.29A, 1969, pp.52-53.

85. H.E. Schaefer, H. Kisker, H. Kronmüller, andR. Würschum, Magnetic Properties ofNanocrystalline Nickel, NanostructuralMaterials, Vol.1, 1992, pp.523-529.

86. D.H. Sun, B.E. Bent, and J.G. Chen,Chemistry of Cyclopentadiene on aCu(100) Surface, Detection ofCyclopentadienyl (C

5H

5) Species as

Reaction Intermediates, Journal ofVacuum, Science Technology, Vol.A15,1997, pp.1581-1585.

87. F. Van Looij and J.W. Geus, The Reactivityof Carbon-Containing Species on Nickel,Effects of Crystallite Size and RelatedPhenomena, Catalysis Letters, Vol.45,1997, pp.209-213.

88. H. He, Y. Okawa, and K. Tanaka,Hydrogenation of Carbidic Carbon on theNi(100) Surface, Surface Science, Vol.376,1997, pp.310-318.

89. P. Finetti, R.G. Agostino, A. Derossi,A. Santoni, and R. Rosei, Hydrogenationof Carbidic Carbon on Ni(III), SurfaceScience, Vol.262, 1992, pp.1-7.

90. J.H. Sinfelt, Catalytic Hydrogenolisis onMetals, Catalysis Letters, Vol.9, 1991,pp.159-172.

91. Gibbs Energy Minimizer (GEMINI2),THERMODATA, Grenoble, France,1994.

92. Scientific Group Thermodata Europe(SGTE), available on line fromTHERMODATA, BP 66 F-38402 SaintMartin d'HèRes, France and RoyalInstitute of Technology, S-10044,Stockholm, Sweden.

93. M. Karni, I. Oref, and A. Burcat, Ab InitioCalculations and Ideal Gas ThermodynamicFunctions of Cyclopentadiene andCyclopentadiene Derivatives, Journal ofPhysics Chemical Reference Data,Vol.20, 1991, pp.665-683.

94. T. Pradeep, J.S. Patrick, B. Feng,S.A. Miller, T. Ast, and R.O. Cooks,Concerted Hydrogen Rearrangement inNickelocene Cation and The formationof NiH

2+, Journal of Physics Chemical,

Vol.99, 1995, pp.2941-2945.95. L. Brissonneau, Dépôt Chimique en

Phase Vapeur De Couches Minces DeNickel à Partir De Précurseurs Métal-Organiques Ph.D. Thesis #1500, InstitutNational Polytechnique De Toulouse,France, 1998.

96. E. Hedaya, The Techniques of FlashVacuum Pyrolysis. TheCyclopentadienyl Radical and its Dimer,Accounts for Chemical Research, Vol.2,1969, pp.367-373.

97. D.K. Russel, Gas-Phase PyrolysisMechanisms in Organometallic CVD,Chemical Vapor Deposition, Vol.2, 1996,pp.223-233.

98. D.L. Pugmire, C.M. Woodbridge, andM.A. Langell, Orientation of Nickeloceneon Ag (100), Surface Science Letters,Vol.411, 1998, pp.L844-L848.

99. O.N. Druzhkov, Y.A. Andrianov, andL.M. Diagyleva, Mass Spectra ofDicyclopentadienyinickel and Bis(Isopropyleyclopentadienyl) Nickel,Zhurnal Obshchei Khimii, Vol.47, 1977,pp.1836-1841.

Page 331: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

331

Chapter 10

CVD Diamond, Diamond-Like Carbon,and Carbonitride Coatings

Priyadarshini KarveDepartment of Applied Physics, Sinhagad College of EngineeringSinhagad Technical Education SocietyWadgaon Budruk, Pune 411 041, India

J. Prabhjyot PalPhysical and Materials Chemistry DivisionNational Chemical Laboratory, Pune 411 008, India

S.B. OgaleDepartment of Physics and Department of Materials ScienceUniversity of Maryland, College Park, MD 20742

Preamble

The advantage of thin films and coatingsin imparting desired properties to the surface of arelatively unimportant substrate material is wellestablished. This aspect of the thin film and coatingtechnology has a tremendous scope in tribology.The possibility of coating a tool surface with ahard wear resistant coating to enhance tool life isa highly attractive proposition.

Diamond, which is the hardest knownmaterial, is a natural choice for tribologicalapplication. In fact, this has been one of themajor motivating factors to develop thesynthesis of this unique allotrope of carbonin the thin film form. Today, diamond thinfilms and coatings are considered to betechnologically important to a wide varietyof applications due to their remarkablecombination of extreme properties.

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p331-377 DOI:10.1361/chvd2001p331

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 332: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale332

Many new phases of carbon have beendiscovered as an offshoot of attempts of artificialsynthesis of diamond. Among these, a class ofamorphous carbon and hydrocarbon phasesexhibits properties close to those of diamond.These diamond-like phases are thereforeconsidered to be promising technologicalmaterials.

Among the various compounds of carbon,the carbides of different elements have a specialsignificance from the tribological point of view.Many of these materials, for instance WC, SiC,and TiC, are mechanically hard and have founduse in the tribological industry. The carbonitride(or what can also be called the carbide ofnitrogen) is an important constituent of this classof materials. In the last decade or so, thetheoretical prediction of crystalline carbonitridephases that could be harder than diamond hasgenerated much interest in the synthesis of thismaterial.

CVD Diamond

Introduction

Diamonds were first discovered in India asearly as about 800 BC. The early diamond mineswere the alluvial gravel in the banks or beds ofactive or dried up rivers in which diamond andother heavy and hard crystals had beenconcentrated by the action of the flooding water.The most famous Indian mine was at Kollur nearHyderabad where several historical diamonds(the chief among them being the Koh-i-Nur)were found. Although most of the Indiandiamond mines dried up by the 18th century, themines at Panna in Madhya Pradesh are stilloperational and some new fields in the vicinityare soon to be opened for commercial exploitation.

Fortuitously, just as India’s supply ofdiamond was running out, deposits werediscovered in Brazil and just as Brazil’s werenearly exhausted, huge supplies were found inAfrica. Today the African continent is the majorsupplier of natural diamond to the world. Mostgem diamonds come from the coastal depositsin South West Africa whereas Zaire and Angolamines yield industrial quality diamonds. Sizable

deposits have also been discovered in Siberiain the erstwhile U.S.S.R in the 1950’s, and innorthwest Australia in the 1970’s. In the 1990’s,large diamond deposits have been discoveredin northern U.S.A and are estimated to last forabout 12 years.

In the early days diamonds were chieflyconsidered as precious stones to be used fordecorative purposes as well as for financialtransactions. There are historical evidences toindicate that diamond grit was being used forpolishing gem diamonds and for cutting andengraving on glass in the 16th century. But todaydiamond has proved itself to be a giant amongmaterials not only as a decorative gemstone oras an abrasive tool but also from the scientificand industrial point of view, by virtue of anastonishing combination of a variety of extremeproperties.

Diamond is the purest and crystallo-graphically the most perfect substance thatoccurs in nature though composed of one of thecommonest elements on earth, i.e., carbon. It isthe hardest natural material and yet can bebroken with the blow of a hammer. It canwithstand attack by the strongest chemicals andharshest radiation and yet thermodynamicallyit is metastable. It is the best thermal conductoras well as a good electrical insulator.

Unfortunately, in spite of its immenseusefulness, widespread use of diamond inindustry has been prevented by its rarity. Evenwith modern mining techniques, on an average,250 tons of host rock must be mined andprocessed in order to produce 1 carat ofdiamond.1 As a result, since ancient times,attempts have been made to synthesize thismaterial.

Towards the end of the 18th century a Britishchemist, Smithson Tennant, showed thatdiamonds are composed of nothing but carbona discovery that gave a more scientific directionto synthesis efforts. By the beginning of the19th century, it was known that carbonaceousmaterials, heat and pressure are required fordiamond formation. Finally, success in artificialsynthesis was achieved in the middle of the 20th

century by two routes: the High Pressure HighTemperature (HPHT) route leading to theformation of diamond grit and the Low Pressure

Page 333: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 333

Low Temperature (LPLT) route leading to thedeposition of polycrystalline films of diamondon nondiamond substrates. The HPHT route hasalso been used for making gem quality diamondstones of different colors.2 This route involvessubjecting graphite to high pressure and hightemperature (i.e., conditions at which diamondis thermodynamically the more stable form ofcarbon than graphite) in the presence of a metalsuch as iron, nickel, cobalt, manganese, andchromium or tantalum to act as a solvent aswell as a catalyst. The LPLT methods, on theother hand, involve low pressure deposition ofdiamond from the vapor phase. Thethermodynamic conditions favoring diamondformation, corresponding to both these routesare presented in the phase diagram of carbon3

depicted in Figure 1.

The realization of the LPLT route hasopened up a wide vista of exciting probableapplications for diamond. This is because itoffers the novel possibility of obtainingdiamond as thin films, coatings or even as freestanding thin sheets, ribbons, and tubes.

Low Pressure Low TemperatureSynthesis of Diamond

The growth of diamond on diamond seedcrystals from low pressure low temperaturedecomposition of acetylene in the presence ofmercury vapor was reported by von Bolton asearly as 1911, but the claim went unnoticed fornearly half a century. Systematic studies of thevapor synthesis of diamond began in 1950’s.4,5

1600

1400

1200

1000

800

400

200

0 1000 2000 3000 4000 5000

Metal ?

Diamond

Diamond

DirectGr - Di

Diamond

du PontMeteoritesShock-Quench

Liquid

Gr — hex Di

CatalyticGr – Di Graphite

T (K)

P (k

bar)

Liquid

DirectDi — Gr

Fig. 1: Phase diagram of carbon.3

Page 334: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale334

Pioneering work in this field was done byEversole in the U.S.A who patented a lowpressure vapor synthesis process in 1958 andalso by a Russian research group headed byDerjaguin. The processes developed in the earlydays involved thermal decomposition ofhydrocarbons or hydrogen + hydrocarbon gasmixtures, the substrate was diamond and theprocess involved many cycles of growthfollowed by etching to remove the excessivegraphitic deposits. Eversole’s process involvedetching by hydrogen at a temperature above1000°C and pressure above 50 atm. The Russiangroup used oxidation in air at atmosphericpressures to remove the graphite.

In spite of the success achieved, interest inthe field remained limited due to very lowgrowth rates (a few Å/sec) and co-deposition ofa large quantity of graphite. In the U.S.A.,Eversole’s work was continued by Angus, layingthe groundwork for a better understanding ofthe processes involved in the vapor growth ofdiamond.6,7 Meanwhile, Derjaguin demonstratedthe use of gas activation techniques leading to adramatic increase in diamond growth rate andreduction in graphite co-deposition.

In 1976, Derjaguin, Spitzyn and Bouilovshowed that diamond nucleation is possible onnondiamond substrates such as copper. Thisproved to be a major breakthrough attractingthe attention of the entire materials sciencecommunity towards this field. In the early1980’s a team of scientists led by Matsumoto inJapan demonstrated the nucleation andcontinuous low pressure growth of good qualitydiamond on various nondiamond substratesusing different gas activation techniques. Thisset off an intensive research activity in Japan,Western Europe and the U.S.A.8 Since then anumber of experimental procedures forproducing well-crystallized diamond have beendemonstrated. Since the last decade or so,scientists all over the world have actively takenup research in this field.

Synthesis Techniques

Most of the synthesis techniques used fordiamond deposition fall in the category of

chemical vapor deposition, using a dilutemixture of a carbon containing gas in hydrogenas the starting material. There are a fewtechniques based on the principle of physicalvapor deposition, generally using graphite asthe carbon source, but so far these methods haveenjoyed limited success.

All the major CVD techniques forproducing diamond films involve pyrolysis ofa carbonaceous gas and simultaneouslyactivation of the gas phase to produce a selectiveetchant such as atomic hydrogen to removenondiamond carbon phases from the growingfilm. The various techniques differ mainly inthe means used for gas activation. Some basicactivation techniques that have been used byvarious researchers involve:9

1. Activation by high temperature: hotfilament/hot surfaces, laser heating, arcdischarge and arc plasma jets, chemicallyinduced hot gas flames, etc.

2. Activation by electric or electromagneticgas discharge: microwave and radiofrequency discharge, DC and AC glowdischarge, plasma jets induced by RF,microwave, DC, systems involvingalteration of activated gas volumes andsurface areas by magnetic confinement orother methods, etc.

3. Combinations of 1 and 2 : HF + microwave,HF + DC discharge, HF + bias, e.g., electronassisted CVD, etc.Some commonly used CVD systems are

schematically shown in Figure 2.Each deposition method has its own

advantages and drawbacks, and therefore thechoice of the method depends on the end use ofthe deposit. For instance, Hot Filament CVD(HFCVD) is considered to be a good choice forcoating diamond on cutting tools fortribological applications. For optical andelectronic applications, where phase purity iscritically important, Microwave Plasma CVD(MPCVD) is considered to be more suitable.Bulk polycrystalline diamond pieces for thermalmanagement applications are more easilyfabricated using high rate deposition methodssuch as DC or RF plasma torch or combustionflame. The various diamond deposition processesare summarized and compared in Table 1.10

Page 335: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 335

Microwave(2.45 GHz)

Circular Waveguide

Microwave VacuumWindow

Gases in

View Port

Topump graphite

stage

Inductheatercoil

PlasmaBall

antennaPlunger

Vacuumfeed through

MW - Plasma CVDCarbon Carrier andDiluting Gas

Plasma gas

SheathGas

RF Coil4 MHz/60 KW

Substrate Substrate

Coolant

CoolantIn

Hot PlasmaFire Ball

RF - Plasma CVD

Fig. 2: Schematic representation of diamond CVD systems.

Atomic Hydrogenand CarbonCompounds

ElectricalConnection

Substrate at~ 1000°C

Wire at ~ 2,200°C

Methane andHydrogen

HFCVDTo Pump

D.C. Source

ArgonHydrogen

CoolingWater

Methane

Plasma Jet

Substrate

Cooling Water

DC - Plasma CVD

Oxygen

Acetylene

Oxy-AcetyleneTorch in Air

Oxidizing FlameRegion

Reducing"Acetylene Feather"

Diamond Deposit

Substrate

Cooling Water

Combustion Flame

Page 336: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale336

Another approach to diamond synthesisinvolves energetic ion or laser beams to producelocal areas where, for short duration, carbonatoms are subjected to pressure and temperatureconditions that reach into the diamond stableregion of the carbon phase diagram. After rapidquenching to ambient temperature and pressure,diamond thus formed remains metastable withrespect to graphite. Attempts to deposit diamondby such techniques have been only moderatelysuccessful.

Polycrystalline diamond formation hasbeen reported using high energy (a few tens ofkeV) carbon ion implantation in diamond11-13

or suitable nondiamond substrates,14-16 by ionbeam deposition using carbon ions,17 by lowenergy (< 1 keV) implantation with carbon ormethane ions,18-20 by ion beam sputtering ofgraphite,21 by DC magnetron sputtering of avitreous carbon target in Ar + H

2 plasma,22 etc.

Laser-induced phase transformation fromgraphite to diamond was first achieved by the

Russian group of Derjaguin et al., by irradiatingultrafine graphite powder with a CO

2 laser beam,

and was later confirmed by Roy et al.23 Variousother researchers have reported diamondsynthesis by similar techniques (e.g., 24-27).Diamond formation by laser ablation of graphitetoo has been claimed (e.g., 28, 29).

Recently a new technique has been reportedunder the name of the QQC process.30 It utilizesa combination of lasers and does not requirevacuum, H

2 ambient or substrate pretreatments.

The main source of carbon is CO2 and the films

have been deposited on different metal andceramic substrates.

Characterization of DiamondCoatings

The vast variety of allotropic formsavailable for carbon along with the crystal sizevariation within crystalline phases necessitatesa precise definition of diamond, but also makes

MethodDep. Rate(mm/hr)

Dep.Area(cm2)

Quality(Raman)

Advantages Drawbacks

Flame 30-100 < 1 +++ Simple Area, Stability

Heated Filament 0.3-2 100 +++Simple,Large Area

Contamination,Stability

Low Pressure DCDischarge

< 0.1 70 +Simple,Large Area

Quality, Rate

Medium PressureDC Discharge

20-250 < 2 +++Rate,Quality

Area

DC Plasma Jet 930 < 2 +++Highest Rate,Quality

Area, Stability,Homogeneity

Low Pressure RF < 0.1 ? -/+ Scale UpQuality, Rate,Contamination

Thermal(1 atm) RF

180 3 +++ Rate, QualityArea, Stability,Homogeneity

Microwave(0.9-2.45 GHz)

1 (LowPressure)30 (HighPressure)

40 +++

Quality,Stability,ReasonableRate, Area

Rate, Area

Microwave (ECR2.45GHz)

0.1 < 40 -/+Area (?), LowPressure

Quality, Rate,Contamination

Table 1. CVD Diamond Processes10

Page 337: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 337

it extremely difficult to formulate. A workingdefinition was suggested by Messier et al.31

According to this definition, diamonddeposition is confirmed by:1. A crystalline morphology visually

discernible by electron or opticalmicroscopy,

2. A single phase polycrystalline structureidentified by x-ray or electron diffraction,and

3. A laser Raman spectrum typical of naturaldiamond, i.e., the presence of the Ramanactive line at 1332 cm-1.Various characterization techniques are

used to evaluate the quality of diamondcoatings on the basis of the criteria put down inthis definition. Broadly the major categories ofCVD diamond analysis include chemicalcharacterization, i.e., identification of thedifferent carbon phases in terms of compositionand bonding, and physical characterization,i.e., investigation of structural andmorphological properties such as defects andinterfaces. The most commonly used techniquesare laser Raman spectroscopy, scanningelectron microscopy and x-ray diffraction.32

Examples include XRD analysis and Ramanspectrum of a polycrystalline diamond filmgrown by HFCVD technique and orienteddiamond film grown by MPCVD technique.33

Scanning electron microscopy is used tocharacterize the morphology of apolycrystalline and (100) oriented film.34

Chemical Vapor Deposition ofDiamond

The processes involved in the low pressuresynthesis of diamond are not yet clearlyunderstood, but various models have beenproposed on the basis of thermodynamic and /or chemical kinetic considerations and a numberof in situ as well as ex situ diagnostic studies.Figure 3 is a schematic representation of thediamond deposition process as it is understoodtoday.35

The following 11 criteria are generallyaccepted guidelines for the CVD of diamond films.

Criterion 1The reaction gases used must contain

relatively low concentrations of volatile carboncompounds (typically about 1-2% by volume)with a high excess of hydrogen.

In vapor deposition of diamond, growthoccurs at the gas-solid interface in a carbon-hydrogen system and not in an elementalcarbon system. Under these conditions diamondgrowth is favored because a diamond surfacesaturated with hydrogen is more stable than acarbon surface free of hydrogen, i.e., a graphiticdeposit.8 Once a diamond layer is buried underanother growing diamond layer, it is metastablewith respect to graphite.

Hydrogen influences the CVD of diamondin many ways.36

In the gas phase, atomic hydrogen isnecessary to initiate the gas phase chemicalkinetics for production of the reactivehydrocarbonaceous radicals required for carbondeposition by the following reactions.

CHn

+ H ↔ CHn-1

+ H2

CHn

+ CHn’

↔ C2H

m+ xH

Atomic hydrogen is also critical to surfacereactions leading to diamond deposition. Itstabilizes the diamond nuclei by passivatingthe dangling bonds to form the more stablehydrogen saturated diamond surfaces. It alsoproduces vacant sites for attachment of thehydrocarbonaceous radicals onto the diamondsurface, enabling the film to grow. Furthermore,atomic and molecular hydrogen present in theCVD environment act as solvents for graphite. Therate at which graphite is etched by hydrogen isseveral orders of magnitude faster than that fordiamond. Atomic hydrogen can also repair sp2

bonded defect structures in the growing film.Figure 4 shows the SEMs of diamond

deposition on Si(100) substrates under identicalHFCVD condition, except for the ambientdeposition pressure. The cauliflowerlike growthin (a) corresponds to the deposition pressure of80 Torr, whereas the better faceted growth in (b)corresponds to a pressure of 20 Torr. This clearlyindicates the importance of the concentration ofatomic hydrogen reaching the substrate surfacein deciding the diamond film quality. The chancethat the hydrogen atoms produced near the

Page 338: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale338

filament will recombine before reaching thesubstrate is higher for the case of the higherambient pressure of 80 Torr.

Various studies (e.g., 37-46) have shownthat the role of atomic hydrogen can also beserved by atomic oxygen, OH radicals, atomichalogens, etc., which can be termed H atomsurrogates. As a result, addition of smallquantities of the source materials of theseradicals to the source gas mixtures has beenobserved to be beneficial for diamond CVD.

Criterion 2Appreciable CVD diamond growth rates

cannot be achieved without some form of gasactivation.

In the early experiments of thermal CVDusing methane + hydrogen gas mixtures, atemperature of about 1000°C and a pressure lessthan 0.1 atm meant that the formation of graphitewas predominant.8,47 An activation energy isrequired to produce carbon and/or hydrogenradicals so that the gas phase and surfacereactions leading to predominantly diamonddeposition can proceed at a significant rate.48

Criterion 3The chemical nature of the carbon source

does not seem to be critical to the nucleationdensity, growth rate or quality of the deposit.

A number of studies (e.g., 49,50) haveshown that the relative concentrations of the

Reactants

H2 CH4

Activation

Non - Equilibrium GasSuper-Saturated in C, H

H2

H2H CH3

2HCH4

Flow and Reaction

DiffusionH

H

Amor. CarbonDiamond Graphite

Deposited Solid Carbonsp2sp3

e–, Heat

Fig. 3: Schematic representation of diamond deposition process.35

Page 339: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 339

different stable hydrocarbon species and theirdependence on temperature are both insensitiveto the choice of precursor gas. Bachmann etal.51 have suggested that irrespective of thestarting molecular gas composition, diamond

deposition is possible as long as the C-H-Ocomposition falls within a narrow domain of anatomic C-H-O phase diagram that provides thecommon basis for all low pressure diamond CVDmethods. The phase diagram is shown in Figure 5.

2 μm

2 μm

Fig. 4: SEMs of diamond films deposited on Si(100) substrates, under identical HFCVDconditions, except for ambient deposition pressure, (a) 80 Torr and (b) 20 Torr.

(a)

(b)

Page 340: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale340

On similar lines, diamond deposition regionshave been calculated in C-H-O-F and C-S-Fphase diagrams, for gas mixtures containingchlorinated or fluorinated hydrocarbons, halogensand other agents of proper composition.52

The various carbon sources that have beenused so far include methane (which is the mostcommonly used source), acetylene, alcohols,amines, ethers, carbon monoxide, etc.,53 as wellas, vapors of different hydrocarbon liquids, e.g.,propylene oxide (C

3H

6O), 1,3-dioxolane (C

3H

6O

2)

and dimethylcarbonate (C3H

6O

3).54

Criterion 4Diamond growth comes mainly from single

carbon radicals such as methyl and/or acetylene.Various ex situ as well as in situ diagnostic

studies of activated gas mixtures in differentdeposition systems55-57 as well as chemical

kinetics calculations37,58,59 have attributeddiamond growth to single carbon radicals suchas methyl and/or acetylene. The results of actualexperiments50-62 as well as the theoreticalmodels63,64 of CVD diamond growth haveindicated that CH

3 is the dominant growth

species, and for essentially identicaltemperature and pressure, the rate of diamondformation and its quality are significantly lowerfor C

2H

2 growth species.

Criterion 5Nucleation from the vapor phase is not a

determining factor in the relative kinetics offormation of either graphite or diamond on asubstrate. Which of these two competing structureswins out depends on the kinetics of growth.

If the rate of impingement of atoms ontothe growing phase is about the same for both

DiamondNano-Cryst. MaterialNon-Diamond CarbonNo Growth

Non : DiamondCarbon

Growth : Region

0.9

CO

CO2

0.1

XC

/∑ = C/(C

+ O)

X H/∑

= H

/(H +

C)

0.1 H2O 0.0

XO/∑ = 0/(O + H)

C

0.1

C2H2

C2H4

CH3

CH4

0.2

H O

No Growth RegionCO Line

n

n

n

Fig. 5: C-H-O phase diagram.51

Page 341: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 341

structures and the attachment step is not therate controlling step, then the most stablepolymorph should win. It has been suggested65

that even though graphite has the lower bulkfree energy, as a thin film it is possible that onsuitable substrates the diamond structure willyield lower free energy for the substrate-filmcombination. The reason for this could be thatthe contribution of the interface energies to thedifference in total free energy between the twosubstrate-film systems is a significant decidingfactor.

There are two schools of thought regardingthe question why well crystallized diamond isformed in CVD.66 According to one school,graphite is etched by hydrogen at a rate higherthan diamond. Thus diamond is kineticallystable with respect to graphite. In this case, thedeposition mechanism and species involved arethe important factors. However, this mechanismdoes not explain the dependence of depositquality on the substrate properties. Accordingto the second school, diamond surfaces arestabilized by termination with hydrogen. Anyof the three principal low-index surfaces ofdiamond, if hydrogenated, have lower heats offormation than any of the low-index planes ofhydrogenated graphite or lonsdaleite. Thus thebulk instability of diamond is irrelevant. If thenature of the deposited carbon is controlled bythe structure of its surface during growth, theissues of interest involve (a) which surfaces leadto lowest free energy and (b) how equilibriumbetween the substrate and the deposit areinfluenced by the deposition parameters.

None of the two approaches can explainall the experimental data satisfactorily and it isgenerally believed that a combination of bothmechanisms is prevalent during diamond CVD.

Criterion 6Many substrate materials have been used

for diamond deposition. They can be looselyclassified into three groups:

• Diamond crystals,• Carbide forming materials and their

carbides (Si, Mo, W, SiC, WC, etc.,• Materials that do not interact with carbon

(Cu, Au).67

Diamond nucleation on nondiamondsubstrates seems to be prevented only if thecarbon is too rapidly removed by diffusion intoor by chemical reaction with a particularsubstrate. A stable surface with respect to carbonatoms is the key factor for nucleation. A studyof diamond nucleation on metal substrates68

revealed that dense and uniform nucleationoccurs on substrates like copper and platinumthat have no interaction with carbon andtherefore represent a stable surface. Substrateslike iron have a distinct solubility for carbonand carbon diffuses into the substrate until it iscompletely saturated. As the time to reachsaturation is dependent on the substratethickness, this can significantly delay the onsetof diamond nucleation. As far as the carbideforming substrates such as silicon, tungsten, etc.,are concerned, the carbon atoms arriving ontothe substrate react with the substrate surface toform a surface carbide layer which thereafteracts as the stable barrier to further diffusion ofcarbon into the substrate. Due to the highstability of the carbide and low solubility ofcarbon in these substrates, the incubation periodin this case is relatively small.69 Figure 6 showsthe SEMs of diamond deposition on copper(non-reacting) and chromium (carbide-forming)substrates. The copper-diamond interface issharp suggesting that the deposited carbon hascontributed almost exclusively to diamondgrowth, whereas the chromium-diamond interfacedistinctly indicates deposition of an intermediatelayer before onset of diamond growth.

The carbon atoms arriving at the substratesurface must exceed a certain concentration atthe solid-gas interface to reach and exceed thecritical nucleus size. Therefore the diamondnucleation density as well as the growth rateare dependent on the relative rates of bulk andsurface diffusion of carbon atoms.69,70 These aredifferent for different substrates. Thus, thenucleation process needs a temperaturedependent incubation time which is related tothe time required to form critical size diamondclusters on the substrate surface. The nucleationrate, which is initially negligible, reaches amaximum after a certain time period and tendsto zero for longer deposition times.71

Page 342: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale342

Criterion 7For high quality diamond film formation

the substrate temperature has to be between800°C and 1100°C.53

The upper temperature limit for the vaporgrowth of diamond is determined by the kinetics

of the diamond-to-graphite solid statetransformation and how these kinetics areinfluenced by structural imperfections. Thethermodynamics of the deposition process placea lower limit on the deposition temperaturedepending on the gas concentration-pressure

10 μm

1 μm

(a)

(b)

Fig. 6: SEMs of CVD diamond films on (a) copper and (b) chromium.

Page 343: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 343

combination being used in a particular setup.8

The crystalline or amorphous growth from thevapor phase is balanced by the rate of arrival ofthe precursors from the gas phase and the rate oftheir diffusion across the substrate surface.While the gas phase parameters decide the rateof arrival, the substrate temperature influences therate of surface diffusion and therefore the growthmicrostructure.72 The crystal morphology of thefilm has been shown to depend on the substratetemperature within the optimal range.73

However, a number of researchers havereported deposition of good quality diamondfilms at much lower substrate temperaturesusing oxygen containing gas mixtures (e.g., 74-77). Stiegler et al.78 have shown that the lowtemperature limit of diamond growth is mainlyinfluenced by the carbon content in the gasmixture, allowing a reduction of the growthtemperature by reducing the carbon source gasconcentration. It has been shown75,78 that etchingby the additional oxygen compensates for theincreased nondiamond carbon deposition at thereduced substrate temperature.

Criterion 8Various substrate surface pretreatments

have been reported to increase nucleationdensity.

Because of the long incubation periodinvolved in the formation of a stable surface beforeonset of nucleation, the nucleation densityobserved on most substrates, for practicallyfeasible deposition periods, is too little. Differentsubstrate pretreatments have been attempted toimprove the nucleation density.

The most common technique involvesabrading the substrate surface with hard powders(preferably diamond), or ultrasonic treatmentin a slurry of an abrasive powder (preferablydiamond grit) in an organic liquid for aprolonged period. This type of pretreatmentleads to embedding of the abrasive particulatesinto the surface as well as mechanical damageto the substrate, both of which are believed toenhance the nucleation density.79-81 Themaximum nucleation enhancement is observedwhen diamond grit is used, and this has beenattributed to implantation of diamond particles

into the substrate surface. Considerableenhancement in diamond nucleation has alsobeen observed on substrates pretreated in a bedof diamond particles fluidized by nitrogen gas.82

However mechanical abrasion of the substrateduring the surface pretreatments is undesirablein many applications (e.g., semiconductorelectronics), hence nucleation enhancing bufferlayers have also been used.83-88

A bias nucleation process has beendeveloped to overcome the problem of the lowdiamond nucleation density on untreatednondiamond substrates wherein a negative bias isapplied to the substrate during deposition.89-92

Criterion 9The nuclei are randomly distributed, the

distribution depending on the balance betweenthe flux of the arriving carbon atoms and thecarbon diffusion rate.

The growth of nuclei first leads to formationof individual rapidly growing crystals. Thenuclei form either single or bicrystals exhibitingreentry corners, growth spirals and growth stepsfor easy atomic attachment. Once the optimalnucleation density (in accordance with thesurface diffusion rate) has reached the minimumdistance between near neighboring crystals, nofurther individual nuclei are formed. However,existing crystals continue to grow in alldirections until they touch and form a layer.Bulk diffusion controls the number of nuclei,and the period required to reach the necessaryminimum concentration for nucleation, whereassurface diffusion determines the distancebetween a nucleus and its near neighbors. Inearly growth stages, small crystals are liable tobe redissolved if the bulk diffusion rate is high.In short, the films are formed by a two stepprocess, the growth of individual crystalsfollowed by the growth of the layer.9

An in situ characterization study of HFCVDdeposition93 has suggested that three kineticperiods are involved in diamond deposition:firstly, an incubation period, secondly, a limiteddiamond growth period and finally an unlimiteddiamond growth period. It has been shown that94

three mechanisms of particle formation areoperational during diamond CVD.

Page 344: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale344

a. In the early stages, a Guassian typedistribution of particle size develops. Withtime the group shifts towards largerdiameters without significant broadeningor change of population. This group ofparticles does not seem to have anypreference for specific growth sites.

b. Thereafter, a second group of particlesappears at the low end of the size scale,nucleated preferentially along the scratchesproduced during surface pretreatment. Thegrowth rate of these particles is slow leadingto a bimodal size distribution.

c. In the later stage of substrate coverage,there is an avalanche of particle formation.It has been proposed that both sources 1

and 2 particles originate from the diamondresidue left from the surface pretreatment.Source 1 particles grow from large size seeds.The scratches may contain smaller seeds whichgrow at a lower rate to give source 2 particles.Source 3 particles form close to larger particlesapproaching each other. This may be due tominute diamond residues acting as nuclei fornew growth, or to faster carbon diffusion fromthe diamond deposit increasing the local carbonconcentration.

Criterion 10The crystallographic morphology of the

films exhibits dominance of cubic {100} andoctahedral {111} surfaces and {111} twinplanes. Cubo-octahedra exhibiting both {100}and {111} surfaces are common.

Crystal surfaces are either rough surfaceswith zero step free energy or flat surfaces withpositive step free energy. The rough crystal facesgrow by random addition of growth unitswhereas the flat faces grow layerwise. Due tothe finite step free energy in the case of the flatface, an activation barrier has to be overcometo form a new growth layer. Therefore flat faces arealso the slowest growing faces. In the case ofdiamond, both {100} and {111} are the flat faces.Because the morphology of a crystal is determinedby the slowest growing faces, these are thedominant surfaces observed in CVD diamond.95

The ratio of total surface energy to volumeis the most important factor in determining themorphology at the nucleation stage. The lowest

ratio is exhibited by an octahedron composedof {111} planes. Therefore the initially formedcrystal is octahedral, which is the equilibriumshape. At the growth stage the important factoris the specific surface energy of each growingplane. Therefore the growth shape is dependenton the relative growth rate of constituent planeswhich in turn depends critically on the growthconditions.96-98 Figure 7 shows the variation incrystal shapes with the ratio of growth rates forthe <100> and <111> axes.99

The <111> penetration twins on both{100} and {111} faces of the CVD diamondcrystals have been identified and they havebeen shown to play a major role in determiningthe film morphology.99-100

Criterion 11Homoepitaxy of diamond is possible

whereas heteroepitaxy is difficult but notimpossible.

Several researchers have reported successfuldeposition of homoepitaxial diamond films onnatural as well as HPHT diamond substrates(e.g., 101-104).

Heteroepitaxy of diamond on c-BN has beensuccessful (e.g., 105,106) due to the identicalcrystal structures with a close lattice match (only1.3% mismatch) between the two and the highsurface energy (~ 4.8 J/m2) of the c-BN (111)plane.66,107 The heteroepitaxy of diamond onsilicon could be the key to electronic deviceapplications of diamond. However, diamond hasa large lattice mismatch with silicon (52%) and amuch higher surface energy than silicon (6 J/m2 fordiamond, 1.5 J/m2 for silicon). In spite of this, thereare several reports of oriented diamond filmdeposition on substrates like silicon, silicon carbide,etc., by various techniques (e.g., 108-112).

Exciting Application Possibilitiesfor Diamond Coatings

The crystal structure of diamond combinedwith the strong interatomic chemical bondingaccounts for most of its unique properties.Although the properties of CVD diamond areslightly inferior to those of natural single crystaldiamond (due to the presence of nondiamond

Page 345: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 345

carbon and the polycrystalline nature of the film),the advantages of the thin film formed onto anondiamond substrate, more than compensatefor the shortcomings.113,114 CVD technology hasthe potential to coat diamond onto aircraftturbine blades, microprocessor chips, surgicalinstruments, LEDs and innumerable othersubstrates. Consequently a number of potentialuses are envisaged from super computer heatsinks and heat seeking missile windows togourmet knives. A comparison of the propertiesof diamond with other competing materials andthe possible applications for CVD diamond arelisted in Table 2.115

Due to its extreme hardness, diamond haslong been used for cutting, drilling, mining andmilling everything from the hardest rock to thesoftest aluminum. The advent of HPHTsynthesis methods led to the concept ofPolycrystalline Diamond (PCD) tools, anddiamond coating synthesis methods havebrought in the concept of applying diamondcoating to the cutting edge of a hardnondiamond tool. The cutting performance of

the PCD tools and the comparatively cheaperdiamond coated carbide tools with good qualityadherent diamond films are comparable.116 Forgood adhesion between diamond film and atungsten carbide tool surface, diamond“anchoring roots” are embedded between thecarbide grains.117 Another possible application forpolycrystalline diamond coatings is decorative,scratch or wear resistant and corrosion resistantsurfaces to be used for industrial, medical, optical,chemical and other applications.118

Diamond has potential application ashuman implant coatings because it fulfills themain requisites for use in human implants: bio-compatibility and chemical stability. In vitrostudies of stimulation of human monocytes bydiamond particles have shown encouragingresults.119 Diamond coatings have beendeposited on surgically implantable substratessuch as ceramics used in dental implants,120

stainless steel, titanium and molybdenum usedfor prosthetic devices,121 etc.

There are an increasing number ofapplications that require a material with high

0.6 0.7 0.8 0.87

1.00 1.15 1.3 1.5

Fig. 7: Cubo-octahedral shapes for different values of <100> growth rate/<111> growth rate.99

Page 346: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale346

thermal conductivity as well as high strengthand minimum weight. e.g., Aerospaceapplications include heat exchangers inhypersonic vehicles operating over a widetemperature range of 20-930°C, cooling fins inspace power systems operating upto 780°C. Inelectronics, high performance multichipmodules involve power density levels difficultto cope with using conventional packagingmaterials. CVD diamond may be the materialfor such applications with its uniquecombination of properties.122 Already, use ofdiamond has successfully improved normal 2Dpackaging approaches, making possible theexciting prospect of simple, practical 3Dpackaging approaches.123,124 Recently acomposite CVD diamond-silicon heat spreaderhas been demonstrated125 to have superiorproperties at a production cost comparable tothat of the conventional materials like AlN andCuW. The highest thermal conductivitycombined with the highest elastic constant makediamond useful in surface acoustic wave devicesfor high frequency operations andtelecommunication. Diamond based SAWdevice has an edge over other materials since itcan be operated at higher frequencies whichmeans that more information can betransmitted.126 Figure 8 shows SEMs of goodquality diamond deposition on amicropatterned silicon substrate. The SEM in(c) is that of a free standing patterned diamondfilm produced by etching out the patternedsilicon substrate. The SEM is recorded from theinterface side and clearly demonstrates thefaithful reproduction of the substrate patternsby the film. Such suitably patterned diamondslabs can be useful as heat sinks inmicroelectronics applications.

Due to its transparency127 to a wide rangeof wavelengths from the infrared to theultraviolet region, as well as to x-rays, combinedwith its radiation hardness and mechanicalsturdiness, diamond is an ideal choice as awindow material for lasers, x-ray sources, etc.A systematic evaluation of properties such asIR absorption, elastic properties, mechanicalstrength and thermal properties has been carriedout to reveal that CVD diamond has significant

advantage in IR applications in adverseenvironments as compared to other candidatematerials.128-130 Figure 9 shows the FTIRtransmission spectrum of a free diamond filmobtained by HFCVD technique.131 Good qualitydiamond deposition has been possible onsubstrates like ZnS suggesting the possibilityof using diamond as a protective coating foroptical components.132 Recently a novel x-raymask using a diamond-SiC double membranehas been demonstrated.133 With the realizationof epitaxial/oriented, large area and excellentquality freestanding diamond membranes, thepossibility of commercial use of diamondwindows has come quite close to reality.

Boron doping leads to p typesemiconducting diamond, and although n typedoping has proved to be elusive, a number ofprototype electronic devices have beendemonstrated using CVD diamond, such asthermistors,134 field effect transistors,135

Schottkey diodes,136 field emission arrays137-138

etc. For example, Figure 10 shows the devicestructures and corresponding resistance versustemperature characteristics of diamond thin filmthermistors.139 Okano et al.140 have been able toachieve p as well as n type doping using theHFCVD technique with B

2O

3 and P

2O

5 as the

respective precursors. They have successfullyfabricated p-n devices, also. Because hydrogen-terminated diamond surfaces have negativeelectron affinity, they are expected to emitelectrons spontaneously. This has led to attempteddevelopment of ‘cold cathodes’ miniaturizedvacuum diodes that might have application inmicroelectronics and flat panel displays. A highconcentration of nitrogen can be achieved indiamond films using urea as the gaseousnitrogen source. Such films show very lowthreshold electron emission, which encouragesthe development of cold cathode technology.141

Diamond-Like Coatings

Introduction

The research effort directed towardsartificial synthesis of diamond under lowpressure low temperature conditions led to the

Page 347: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CV

D D

iamond, D

iamond-L

ike Carbon, and C

arbonitride Coatings

347

Table 2. The Extreme Properties of Diamond Compared toCompeting Materials and the Possible Areas of Application115

Properties of Diamond Comparison with Competitors Possible Applications

Vickers’ Hardness Friction Coefficient

12000-15000 kg/mm2 0.1 (in air)

Hardest Material Known Very Low in Air; Higher if Kept Clean in Vacuum

Tribological Tools, Wear Resistant Coatings

Young’s Modulus Sound Velocity

1.2 x 1012 N/m2 18.2 km/s

Twice that of Alumina, Highest Mechanical Strength 1.6 Times that of Alumina

Stiff Membranes for Lithography Masks, Lightweight Coatings and Diaphragms for Audio Devices

Chemical Inertness Inert Resistant to all Acids, Bases and Solvents at Room Temperature

Corrosion-Protective Coatings

Range of Transmittance Refractive Index

0.22-2.5 mm, > 6 mm 2.41

In the ir, Orders of Magnitude Higher than Other Material 1.6 Times that of Silica

Uv-Vis-IR Windows and Coatings, Microwave Windows, Optical Filters, Optical Waveguides

Band Gap Electron/Hole Mobility Dielectric Constant

5.45 eV 1900/1600 cm.Amp/s 5.5

1.1 for Si, 1.43 for Gaas, 3.0 for b-Sic 1500/600 for si, 8500/400 for Gaas 11 for Si, 12.5 for Gaas

High Power Electronics, High Freq., High Temp. Semicond. Devices, Lasers, Detectors

Thermal Conductivity

20 W/cm.K 4 Times that for Cu or Ag Insulating Heat Sinks for Electronic Devices

Thermal Expansion Coefficient

0.8 x 10-6 /K Value at Room Temperature Close to that for Sio2

Thermally Stable Substrates e.g., X-ray Lithography Masks

Luminescence 430 mm Blue Luminescence Scarce Blue leds, displays

Page 348: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale348

Fig. 8: SEMs of (a) micropatterned silicon, (b) diamond deposition along the patterns of (a),and (c) free-standing micropattrned diamond film, from the interface side.

(a)

(b)

(c)

Page 349: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 349

4000 3000 2000 1000

3 4 5 10Wave Length, μm

Tra

nsm

ittan

ce %

Wave Numbers, cm-1

Fig. 9: FTIR transmission spectrum of a free standing diamond film obtained by HFCVD.131

discovery of a new class of materials, namelythe “diamond-like” phases. Diamond-likehydrocarbon phases were first noticed bySchmellenmeier in 1953,142 but their unusualproperties and structure remained unnoticed fornearly three decades. These films possessedproperties between those of graphite anddiamond but closer to those of diamond. Theterm “diamond-like” carbon or DLC was firstcoined by Aisenberg and Chabot143 when theyproduced these carbon films by ion beamdeposition in 1971. Different models weresuggested to explain the structure of diamond-like carbon materials in the 1980’s.

In the present paper, the term DLC refers toamorphous, pure carbon coatings with diamondlike properties while DLHC indicates theamorphous diamond-like hydrocarbon form.Both types contain a significant amount ofsp3 carbon sites. There is little or no hydrogenpresent in the former type while the latter typecontains 17 to 60 atomic percent ofhydrogen.144

The atomic number density of DLHC (morethan 0.19 gram-atom per cubic centimeter) fallsbetween that of admantane and diamond. It is

greater than normal hydrocarbons with the sameatomic composition and increases with increasein the average coordination number of carbonatoms from 3 to 4 as the amount of hydrogen isincreased. DLC has atom number densityapproximately between 0.19 and 0.28 gram-atomper cubic centimeter with properties different fromthose of DLHC. Also, the properties are differentfrom those of other tetrahedrally bondedamorphous materials (for example, a-Si and a-Ge), because of the very strong bonding betweencarbon atoms and the mixture of sp3 and sp2

bonds present in the network.144

Because diamond like materials contain amixture of both sp3 and sp2 sites and hydrogen,they can therefore be considered to be anintermediate between diamond, graphite andpolymeric hydrocarbon. The mixed bondingmeans that the properties of diamond likematerials are generally inferior to those ofdiamond. However, these materials have certainadvantages that make them competitive todiamond coatings in a number of applications.These advantages are listed below.1. There are deposition techniques by which

diamond like coatings can be deposited at

Page 350: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale350

(a)

(b)

1012

1010

108

106

104

0 100 200 300 400 500

0.1 ppm (B2H6)

Temperature (°C)

Re

sist

an

ce (

Oh

ms)

1.0 ppm (B2H6)

23

700 μm

4

2700 μm

2 4 3

123123123

Substrate

Undoped Diamond

Boron Doped Diamond

Contact Metallurgy

1

2

3

4

••••••

108

107

106

105

104

103

0 100 200 300 400 500 600 700Temperature (°C)

Res

ista

nce

(Ohm

s)

Fig. 10: Device structures with (a) serpentine and (b) rectangular geometry and correspondingresistance Vs. Temperature characteristics of a diamond thin film thermistor. Theserpentine structure was doped with o.1 ppm or 1.0 ppm diborane whereas therectangular structure was doped with 0.1 ppm diborane.139

Page 351: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 351

low temperatures (< 100°C). This impliesthat it is possible to coat plastics, polymersand ferrous substrates without degradingtheir quality. This has, so far, not beenpossible by diamond deposition techniques.

2. There are deposition techniques by whichdiamond like films can be deposited overlarger areas compared to those usingdiamond film synthesis methods.

3. Diamond like coatings are basicallyamorphous and therefore smooth whereasdiamond coatings are polycrystalline andhence rough. As a result, the diamond likecoating experiences lower sliding frictionin wear resistance applications and tendsto provide better protection than a diamondcoating inspite of the comparatively lowerhardness.

4. In addition to being transparent to IR (likediamond), the refractive index of DLC canbe tailored to suit a particular application(unlike that of diamond). This givesdiamond like coatings a significant edgeover diamond in some special applications,e.g., as antireflection coatings ongermanium optics.

Deposition Methods

A variety of deposition techniques, someinvolving physical vapor deposition (i.e. a solidcarbon source and/or a hydrocarbon source gas)and others involving chemical vapor deposition(i.e. a hydrocarbon source gas), can be used fordeposition of DLC and DLHC films.145 Variousfactors such as deposition rate, film properties,film adherence, sample coverage, process controland scale up need to be considered for diamondlike films to be used for practical applications.Such factors favor sputtering, plasma depositionand ion plating techniques. Generally, thefundamental/investigative studies of the filmformation process and film structure have beencarried out using the techniques like mass selectedion beam (MSIB) and plasma deposition. Thedifferent techniques can be classified dependingupon the carbon source used for depositingdiamond like materials, and these are shownschematically in Figures 11 and 12.

Out of all the CVD and PVD techniques, RFplasma deposition from a hydrocarbon sourcegas146 is the most popular method. The schematicof the RF plasma configuration used for diamondlike film deposition is shown in Figure 13. TheRF power is capacitively coupled to the substrateelectrode, and the counter electrode is either asecond electrode or the grounded walls of thechamber. The deposition rate is highest for gasesof low ionization potentials and large molecularweights. Films deposited with acetylene appearto have the best properties, having the highesthardness and a reasonably high deposition rate.In all the plasma assisted chemical vapordeposition methods RF plasma CVD,147,148 electroncyclotron resonance plasma CVD,149 pulsed arc-discharge,150,151 etc. the small possible substratesize (few square centimeters) and high depositiontemperature (300 - 600°C) limit the choice ofsubstrates that can be coated by these methods.

The physical vapor deposition techniquessuch as direct Ion Beam Deposition,152 MSIBDeposition,18,153,154 Ion Beam Sputtering,155

Magnetron Sputtering,156 Laser PlasmaDeposition157 and Ion Assisted Deposition158

have been extensively used for diamond likefilm deposition at room temperature. In all thesetechniques, the key to formation of a diamondlike film is the bombardment of the film, duringits growth, by the energetic species (20 -500 eV) which apparently is necessary for thegraphite to diamond like transition.159

In ion beam deposition, carbon ions aregenerated either from a solid source (ionsputtering of graphite) which gives ions of theform C

m+ or from a gaseous source (ion-induced

decomposition of hydrocarbon gas) resultingin ions of the form C

mH

n+. Growth rates using a

solid carbon source are very low as comparedto those obtained using the gaseous source. Inboth the cases, the substrate also receives a largeflux of neutral species. Figure 14 shows theschematic of direct ion beam deposition usedby Aisenberg and Chabot143 for the formation ofdiamond like films from a solid carbon source.

The technique of MSIB deposition allowsdeposition of single ion species by passing theion beam through a magnetic mass analyzer for e/m selection. Figure 15 shows the general layoutof the MSIB technique used for the deposition of

Page 352: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale352

DLC. This technique was first used by Aksenovet al.160 It results in the formation of diamondlike amorphous carbon with the highestpercentage of sp3 bonded carbon (90 ± 5%),161

highest hardness,162,163 highest density (1.6 ± 0.2× 1023 atoms cm-3)163 and highest resistivity(3 × 109 Ω - cm)153,164 reported to date, as comparedwith the diamond like carbon phases obtainedfrom any of the other deposition processes.Also, MSIB DLC films retain their structure evenat temperatures as high as 800°C, while thediamond like films deposited by other techniquesgraphitize between 350 - 400°C. Martin et al.165

and Antilla et al.166 reported that when hydrogenwas added to the DLC film growth environmentduring the MSIB technique, the films becamehydrogenated. These films were more transparent,and the hardness was less compared to DLCfilms. This was consistent with the predictions

of Robertson167 and observations by Jansen etal.168 that hydrogen introduction during ionbeam sputter deposition of DLC film leads toan increase in the electrical resistivity, theoptical gap and the sp3 to sp2 ratio, but a decreasein the density. The deposition rate for thismethod can be maximized by using a carbonarc as an ion source.169 However, the mainpractical problem with this technique is the highcompressive stress in the films which limits theadhesion for films over 500 Å thick.170

Various sputtering methods are used for thedeposition of diamond like films (refer toFigure 14). It is possible to coat a substrate witha diamond like film by ion beam sputtering usingthe same ion sources that are used for (non-mass-analyzed) direct ion beam deposition.171

In ion beam sputtering, a beam of typically 1 kVargon ions is directed onto a graphite target. A

(a) RF Parallel Plate (b) RF Inductive Discharge (c) DC Glow Discharge

(d) DC Glow Discharge (e) Triode (f) Hot Filament Discharge With Biased Screen

(g) Hot Filament Discharge (h) Pulsed Discharge Rail Gun With Auxilliary Ion Beam

Fig. 11: Methods of growing diamondlike materials from hydrocarbon gases.145

HotCathode

Bias

Substrate

Ar

DC or RF

Page 353: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 353

Substrate

Ar

Ar

C

(a) Dual Beam Sputtering (b) Single Beam Sputtering

carbon Target

Substrate

CCarbonTarget

SubstrateAr

C

CarbonTarget

Laser

CarbonCathode

(c)Laser Evaporation WithAuxilliary Ion Beam

(d)DC Glow Discharge WithAccelerating Grids

ArAr

C

Substrate

BIAS

(e)Pulsed Discharge Rail Gun (f) Vacuum Arc-DischargeRuns off Cathode Material

CarbonElectrodes

Substrate

CarbonCathode

AnodeRing Substrate

BiasJet

Fig. 12: Methods of growing diamondlike materials using solid carbon source.145

Hydrocarbon Gas Inlet

10-3 Torr 600 v

13.56 MHz

Upper Electrode (Cu)

C–, H–, e–- Plasma

S S S

Lower Electrode (Cu).

ToVacuum

Pump

Fig. 13: RF Plasma deposition method.145

Page 354: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale354

second argon ion beam can be directed at thesubstrate to provide bombardment to the growingfilm and the method is known as dual ion beamsputtering.172 Ion beam sputter deposition suffersfrom low growth rates (< 0.06 mm/h, 0.006 mm/h).173,174 Higher growth rates can be achieved byusing hydrocarbon gas,173 but this leads todeposition of DLHC films. Magnetron sputteringyields higher growth rates as compared to ion beamsputtering.175 The advantages of sputtering aregood process control and ease of scale-up to largerapparatus.

Laser ablation of a graphite target (refer toFigure 14) can produce carbon ion plasma.176

The resulting films are diamond like if the laserpower exceeds a certain threshold. The diamondlike film is found to consist of a nano-scalemixture of diamond grains embedded in anamorphous carbon matrix. It has the advantageof high hardness yet moderate internal stress.Cuomo et al.177 compared the ion beam sputtered

diamond like film and laser ablated diamondlike film and found that in the material depositedat the same temperature, the sp3 fraction can behigher for laser ablation than for ion sputtering.This is partly attributed to the difference in themean energy of the atoms or ions beingdeposited in these two processes. In ion beamsputtering the energy is of the order of 5 eV178

while for laser ablation it is significantly higher,10-20 eV. However, there is a disadvantage inthe laser ablation technique which is thecomparatively smaller coating area.

In ion assisted deposition, there are twomethods: one is decomposition of hydrocarbonoil using energetic ions158,179 and the other isevaporation of graphite with concurrentbombardment of the growing film withenergetic ions.180-181 With these methods,diamond like films with reasonably goodproperties can be deposited over large areas (1m2) at low temperatures (< 140°C).

6" Pyrex Glass

Water4" Glass Tube

D e p o s i t i o nChamber

Slide orSilicon Chip

ExternalMagnetic Field

Water

Teflon Tube

Teflon Sleeve

Teflon Sleeve

WaterChannel

Carbon

Carbon

Copper

Argon

Water

Water

Vacuum Port

Fig. 14: Direct Ion beam depostion method.143

Page 355: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 355

Critical Growth Parameters

The fundamental processes involved in thenucleation of diamond like films during the ionbeam deposition processes, the critical role of theimpinging ion energy and the differences in thebasic mechanisms of depositing carbon ions versusthe use of argon ions (in the case of ion assisteddeposition) are still not well understood. In thefollowing sections some of the establishedconcepts are reviewed and discussed.

Type of SubstrateBecause the ion-assisted processes enable

deposition at temperatures less than 100°C,deposition of diamond like coatings has beenattempted on a wide range of substrates, e.g.,stainless steel,182 Copper,183 ceramics,184 opticalmaterials (plastics, polymers andpolycarbonates),185,186 glasses,187 quartz,188

sapphire,189 infrared-transmitting opticalmaterials190 such as germanium, zinc sulfide andzinc selenide, and a variety of electronic gradematerials. Although thin films can be produced

on most of the substrates, the adhesion of thecoating to the substrate and the tribologicalproperties of the coating vary widely. Generally,sputtering of the substrate prior to depositionhas been employed to improve adhesion. Inaddition, thin interlayers also have beenemployed for the same purpose. An intermediatesilicon layer has been used to improve the adhesionof diamond like films on metals (such as Co, Crand their alloys) that are used for magneticrecording media.191 In the case of ZnS, a thininterlayer of Ge or Si has been used for the samepurpose.189 The adhesion of diamond like filmson a stainless steel substrate has also been improvedby plasma nitriding of the substrate prior todeposition,192 preliminary hardening of thesubstrate with ion implantation and additionalthermal treatment, or by etching of the coatingsurface with ions of reactive gas.193

Deposition PressureThe pressure during deposition decides the

diamond like film composition and therefore itsproperties. The film growth rate is also critically

A

M

T

I

Fig. 15: General layout of MSIB technique (I-ion source, M-analysis magnet, A-acceleration ordeceleration stage and T-target chamber).162

Page 356: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale356

dependent on the pressure (10-2-10-9 Torr).Direct ion beam based techniques employpressures in the submillitorr region. Techniqueslike ion plating and plasma deposition utilizepressures in the millitorr region and in thesecases, it is possible to obtain films at high growthrates. However, the films deposited by thesetechniques have poorer optical and electronicproperties than those produced by ion beamprocesses.

Ion Energy ConsiderationsThe single most widely studied process

parameter in deposition of diamond like filmsby ion beam based techniques is the energy ofthe incident energetic particles. Severalresearchers have shown that many of the importantfilm properties can be directly controlled bymanipulation of the particle energy.

In direct ion beam deposition processes,ion energy in the range of a few tens of eV to afew tens of keV is used for diamond like filmdeposition. Careful control of ion energy inhigh-vacuum systems employing mass filteringof carbon ions (as in MSIB) has been shown toproduce crystalline diamond materials up toseveral micrometers in size.194

Growth Mechanisms

Ion beam based PVD processes have theadvantage of the production of metastablespecies by the condensation of energeticparticles. The energy contained in thecondensing particle is employed inpreferentially rearranging the bonding structureon the material surface. The fundamentalchemistry and physics occurring on the surfaceis still not well understood. Some theories havebeen proposed, most of which have addressedspecifically the MSIB technique.

It was suggested by Spencer et al. in 1976195

that the diamond like films are formed due topreferential sputtering of sp2 sites compared tosp3 sites. Later in 1990, Lifshitz et al.196 observedthat this was unlikely due to the low sputteringyield of carbon and suggested the ‘subplantationmodel’. This model proposes that film growth fromhyperthermal species occurs via the

‘subplantation’ or shallow implantationmechanism which is characterized by threestages. In the first stage the hyperthermal speciestransfer their energy to the target atoms withincorporation or diffusion of these species asinterstitials in the subsurface layer. In the secondstage, the excess energy of the atoms excited inthe first stage is expended within the target andthe atoms reach thermal equilibrium with thesurrounding atoms. This stage is followed by thethird stage of long term relaxation which consistsof processes such as diffusion of vacancies andinterstitials, phase transformations and chemicalreactions, ultimately determining the finalstructure of the material. The final structure ofthe film is dependent upona. The ‘mold’ effect of the substrate matrix

which determines the possible siteoccupancies of the penetrating species andplaces constraints on initial evolution ofthe new phase,

b. Preferential displacement of atoms withlow displacement energy, and

c. Diffusion rates of vacancies andinterstitials created in the depositionprocess.The subplantation growth of diamond like

material takes place as follows. The penetrationof carbon into subsurface layers of the substratematrix leads initially to the formation of acarbide layer. With increase in the carbonfluence, there is further increase in the localcarbon concentration in the subsurface layers,resulting in a 2D graphitic layer which finallybecomes 3D bulk carbon while the substrateatoms are removed due to sputtering and/or ion-mixing. The structure of the film is graphitic orsp3 diamond like depending on the incident ionenergy. This is followed by the growth of a purecarbon layer on the substrate surface.

Lifshitz et al.196 also studied the effect ofcarbon ion energy and substrate temperatureon diamond like film deposition. They observedthat there exists an optimal energy range withinwhich it is possible to obtain good qualitydiamond like films and that the film qualitydeteriorates with increasing substrate temperature.

It was argued that at low carbon ionenergies (but high enough to trap carbon in thesubsurface layers), there is no target atom

Page 357: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 357

displacement, and the carbon atoms are trappedas interstitials. This induces a high stress, andthe ions lose their energy via thermal spikeswhich provide a highly excited environment.This, combined with the ‘mold’ effect of thesubstrate matrix, favors the formation ofmetastable phases at low ion energies. Atmedium ion energy the displacement energy ofthe diamond phase is very low as compared tothat for the graphitic phase. Therefore, apart fromthe mold effect and the thermal spikephenomenon, preferential displacement of sp2

bonded carbon as compared to that of the sp3

phase contribute to the sp3 rich diamond likefilm formation. At higher ion energies thedamage is high for all constituents i.e.,displacement energies for both diamond andgraphitic constituents are high. This ion-induced damage overrides all the other effectsresulting in an amorphous material of spn (n =1,2,3) hybridization. However, the optimizedion energy range has been recently reported tobe 30 eV - 10 keV for DLC deposition byhyperthermal carbon species (MSIB).197

As far as the effect of substrate temperaturewas concerned, it was suggested that attemperatures lower than 70°C, impingement oflow energy carbon ions creates immobile carboninterstitials and therefore the sp3 rich phase isformed. With increasing temperature themobility increases and the atoms diffuse to thesurface releasing the internal stress to form thethermodynamically stable sp2 phase.

In this model, it has been assumed that theincident ions cause a preferential displacementof the graphitic carbon and increase thepossibility of sp3 diamond-like bond formationin the optimal ion energy range. This assumptionis based on the values of the displacementthreshold of graphite and diamond as 30 eV and80 eV respectively. Robertson159 observed that thevalues are actually much closer to each other,namely, in the range of 25-42 eV for graphite198

and in the range of 37-45 eV for diamond.199-200

He, therefore, proposed that sp3 bonding ispromoted by the ion flux causing a quenched-in density increase. A local high density resultswhen an incident ion penetrates the subsurfacelayer of the substrate and sits in an interstitialposition. At low ion energies the ion does not

penetrate the substrate sufficiently and juststicks to the surface forming an sp2 rich phase.At higher energies penetrating ions increase thelocalized density, but their kinetic energy isdissipated in a thermal spike resulting inrelaxation of the excess density. The greater theenergy, the greater is the probability of relaxation.Therefore, the optimum ion energy is determinedby a balance between a sufficient penetrative yieldand a small relaxation of the density increment.However, there are some discrepancies betweenthis model and the experimental data. On the otherhand, it has been shown recently that particlebombardment of carbon phases leads to moreatomic displacements in graphitic structures thanin sp3 bonded structures.201 This indicates thatthe displacement thresholds are significantlydifferent for graphite and diamond phases, sothat the preferential displacement of graphiteassumed by Lifshitz et al. may be a validargument.

Characterization of DLC

DLC films have been characterized by avariety of methods. To detect and quantify thecarbon-carbon bonding in DLC, electron spinresonance,202 electron diffraction,203 Ramanspectroscopy,204-206 optical spectroscopy,207

infrared spectroscopy,208,209 photoelectronspectroscopy,210,211 electron energy lossspectroscopy,212,213 nuclear magneticresonance,214,215 etc. have been used. Optical andIR spectroscopies give the transmittance, bandgap and refractive index. Raman spectroscopyyields structural information which can also beobtained from electron spin resonance andelectron diffraction techniques. Nuclear magneticresonance is used for precise determination of thesp3 to sp2 bonding proportion in the film. Thehydrogen concentration is measured by elasticrecoil detection analysis.215 XPS and EELS givecompositional information of these films. In allthese techniques, measurements are sensitiveto changes in the film structure and properties.However, in very few can the measurements besubsequently interpreted simply in terms of theactual concentration of sp3 and sp2 hybridizedcarbon atoms. All the characterization studies

Page 358: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale358

Inte

nsity

(a.

u.)

Raw DataLoretzian Fit

Deconvoluted Peaks

"G" Peak (1530 cm-1)

"D" Peak (1340 cm-1)

Raman Shift (cm-1)

1000 1200 1400 1600 1800

Fig. 16: Raman spectrum of DLC film deposited by IBAD technique.

indicate that the chemical structure and physicalproperties of the films are quite variable,depending on the deposition techniques andfilm growth conditions.

Extensive study has been carried out onthe tribological properties of DLC. Differenttypes of tribo-testers such as ball-on-disk216 andpin-on-disk217,218 have been used at low slidingspeed or reciprocating conditions to find thefriction coefficient as well as the wear rate ofthe coating.

Figure 16 shows the Raman spectrum of aDLC film deposited by the IBAD technique.The Raman spectra for diamond like materialsprovide information on the sp2 bonding. Thecharacteristic features of Raman spectra ofdiamond like materials consist of a graphite-like(G) peak and a disorder (D) peak in theregions 1500-1550 cm-1 and 1330-1380 cm-1

respectively. The relative intensities of the G andD peaks can be used to indicate qualitativelythe concentration of graphite crystallites of

Page 359: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 359

varying sizes in relation to the host disorderedamorphous network. Typically, the Ramanspectrum of an excellent quality amorphous DLCconsists of a broad band centered around 1500-1550 cm-1 representing a highly disorderednetwork of carbon-carbon bonds.197,204

Structure of Diamond-Like Films

Due to the nearly infinite bondingpossibilities for the sp2 and sp3 sites, an enormousvariety of 3D carbon networks can be imaginedfor DLC. Mertz et al.219 have described severalcontinuous networks solely of tetrahedrally andtrigonally bonded carbon that have yet to beobserved experimentally.

Experimentally the structure of DLC(produced by the MSIB technique) has beeninvestigated by electron diffraction and byneutron scattering.220 These films have highhardness, density and electrical resistivity, closeto those of diamond. This material exhibitsamorphous nature similar to the so-calledamorphous diamond, a form which was thoughtunlikely to exist because of bonding constraintsimposed on the carbon network. However,studies show that these films are structurallyequivalent to those of a-Si and a-Ge indicatinga high percentage of tetrahedral bonding. Wanget al.221 simulated an amorphous carbon networkfor such DLC films with 80% sp3 and 20% sp2

bonded carbon.Beeman et al.205 proposed a random

covalent network of sp2 and sp3 bonded atomsin which the bond angles and bond lengths aredistorted from their equilibrium conditions.

Robertson et al.222 and Tamor et al.223

suggested a defect graphite model whereindiamond like material is considered as a mixtureof conductive islands of sp2 graphite embeddedin an insulating sp3 matrix. Tetrahedrallycoordinated carbon atoms (sp3) enable 3D cross-linking, making these films extraordinarilyhard. At the same time, graphite clusterscomposed of three fold coordinated sp2 hybridsare responsible for the electrical and opticalproperties. This model seems to accommodateall of the properties reported for diamond likematerial grown from largely neutral atoms and

from ions arriving with low kinetic energies.However, it is not able to explain the structuresformed from fully ionized plasmas and from ionbeams.

In some models, hydrogen is included as anetwork terminator i.e., it passivates thedangling bonds within the films. It also has theeffect of substituting for carbon-carbon bondsleading to reduction in 3D rigidity of thestructure. This random structure consists mostlyof carbon atoms that are bonded to one anotherwith either sp3 or sp2 coordination. It is definedby Yoshikawa et al.224 as a random covalentnetwork of aromatic regions linked by polymericnetworks. Angus and Hayman225 reason that inDLHC films with low hydrogen concentration,medium range order due to formation of sp2

bonded microcrystals relieves the compressivestress caused by a constrained random distributionof covalent sp2 and sp3 bonds. On the other hand,Collins et al.226 proposed a distribution of sp3

bonded microcrystals linked by sp2 and sp3

bonded atoms to explain the high density ofthe samples prepared by laser ablation. In allthese arguments, the role of hydrogen on thestructure has not been clearly explained.

Properties and PotentialApplications of Diamond LikeFilms

Table 3 lists the properties of diamond likematerials prepared by different techniques incomparison with diamond and graphite.167

The excellent properties of the diamondlike materials combined with the low depositiontemperature and high deposition rate, makethese coatings very promising materials for thefuture. They have many potential applicationsas protective coatings for industrial products.227

Of course the properties of diamond like filmsnever match those of diamond. The films areintrinsically smooth and amorphous, and canbe used in coating applications where a plane,mechanically hard and wear/corrosion resistantsurface is desirable.

By changing the deposition conditions andhydrogen content it is possible to progress froma coating that is fully compatible with the soft

Page 360: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale360

Density(g/cc)

Hardness(GPa)

Sp3 % H at. % Band Gap (eV)

Diamond 3.5 100 100 0 5.5

PolycrystallineDiamond 3.5 100 100 0 5.5

Graphite 2.267 - 0 0 - 0.04

Glassy Carbon 1.3 - 1.55 2 - 3 0 - 0.01

Evap. Carbon 1.8 - 2.0 2 - 5 < 5 - 0.4 - 0.7

PECVD DLC/DLHC 1.9 - 2.2 15 -30 - - 1.1 - 3.0

MSIB DLC 2.5 - 3.0 100 90 ± 5 < 9 1.5 - 2.0

Laser DLC/DLHC - 40 60 - 0.5 - 3.0

Hard DLHC 1.6 - 2.2 10 - 40 30 - 60 20 - 40 0.8 - 1.5

Soft DLHC 0.9 - 1.6 < 5 50 - 80 40 - 65 1.5 - 4

Polythene 0.92 0.01 100 67 6

Table 3. Properties of Various Diamond Like Materials Prepared by DifferentDeposition Techniques167

polymeric substrate to a hard diamond likeexterior surface.

Values of microhardness range from40-100 GPa for DLC coatings and from5-40 GPa for DLHC coatings depending uponhydrogen percentage. These hardness valuescompare favorably with diamond and siliconcarbide225 respectively. It is observed thathardness and wear resistance of a DLHC filmdecrease as hydrogen content increases168,228 i.e.,as the proportion of sp3 bonded C-H increases.It is speculated that the large decrease inhardness and wear resistance with an increasein the percentage of hydrogen is most likelycaused by the development of regions of softpolymeric material. When these soft regionspercolate through the entire structure, thehardness reduces greatly and the films areunable to support the large internal compressivestress.229

DLC films are much harder than DLHCfilms,230 especially films prepared by MSIBtechniques. Because hydrogen is not present, itcan not be responsible for stabilization of the

sp3 sites. Stress relief in DLC films with high sp3

to sp2 ratio is achieved possibly by thedevelopment of medium-range or long-rangeorder, such as clustering or formation ofcrystalline regions.225

Diamond like films exhibit fairly good wearresistance,231,232 abrasive resistance,233 and slidingcoefficient of friction.218,233 These properties helpit find applications in the bearing industry and inthose machine components where relative slidingis involved. Bearings coated with these filmswill be silent in operation due to less friction.This also means that the life of the bearing canbe increased, thereby reducing the running costof the machine.

Diamond like films are amorphous, smoothand lightweight. The ability to produce verythin (2-6 nm) and smooth films together withlow atomic number makes these films an idealmaterial for use as protective coatings on x-raymirrors.234 Also, these films are scratch/chemicalresistant and block ultraviolet radiation. Theseproperties taken together can revolutionize thespectacle and goggle industry. These properties

Page 361: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 361

also render diamond like films a promisingwatchglass coating. Improvement in scratchresistance by a factor of 6 has been obtained forplastic lenses coated with these films. Figure 17shows the optical micrographs of an uncoatedand a 30 nm thick DLC coated, float glasssamples subjected to identical ball-on-disk weartests. The uncoated sample shows a fairlyprominent scratch whereas the DLC coatedsample shows a very faint wear track. Figure 18shows percent haze vs. number of test cycles forTaber tested uncoated polycarbonate and DLC-coated polycarbonate substrates. The ratio ofhaze of uncoated polycarbonate to haze of DLCcoated polycarbonate is presented for two datapoints.187 Figure 19 shows the number of scratchdefects vs. number of grocery items scanned foruncoated float glass window, Diamonex DLC-1 and Diamonex DLC-2 coated float glasswindows. The estimated average lifetimes foreach window are also presented.187

The properties of these films like goodsurface hardness, excellent wear resistance,chemical inertness and environment durabilitycan be put to good use in the automobilesindustry.235 This is also a good candidate materialfor the hermetic coating on optical fibres.236 Thedevelopment of diamond like coating specificallyfor automotive polymers seems to offer greatpromise and could increase the use of low costpolymer material. When plastic is substituted forheavier material, the vehicle weight is reducedand this translates directly into energy savingthrough reduced fuel consumption.

These films can be used as a protectiveovercoat to increase the life of many otherpotentially useful coatings in differentapplications, for example, the soft Indium-TinOxide film used in photovoltaic applications,237

soft YBaCu2O

3 superconducting thin films,238

etc. provided the diamond like overcoat doesnot alter or influence the basic properties of theunderlying soft coating.

The smooth and wear resistant DLCcoatings have been shown to provide excellentprotection to discs for use as magnetic recordingmedia without affecting the inherent propertiesof the magnetic material. The DLC coating onthe recording head can prevent wear of themagnetic layer and subsequent data loss.239

Diamond like films have excellenttransmission in the infrared region,240 therebymaking them a promising candidate for protectivecoatings for IR windows. Figure 20 shows IRtransmission of DLC-coated ZnSe. The DLCcoating thickness was approximately 1 μm.187

DLHC films with precise control overrefractive index and thickness also have beendeposited on silicon solar cells as antireflectioncoatings resulting in an increase in cellefficiency by 40% and on germanium windowsas transparent protective coatings.225

It is observed that diamond like films adherestrongly to quartz, glass and to substrates such ashigh molecular weight polyethylene (HMPE).These films are smooth with low coefficient offriction, non toxic241 and biocompatible.242 In onestudy,243 in vitro studies were carried out usingthree cell culture lines. These were macrophagesthat ingest debris and foreign material as part ofimmune response; fibroblasts that produce thematerial of connective tissues in the body andosteoblasts that are bone forming cells. Thesetissues were grown on DLC coated and uncoated(control) discs. Lactate dehydrogenase (LDH),a material released during cell death was usedas a measure of cell viability. Figure 21 indicatesLDH release froma. Macrophages,b. Fibroblasts, andc. Oestoblasts like cells.

These figures show no difference in LDHrelease between the DLC and control specimenindicating a good degree of bio-compatibilityof DLC coating. In view of the combination ofbio-compatibility and wear resistance exhibitedby the DLC coatings, they can be used asprotective and smooth coatings on contactlenses for opthalmological application244 andon artificial heart valves to reduce friction.245

Also, polymer substrates coated with these filmscan be used as joints in artificial limbs.246

The high film hardness and high chemicalinertness makes DLC films more suitable aspassivation layers for sensor devices as comparedto other materials such as Si

3N

4 and SiO

2.247

DLC films can also be used as dielectriclayers for thermal management systems. In thiscase, the DLC film is coated on copper insertsused as heat transfer devices in multichip

Page 362: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale362

Fig. 17: Optical micrographs of Ball-on-Disk wear tested (a) uncoated float glass and (b) 30 nmDLC coated float glass.

(b)

(a)

Page 363: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 363

Has

e%

UncoatedPolycarbonate

35

25

15

5

0 100 200 300 400 500

Number of Cycles

72 x

100 x

UnacceptableVisible Haze (3%)

DLC - CoatedPolycarbonate

Fig. 18: Percent haze vs. number of test cycles for Taber tested uncoated polycarbonate andDLC-coated polycarbonate substrates.187

modules. The DLC layer provides electricalinsulation as well as protection from abrasionto the soft copper metal.187

There are various applications of DLC inthe electronics industry too. For example, DLCcoating has been demonstrated to lead to anincrease in the electron emission ofmolybdenum emitters.248

Carbonitride Coatings

Introduction

In 1989, Liu and Cohen performedtheoretical calculations to investigate thestructural and physical properties of β-C

3N

4,

a metastable binary phase of carbon andnitrogen.249 It was predicted that this materialpossesses low compressibility with bulk

modulus and hardness exceeding that ofdiamond. This phase is structurally similar toβ-Si

3N

4 with Si replaced by C, but unlike the

ionically bonded β-Si3N

4, it is a covalently

bonded crystalline material.250 These resultssuggested that β-C

3N

4 could be a good protective

coating for technological applications.

Synthesis Techniques

Because of the theoretical predictions muchinterest has been generated in the deposition ofC-N films in the hope of realizing new phases.Synthesis has been attempted by varioustechniques, such as reactive sputtering,251 laserablation of graphite in nitrogen ambient,252

chemical vapor deposition,253 ion beam assisteddeposition,254 dual ion beam sputtering,255 highdose nitrogen ion implantation into carbon thin

Page 364: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale364

Failure Due to Reduced Read Effeciency

UncoatedFloatGlass

1 – 4 Weeks

Num

ber

of D

efec

ts (

a. u

.)

0 1 × 105 2 × 105

Number of Scans

Diamonex DLC - 1 (×

20)

3.7 years

Diamonex DLC - 2 (×20) 9.2 years

Fig. 19: Number of scratch defects vs. number of grocery items scanned for uncoated float glasswindow, Diamonex DLC-1 and Diamonex DLC-2 coated float glass windows and thecorresponding estimated average lifetimes.187

Fig. 20: IR transmission of DLC-coated ZnSe. The approximate transmission of uncoated ZnSe(70%) is also pesented for reference.187

100

70

0

Wavelength (μm)

Tra

nsm

ittan

ce (

%)

3 5 7 9 11 13 15

Page 365: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 365

film,256 ionized cluster beam deposition,257

ionized magnetron sputtering,258 nitrogen ionbeam bombardment of C

60 films,259 etc. However,

with all these techniques only amorphouscarbonitride films, termed CN

x films, with N/C

ratios between 0.3 and 0.5 (as compared to 1.33required for the β-C

3N

4 stoichiometry) could be

obtained. In some of these films small crystalliteswith dimensions ~ 0.5 μm embedded in anamorphous matrix have been found.246,251,260

Nitrogen ion implantation of the carbonitridefilms was also carried out to further increase thenitrogen percentage in the film to obtain thedesired stoichiometry of β-C

3N

4. For carbonitride

films deposited by cathodic arc,261 no evidence

of the β-C3N

4 crystal structure was found and

the films were primarily sp2 bonded. On theother hand, for carbonitride films deposited byIBAD,262 nitrogen ion implantation led to anincrease in nitrogen percentage in the films andcrystalline β-C

3N

4 with crystallite size of about

30 nm could be detected.High pressure and high temperature

methods have also been tried for synthesizingthe predicted superhard crystalline phases ofcarbonitride. Wixom et al.263 used shockcompression of nitrogen containing materialbut could obtain only diamond. Carbonitridefilms prepared by pyrolysis of several organiccompounds with high nitrogen content in a

1234567812345678

1234567812345678123456781234567812345678

12345678123456781234567812345678123456781234567812345678123456781234567812345678

123456123456

80

60

40

20

0 24 48 72

Time (hours)

DLC/a-Si:H Coated DiscsControl (Uncoated Glass) Discs

LDH

Lev

el

1234567812345678123456781234567812345678123456781234567812345678123456781234567812345678

12345678123456781234567812345678123456781234567812345678123456781234567812345678123456781234567812345678

123456781234567812345678123456781234567812345678123456781234567812345678123456781234567812345678

123456123456

80

60

40

20

0 24 48 72

Time (hours)

LDH

Lev

el

DLC/a-Si:H Coated DiscsControl (Uncoated Glass) Discs

1234567812345678123456781234567812345678

12345678123456781234567812345678123456781234567812345678

123456781234567812345678123456781234567812345678123456781234567812345678

12345612345612345680

60

40

20

0 24 48 72

Time (hours)

DLC/a-Si:H Coated DiscsControl (Uncoated Glass) Discs

LDH

Lev

el

(a) (b)

(c)

Fig. 21: LDH (Lactate dehydrogenase, an enzyme released at cell death is used as a measureof cell viability) release from human cells which indicates that there is no significantdifference between the DLC and control samples.243

Page 366: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale366

medium pressure closed vessel by Maya et al.253

were amorphous without any evidence for theexistence of sp3 carbon and C-N bond formation.

However, in recent times, there have beenseveral reports on synthesis of the crystallineform of carbonitride. Zhang et al.264 synthesizedcrystalline carbonitride films with large crystallinegrains up to ~10 μm (from SEM and XRD spectra)in size by RF plasma assisted hot filament chemicalvapor deposition. Li. et al.265 claimed to havesynthesized polycrystalline C

3N

4 films (from XRD

data) at ambient temperature by RF diodesputtering. From Auger electron spectroscopy, theN/C ratio was computed to be 1.33 as required forC

3N

4 stoichiometry.However, in some reports, electron

diffraction patterns of the carbonitride films thatwere indexed as β-C

3N

4 structure251,264,265 were

later observed to fit to other carbon phases. Itwas also shown that the published diffraction

data can be re-indexed as α-C3N

4,266,267 the phase

of carbonitride which was found to be morestable than β-C

3N

4. In a recent report by Chen

et al.,268 α and β phases of pure crystalline C3N

4 in

films deposited on nickel by bias assisted hotfilament chemical vapor deposition have beenconfirmed by XRD and TEM measurements.However, none of the above-mentioned reportsrefer to the hardness and other tribological andrelated properties of these phases.

Figure 22 shows RBS spectra of amorphous(Raman spectra similar to amorphous diamondlike films) carbonitride films deposited atdifferent temperatures using IBAD.269 Using thistechnique, maximum nitrogen incorporationwas 33% as compared to 57% required for β-C

3N

4 stoichiometry. The XPS spectra (C 1s and

N 1s) of the film with 33% nitrogen are shownin Figure 23. From these spectra the percentage ofsingle bonded carbon and nitrogen is obtained

6000

4000

2000

0

Si 60°He+ 20 MeV

C√4

N.26

Si

CNBac

ksca

tter

ing

Yie

ld

Channel

4000

2000

0

C.67 N.33

Si

Bac

ksca

tter

ing

Yie

ld

Channel

4000

2000

0

C.71

N.29

Si

Bac

ksca

tter

ing

Yie

ld

Channel

4000

2000

0

C.71

N.29 Si

0 100 200 300Channel

Bac

ksca

tter

ing

Yie

ld

Fig. 22: RBS spectra of carbonitride films deposited, at different temperatures, using IBADtechnique.

0 100 200 300 0 100 200 300

0 100 200 300

(a) (b)

(c) (d)

Page 367: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 367

282 284 286 288 290 292

Binding Energy (eV)

Inte

nsity

(a.

u.)

C 1s

396.4 398.4 400.4 402.4 404.4

Binding Energy (eV)

Inte

nsity

(a.

u.)

N 1s

Fig. 23: C 1s and N 1s spectra of a carbonitride film with 33% nitrogen.

Page 368: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale368

to be of the order of 40% indicating the presenceof sp2 and sp bonded carbon and nitrogen in thefilm.

Carbonitride Phases andPotential Applications

To date, amorphous carbonitride thin films(CN

x) containing up to ~50 % nitrogen have

been reported, but these are not pure phasesand contain C ≡ N impurity which increaseswith increasing nitrogen percentage.Nevertheless, these films have been found to beextremely resistant to plastic deformation withvery high elastic recoveries. Also, theseamorphous CN

x films have hardness

significantly greater than the amorphous hardcarbon films (~5 -15 GPa) generally used asprotective overcoats for computer hard discsurfaces. It was shown that the CN

x coatings

achieve wear rates 3-4 times lower than those ofamorphous hard carbon films tested under similarconditions, suggesting that CN

x can potentially

be a replacement overcoat material for next-generation computer disc surfaces.270-271

Another amorphous phase of carbonitride,C

2N phase with sp2 bonding, was shown to be a

stable phase272 which exhibits high electricalresistivity and thermal conductivity similar tothat of diamond-like films. The diamond-likeproperties and non-diamond-like bonding makeC

2N an attractive candidate for applications

such as thermal management in high-performance microelectronics.

Various other forms of carbonitride withhigh hardness have been suggested, such asfullerene-like carbonitride273 and crystallinecarbonitride composite.274

Teter and Hamley predicted severaladditional prototype structures by consideringchemical systems with β-C

3N

4 type of bonding

topology and by locating dense structures inthe system.267 These are graphite-C

3N

4 and

α-C3N

4 which are energetically favored relative

to β-C3N

4 and a cubic form of C

3N

4 that may

have a zero-pressure bulk modulus exceedingthat of diamond and which may be metastableat zero pressure.

As mentioned earlier, the structure of β-C

3N

4 was predicted to be similar to that of β-

Si3N

4 with carbon replacing the silicon in a

complex network of CN4 tetrahedra linked at

the corners. This structure would be carbon fourcoordinated with nitrogen, and nitrogen threecoordinated with carbon.252 The stable form ofcarbonitride, α-C

3N

4, is predicted to possess a

non-planar structure with the nitrogenincorporated as spheroidal cavities into atetrahedral lattice. The structure of α-C

3N

4 has

been described as an ABAB... stacking sequenceof layers of β-C

3N

4 and its mirror image.271

A recent report275 claims to have discoveredthe β-C

3N

4 phase as predicted by Liu and Cohen,

with hardness equal to that of diamond. It reportsnanoindentation tests on these coatingsindicating a high elastic recovery and hardness.The same authors in their review276 of carbonnitride films prepared by different techniquesconclude that there is not enough evidence thatβ-C

3N

4 can be produced as large crystals. On

the other hand, in another recent paper,277 x-raypowder diffraction patterns and structure factorsof hypothetical crystalline C

3N

4 have been

recalculated. The authors have observeddiscrepancy between the calculated diffractionintensities and the experimental ones for thesynthesized compounds. The computationalmethod used here was also applied to Si

3N

4 and

the corresponding calculated XRD patternsmatched the experimental ones taken from thejoint committee on powder diffraction standardscards, indicating the reliability of thecomputational pragramme. This implies that,in so far as phase identification is concerned,none of the claims regarding successfulsynthesis of crystalline C

3N

4, α-C

3N

4 and defect

zincblende C3N

4 are convincing.

Thus, current research appears to be a longway from the carbonitride being synthesized inits predicted crystalline forms. However, ifcrystalline C

3N

4 is synthesized, it would have

several potential applications similar to diamondand diamond-like carbon, due to its high hardness,elasticity and thermal conductivity. Because ofthe promising application possibilities, the searchcontinues for crystalline C

3N

4.

Page 369: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 369

Acknowledgments

The authors will like to thankS.M. Kanetkar (now at DGP Hinoday, Pune),Rajiv Joshi (IBM, Yorktown heights), R. P.Sharma (Maryland) and Atul Kulkarni (Multiarc,Pune) for their contributions to certain phasesof the work carried out at the Department ofPhysics, University of Pune.

References

1. C.M. Welbourn, The Fourth InternationalConference on the New Diamond Scienceand Technology Abstracts, 1994, pp.75-86.

2. M. O’Donoghue, Gemstones, Chapmanand Hall, U.K., 1988, pp.176-182.

3. F.P. Bundy, Journal of GeophysicsResearch, Vol.85, 1980, pp.6930-6935.

4. R.C. DeVries, Annual Review MaterialsScience, Vol.17, 1987, pp.161-187.

5. B.V. Derjaguin and D.B. Fedoseev, ScienceAmercian, Vol.233, 1975, pp.102-104.

6. J.C. Angus, H.A. Will, and W.S. Stanko,Journal of Applied Physics, Vol.39, 1968,pp.2915-2922.

7. S.P. Chauhan, J.C. Angus, and N.C. Gardner,Journal of Applied Physics, Vol.47, 1976,pp.4746-4754.

8. K.E. Spear, Journal of Amercian CeramicSociety, Vol.72, 1989, pp.171-191.

9. B. Lux and R. Haubner, RM and HM, 1989,pp.158-163.

10. P.K. Bachmann and H. Lydtin, Diamondand Diamond-Like Films and Coatings,R.E. Clausing, L.L. Horton, J.C. Angus, andP.Koidl, eds., Plenum, New York, 1991,pp.829-836.

11. T.E. Derry and J.P.F. Sellschop, NuclearInstruments Methods, Vol.91, 1981, pp.23-27.

12. R.S. Nelson, J.A. Hudson, D.J. Mazey,and R. Piller, Proceedings of Royal Societyof London, Vol.A386, 1983, pp.211-224.

13. S.T. Lee, W.M. Lau, L.J. Huang, Z. Ren, andF. Qin, Diamond and Related Materials,Vol.4, 1995, pp.1353-1358.

14. S.T. Lee, S. Chen, G. Braunstein, X. Feng, I.

Bello, and W.M. Lau, Applied PhysicsLetters, Vol.59, 1991, pp.785-787.

15. J.F. Prins, Thin Solid Films, Vol.212, 1992,pp.11-19.

16. J.F. Prins, Diamond and Related Materials,Vol.2, 1993, pp.646-655.

17. E.G. Spencer, P.H. Schmidt, D.C. Joy, andF.J. Sansalone, Applied Physics Letters,Vol.29, 1976, pp.118-120.

18. T. Miyazawa, S. Misawa, S. Yoshida, and S.Gonda, Journal of Applied Physics, Vol.55,1984, pp.188-193.

19. A. Antilla, J. Koskinen, M. Bister, andJ. Hirvonen, Thin Solid Films, Vol.136,1986, pp.129-134.

20. J.L. Robertson, S.C. Moss, Y. Lifshitz,S.R. Kasi, J.W. Rabalais, G.D. Lempert, andE. Rapoport, Science, Vol.243, 1989,pp.1047-1050.

21. M. Kitabatake and K. Wasa, Journal ofApplied Physics, Vol.58, 1985, pp.1693-1695.

22. M. Basu, A.B.M. Maity, S.N. Kundu,S. Chaudhari, and A.K. Pal, Proceedings ofNational Conference on Thin FilmCharacterization and Applications,Sa.K. Narayandass and D. Mangalraj, eds.,Allied Publishers Limited, 1996, pp.301-315.

23. D.V. Fedoseev, B.V. Derjaguin, andR. Roy, Proceedings of SecondInternational Conference New DiamondScience and Technology, R. Messier,J.T.Glass, J.E. Butler, and R. Roy, eds., MRS,1991, pp.439-442.

24. S.B. Ogale, A.P. Malshe, S.M. Kanetkar, andS.T. Kshirsagar, Solid StateCommunication, Vol.84, 1992, pp.371-376.

25. N. Kikuchi, Y. Ohsawa, and I. Suzuki,Diamond and Related Materials, Vol.2,1993, pp.190-196.

26. R. Roy, Fourth International Conferenceon the New Diamond Science andTechnology Abstracts, 1994, pp.51-52.

27. S.D. Roy, Ph.D. Thesis, 1998, p.60.28. E.B.D. Bourdon, P. Kovarik, and

R.H. Prince, Diamond and RelatedMaterials,Vol.2, 1993, pp.425-431.

Page 370: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale370

29. M.C. Polo, J. Cifre, G. Sanchez, R. Aguiar,M. Varela, and J. Esteve, Diamond and RelatedMaterials, Vol.4 1995, pp.780-783.

30. P. Mistry, M.C. Turchan, S. Liu,G.O. Granse, T. Baurmann, andM.G. Shara, Inn. Materials Res., Vol.1, 1996,pp.193-196.

31. R. Messier, A.R. Badzian, T. Badzian,K.E. Spear, P. Bachmann, and R. Roy, ThinSolid Films, Vol.153, 1987, pp.1-9.

32. W. Zhu, H.S. Kong, and J.T. Glass, DiamondFilms and Coatings Development,Properties and Applications, R.F. Davis,ed., Noyes Publications, 1991, pp.244-248.

33. P.J. Ellis, D.S. Buhaento, and B.R. Stoner,Diamond and Related Materials, Vol.4,1995, pp.406-409.

34. P. Kania and P. Oelhefen, Diamond andRelated Materials, Vol.4, 1995, pp.425-428.

35. P.E. Pehrsson, F.G. Celii, and J.E. Butler,Diamond Films and Coatings Development,Properties and Applications, R.F. Davis,ed., Noyes Publications, 1991, pp.68-71.

36. J.E. Butler, R.L. Woodin, Phil. Transactionsof Royal Society London, U.K., Vol.A342,1993, pp.209-214.

37. T. Kawato and K. Kondo, JapaneseJournal of Applied Physics, Vol.26, 1987,pp.1429-1432.

38. Y. Saito, K. Sato, H. Tanaka, K. Fujita, andS. Matsuda, Journal of Materials Science,Vol.23, 1988, pp.842-846.

39. S.J. Harris and A.M. Weiner, Applied PhysicsLetters, Vol.55, 1989, pp.2179-2181.

40. M. Frenklach, R. Kematick, D. Huang,W. Howard, K.E. SPear, A.W. Phelps, and R.Koba, Journal of Applied Physics, Vol.661989, pp.395-399.

41. Y. Liou, A. Inspektor, R. Weimer,D. Knight, and R. Messier, Journal ofMaterials Res., Vol.5, 1990, pp.2305-2309.

42. R.A. Rudder, G.C. Hudson, J.B. Posthill,R.E. Thomas, and R.J. Markunas, AppliedPhysics Letters, Vol.59, 1991, pp.791-793.

43. P.K. Bachmann and D.U. Wiechert,Diamond and Related Materials, Vol.1,1992, pp.422-427.

44. T. Kotaki, Y. Amada, K. Harada, H. Uyama,

and O. Matsumoto, Diamond and RelatedMaterials, Vol.2, 1993, pp.342-346.

45. H. Lux, Diamond and Related Materials,Vol.3, 1994, pp.277-281.

46. R. Beckmann, B. Sobisch, and W. Kulisch,Diamond and Related Materials, Vol.4,1995, pp.256-260.

47. S. Sato, New Diamond, English, ed., Vol.2,1990, pp.15-19.

48. W.A. Yarbrough and R. Messier, Science,Vol.247, 1990, pp.688-696.

49. C.H. Wu, M.A. Tamor, T.J. Potter, andE.W. Kaiser, Journal of Applied Physics,Vol.68, 1990, pp.4825-4829.

50. C.A. Rego, P.W. May, C.R. Henderson,M.N.R. Ashfold, K.N. Rosser, andN.M. Everitt, Diamond and RelatedMaterials, Vol.4, 1995, pp.770-776.

51. P.K. Bachmann, D. Leers, and H. Lydtin,Diamond and Related Materials, Vol.1,1991, pp.1-12.

52. E.G. Rakov, A.V. Modin, and A.V. Grunsky,Journal of Chemical Vapor Deposition,Vol.6, 1997, pp.30-38.

53. P.K. Bachmann and R. Messier, C and ENVol.67, 1989, pp.24-29.

54. C.F. Chen, S.H. Chen, T.M. Hong, andS.H. Wu, Diamond and Related Materials,Vol.2, 1993, pp.732-736.

55. Y. Mitsuda, Y. Kojima, T. Yoshida, andK. Akashi, Journal of Materials Science,Vol.22, 1987, pp.1557-1562.

56. F.G. Celli, P.E. Pehrsson, H.T. Wang, andJ.E. Butler, Applied Physics Letters, Vol.52,1988, pp.2043-2045.

57. W.A. Yarbrough, K. Tankala, andT. DebRoy, Proceedings of SecondInternational Conference, New DiamondScience and Technology, R. Messier,J.T. Glass, J.E. Butler, and R. Roy, eds.,MRS, 1991, pp.341-346.

58. M. Frenklach and H. Wang, PhysicalReview B, Vol.43, 1991, pp.1520-1545.

59. Z. Chen, G.P. Wirtz, and S.D. Brown,Journal of Amercian Ceramic Society,Vol.75, 1992, pp.2107-2115.

60. L.R. Martin and M.W. Hill, Applied PhysicsLetters, Vol.55, 1989, pp.2248-2249.

61. C.J. Chu, M.P. D’Evelyn, R.H. Hange, and

Page 371: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 371

J.L. Margrave, Journal of Materials Res.Vol.5, 1990, pp.2313-2315.

62. N. Ota and N. Fujimori, The FourthInternational Conference on the NewDiamond Science and TechnologyAbstracts, 1994, pp.57-61.

63. S.J. Harris and L.R. Martin, Journal ofMaterials Res., Vol.5, 1990, pp.2313-2316.

64. K. Larsson, S. Lunell, and J.O. Carlsson,Diamond and Related Materials, Vol.2,1993, pp.949-951.

65. E.S. Machlin, Journal of Materials Res.,Vol.3, 1988, pp.958-963.

66. W.B. Yarbrough, Journal of Vacuum ScienceTechnology, Vol.A9, 1991, pp.1145-1149.

67. W.A. Yarbrough, A. Inspektor, andR. Messier, Amorphous Carbon,S. Alterovitz and J. Pouch, ed., TranTechPublications, Switzerland, 1989.

68. M. Kawarada, K. Kurihara, and K. Sasaki,Diamond and Related Materials, Vol.2,1993, pp.1083-1089.

69. R. Haubner and B. Lux, Diamond FilmsTechnology, Vol.3, 1994, pp.209-213.

70. P.O. Joffreau, R. Haubner, and B. Lux,R and HM, 1988, pp.186-189.

71. P. Ascarelli and S. Fontana, Diamond andRelated Materials, Vol.2, 1993, pp.990-996.

72. J. Stiegler, T. Lang, M. Nygard Fergusson,Y. Von Kaenel, and E. Blank, Diamond andRelated Materials, Vol.5, 1996, pp.226-229.

73. S. Matsumoto, Journal of MaterialsScience Letters, Vol.4, 1985, pp.600-603.

74. T.P. Ong and R.P.H. Chang, Applied PhysicsLetters, Vol.55, 1989, pp.2063-2065.

75. Y. Liou, R. Weimer, D. Knight, andR. Messier, Applied Physics Letters, Vol.56,1990, pp.437-439.

76. Y. Murakawa, H. Yamashita, andH. Miyadera, Journal of Vacuum ScienceTechnology, Vol.A9, 1991, pp.76-79.

77. S. Jin and E. Svillano, The FourthInternational Conference on the NewDiamond Science and TechnologyAbstracts, 1994, pp.62-66.

78. J. Stiegler, T. Lang, M. Nygard-Fergusson, Y.Von Kaenel, and E. Blank, Diamond andRelated Materials, Vol.5, 1996, pp.226-229.

79. Y. Chakk, R. Kalish, and A. Hoffman,Diamond and Related Materials, Vol.5,1996, pp.1074-1079.

80. S.S. Eskildsen Proceedings of SecondInternational Conference on theApplications of Diamond and RelatedMaterials, M. Yoshikawa, M. Murakawa,Y. Tzeng, and W.A. Yarbrough, eds., MYU,Tokyo, 1993, pp.297-305.

81. P. Karve, S.R. Sainkar, and S.T. Kshirsagar,Materials Letters, Vol.34, 1998, pp.387-391.

82. T. Takarada, T. Okazaki, K. Kato, andY. Nakaike, The Fourth InternationalConference on the New Diamond Scienceand Technology Abstracts, 1994, p.61.

83. T. Hartnett, R. Miller, D. Montanari,C. Willingham, and R. Tustison, Journalof Vacuum Science Technology, Vol.A8,1990, pp.2129-2132.

84. R. Haubner and B. Lux, Diamond FilmsTechnology, Vol.3, 1994, pp.209-213.

85. I. Endler, A. Leonhardt, H.J. Scheibe, andR. Born, Diamond and Related Materials,Vol.5, 1996, pp.299-302.

86. I.Y. Konyashin and M.B. Guseva, Diamondand Related Materials, Vol.5, 1996,pp.575-579.

87. S.M. Kanetkar, A.A. Kulkarni, A. Vaidya,R.D. Vispute, S.B. Ogale, S.T. Kshirsagar,and S.C. Purandare, Applied PhysicsLetters, Vol.63, 1993, pp.740-742.

88. P. Karve, J.P. Pal, S.C. Patil, A.A. Kulkarni,S.M. Kanetkar, N. Parikh, B. Patnaik, andS.T. Kshirsagar, Diamond and RelatedMaterials, Vol.5, 1996, pp.1527-1530.

89. S. Yugo, A. Izumi, T. Kanai, T. Kimura,T. Muto, and S. Yugo, Applied PhysicsLetters, Vol.58, 1991, pp.1036-1038.

90. S. Yugo, T. Kimura, and T. Kanai, Diamondand Related Materials, Vol.2, 1992,pp.328-331.

91. B.R. Stoner, G.H. Ma, S.D. Wolter, andJ.T. Glass, Physical Review, Vol.B45, 1992,pp.11067-11084.

92. J. Robertson, Diamond and RelatedMaterials, Vol.4, 1995, pp.549-556.

93. S. Moulin and A.M. Bonnot, Diamondand Related Materials, Vol.4, 1995,pp.750-754.

Page 372: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale372

94. J. Stiegler, Y. Von Kaenel, M. Cans, andE. Blank, Journal of Materials Research,Vol.11, 1996, pp.716-719.

95. W.J.P. Van Enckevort, G. Janssen,W. Vollenberg, J.J. Schermer, andL.J. Giling, M. eal. Diamond and RelatedMaterials, Vol.2, 1993, pp.997-1003.

96. J.S. Kim, M.H. Kim, S.S. Park, andJ.Y. Lee, Journal of Applied Physics,Vol.67, 1990, pp.3354-3357.

97. Ch. Wild, N. Herres, and P. Koidl, Journal ofApplied Physics, Vol.68, 1990, pp.973-978.

98. Ch. Wild, P. Koidl, W. Muller-Sebert,H. Walcher, R. Kohl, N. Herres, R. Locher, R.Samlenski, and R. Brenn, Diamond andRelated Materials, Vol.2, 1993, pp.158-168.

99. R.E. Clausing, L. Heatherley, E.D. Specht,and K.L. More, Proceedings of SecondInternational Conference New DiamondScience and Technology, R. Messier,J.T. Glass, J.E. Butler, and R. Roy, eds.,MRS, 1991, pp.575-581.

100. M.A. Tamor and M.P. Everson, Journal ofMaterials Research, Vol.9, 1994, pp.1839-1842.

101. A.R. Badzian, T. Badzian, and L. Pilione,RM and HM, 1990, pp.92-99.

102. H. Shiomi, K. Tanabe, Y. Nishibayashi, andN. Fujimori, Japanese Journal of AppliedPhysics, Vol.29, 1990, pp.34-37.

103. C.J. Chu, M.P. D’Evelyn, R. Hauge, andJ.L. Margrave, Journal of Applied Physics,Vol.70, 1991, pp.1695-1705.

104. Y. Avigal, C. Uzan-Saguy, and R. Kalish,Diamond and Related Materials, Vol.2,1993, pp.462-467.

105. R. Haubner, RM and HM, Vol.9, 1990,pp.70-75.

106. A. Argoitia, J.C. Angus, J.S. Ma, L. Wang,P. Pirouz, and W.R.L. Lambrecht, Journalof Materials Research, Vol.9, 1994,pp.1849-1853.

107. S. Koizumi, T. Murakami, T. Inuzuka, andK. Suzuki, Applied Physics Letters, Vol.57,1990, pp.563-565.

108. B.R. Stoner and J.T. Glass, Applied PhysicsLetters, Vol.60, 1992, pp.698-700.

109. R. Kohl, Ch. Wild, N. Herres, P. Koidl,B.R. Stoner, and J.T. Glass, Applied

Physics Letters, Vol.63, 1993, pp1792-1794.

110. X. Jiang and C.P. Klages, Diamond andRelated Materials, Vol.2, 1993, pp.1112-1113.

111. D.K. Milne, P.G. Roberts, P. John,M.G. Jubber, M. Liehr, and J.I.B. Wilson,Diamond and Related Materials, Vol.4,1995, pp.394-400.

112. Q. Chen, Y. Chen, J. Yang, and Z. Lin, ThinSolid Films, Vol.274, 1996, pp.160-166.

113. A.H. Deutchman and R.J. Partyka,Advanced Materials and Processes, Vol.6,1989, pp.29-33.

114. P.K. Bachmann, Physics World, 1991,pp.32-36.

115. P.K. Bachmann, D. Leers, andD.U. Wiechert, Journal of De Physique IV,Colloque C2, Supply, Au J. de PhysiqueII, Vol.1, 1991, pp.C2-907-915.

116. N. Narutaki, H. Usuki, Y. Yamane, andT. Ito, Surface ModificationTechnologies, T.S. Sudarshan and J.F.Braza, eds., The Institute of Materials,Vol.5, 1992, pp.259-271.

117. C. Tsai, J.C. Nelson, W.W. Gerberich,J. Heberlein, and E.P. Fender, Diamond andRelated Materials, Vol.2, 1993, pp.617-620.

118. H. Mohrbacher, B. Blanpain, J.P. Celis, andJ.R. Roos, Diamond and RelatedMaterials, Vol.2, 1993, pp.879-884.

119. L. Nordsletten, A.K.M. Hogasen,Y.T. Konttinen, S. Santavirta, P. Aspenberg,and A.O. Aasen, Biomaterials, Vol.17,1996, pp.1521-1524.

120. J. Cifre, M.C. Polo, G. Sanchez, A. Lousa,and J. Esteve, Diamond and RelatedMaterials, Vol.4, 1995, pp.798-801.

121. N.A. Morrison, I.C. Drummond, C. Garth,P. John, D.K. Milne, G.P. Smith,M.G. Jubber, and J.I.B. Wilson, Diamondand Related Materials, Vol.5, 1996,pp.1118-1124.

122. D.S. Hoover, S.Y. Lynn, and D. Garg, SolidState Technology, 1991, pp.89-93.

123. R.C. Eden, Diamond and RelatedMaterials, Vol.2, 1993, pp.1051-1058.

124. A. Partha, Journal of Microelectron,

Page 373: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 373

Vol.27, 1996, pp.9-13.125. M. Nagai, Y. Yamamoto, K. Tanabe,

Y. Kumazawa, and N. Fujimori,Proceedings of SPIE 3235, 1997, pp.302-309.

126. J.T. Glass, B.A. Fox, D.L. Dreifus, andB.R. Stoner, MRS Bulletin, Vol.23, 1998,pp.49-57.

127. M. Kohzaki, K. Higuchi, S. Noda, andK. Uchida, Diamond and RelatedMaterials, Vol.2, 1993, pp.612-616.

128. W.A. Yarbrough, N.D. Rosen, L.J. Pilione,and W.D. Drawl, Proceedings of SPIE1112, 1989, pp.176-181.

129. C.A. Klein, Diamond and RelatedMaterials, Vol.2, 1993, pp.1024-1032.

130. E.J. Coad, C.S.J. Pickles, G.H. Jilbert, andJ.E. Field, Diamond and RelatedMaterials, Vol.5, 1996, pp.640-647.

131. H.W. Ko, S.E. Hsu, S.J.Yang, M.S. Tsai,and Y.H. Lee, Diamond and RelatedMaterials, Vol.2, 1993, pp.694-698.

132. M.C. Costello, D.A. Tossell, D.M. Reece,C.J. Brierley, and J.A. Savage, Diamondand Related Materials, Vol.3, 1994,pp.1137-1143.

133. H. Noguchi, Y. Kubota, and T. Takarada,Journal of Electrochemical Society,Vol.144, 1997, pp.3304-3307.

134. P.R. Chalker, C. Johnson, J.A.A. Crossley,J. Ambrose, C.F. Ayres, R.E. Harper,I.M. Buckley-Golder, and K. Kobashi,Diamond and Related Materials, Vol.2,1993, pp.1100-1106.

135. B.A. Fox, M.L. Hartsell, D.M. Malta,H.W. Wynands, C.T. Kao, L.S. Plano,G.J. Tessmer, R.B. Hernard, J.S. Holmes,A.J. Tessmer, and D.L. Dreifus, Diamond andRelated Materials, Vol.4, 1995, pp.622-627.

136. H. Kiyota, E. Matsushima, K. Sato,H. Okushi, T. Ando, M. Kamo, Y. Sato, andM. Iida, The Fourth InternationalConference on the New Diamond Scienceand Technology Abstracts, 1994, p.86.

137. E.I. Givargizov, L.L. Aksenova,A.V. Kuznetsov, P.S. Plekhanov,E.V. Rakova, A.N. Stepanova, V.V. Zhirnov,and P.C. Nordine, Diamond and RelatedMaterials, Vol.5, 1996, pp.938-945.

138. N.A. Fox, W.N. Wang, T.J. Davis,J.W. Steeds, and P.W. May, AppliedPhysics Letters, Vol.71, 1997, pp.2337-2339.

139. J.P.Bade, S.R. Sahaida, B.R. Stoner,J.A. Von Windheim, J.T.Glass, K. Miyata,K. Nishimura, and K.Kobashi, Diamondand Related Materials, Vol.2, 1993,pp.816-819.

140. K. Okano, T. Iwasaki, H. Kiyota,H. Maekawa, T. Kurosu, and M. Iida,Surface Modification Technologies,T.S. Sudarshan and J.F. Braza, eds., TheInstitute of Materials, Vol.5, 1992, pp.235-244.

141. K. Okano, S. Koizumi, S. Silva, P. Ravi,and G.A.J. Amartunga, Nature, Vol.381,1996, pp.140-144.

142. H. Schmellenmeier, Z. Physics Chemical,Vol.205, 1955, pp.349-352.

143. S. Aisenberg and R.W. Chabot, Journalof Applied Physics, Vol.42, 1971, pp.2953-2958.

144. J. Robertson, Surface CoatingsTechnology, Vol.50, 1992, pp.185-203.

145. Status and Applications of Diamond andDiamond like Materials: An EmergingTechnology, National Materials AdvisoryBoard, 1990.

146. P. Koidl, C. Wild, B. Dischler, J. Wagner,and M. Ramsteiner, Materials ScienceForum, Vol.52, 1990, pp.41-69.

147. J.W. Zou, K. Reichelt, K. Schmidt, andB. Dischler, Journal of Applied Physics,Vol.65, 1989, pp.3914-3918.

148. C. Srividya and S.V. Babu, ChemicalMaterials, Vol.8, 1996, pp.2528-2533.

149. K. Kamata, T. Inoue, K. Maruyama, andI. Tanabe, Japanese Journal of AppliedPhysics, Vol.29, 1990, pp.L1203-1205.

150. A.V. Stainshevsky, and L.Yu. Khriachtchev,Diamond and Related Materials, Vol.5,1997, pp.1355-1361.

151. M. Hakovirta, Diamond and RelatedMaterials, Vol.5, 1996, pp.186-189.

152. P.H. Gaskell, A. Saeed, P. Chieux, andD.R. McKenzie, Physical Review Letters,Vol.67, 1991, pp.1286-1289.

153. S. Kasi, H. Kang, and J.W. Rabalais,

Page 374: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale374

Physical Review Letter, Vol.59, 1987,pp.75-78.

154. Y. Lifshitz, G.D. Lempert, E. Grossman,I. Avigal, C. Uzan-Saguy, R. Kalish,J. Kullik, D. Marton, and J.W. Rabalais,Diamond and Related Materials, Vol.4,1995, pp.318-323.

155. C. Weissmantel, C. Schurrer, F. Frohlic,P. Grau, and H. Zscheile, Thin Solid Films,Vol.146, 1979, pp.93-107.

156. N. Savvides, Journal of Applied Physics,Vol.59, 1986, pp.4133-4145.

157. C.B. Collins, Applied Physics Letters,Vol.54, 1989, pp.216-218.

158. A.M. Jones, C.J. Bedell, G. Dearnaley,C. Johnston, and J.M. Owens, Diamond andRelated Materials, Vol.1, 1992, pp.416-421.

159. J. Robertson, Diamond and RelatedMaterials, Vol.2, 1993, pp.984-989.

160. I.I. Askenov, V.A. Belous, V.G. Padalka, andV.M. Khoroshikh, Fountzoulas Plazmy,Vol.4, 1978, pp.758-764.

161. S.D. Berger, D.R. Mckenzie, and P.J. Martin,Philos. Mag. Letters, Vol.57, 1988,pp.285-290.

162. I.I. Askenov, S.I. Vakula, V.G. Padalka,V.E. Strel’nitskii, and V.M. Khoroshikh,Soviet Physics Technology Physics,Vol.25, 1980, pp.1164-1169.

163. J. Koskinen, Journal of Applied Physics,Vol.63, 1988, pp.2094-2097.

164. A.I. Maslov, G.K. Dmitriev, andY.D. Chistyakov, Instruments ExpertTechnical, Vol.28, 1985, pp.662-665.

165. P.J. Martin, R.P. Netterfield, and T.J. Kinder,Thin Solid Films, Vol.193/194, 1990,pp.77-83.

166. A. Antilla, J. Koskinen, R. Lappalainen,J.P. Hivonen, D. Stone, and C. Paszkiet,Applied Physics Letters, Vol.50, 1987,pp.132-134.

167. J. Robertson, Philos. Trans. Royal Society,Vol.A342, 1993, pp.277-286.

168. F. Jansen, M. Machonkin, S. Kaplan, andS. Hark, Journal of Vacuum ScienceTechnology, Vol.A3, 1985, pp.605-611.

169. M. Kuhn, P. Meja, and F. Richter, Diamondand Related Materials, Vol.2, 1993,pp.1350-1358.

170. D.R. McKenzie, D. Mueller, B.A. Pailthorpe,

Z.H. Wang, E. Kravtchinskaia, D. Sega,P.B. Lukins, P.D. Swift, P.J. Martin,G. Amartunga, P.H. Gaskell, and A. Saeed,Diamond and Related Materials, Vol.1,1991, pp.51-60.

171. J.C. Angus, J.E. Stultz, P.J. Shiller,J.R. MacDonald, M.M. Mirtich, andS. Domitz, Thin Solid Films, Vol.118, 1984,pp.320-329.

172. B.A. Banks and S. Rutledge, Journal ofVacuum Science Technology, Vol.21,1982, pp.807-815.

173. C. Weissmantel, K. Bewilogua, K. Breuer,D. Dietrich, U. Ebersbach, H.J. Erler,B. Rau, and G. Reiss, Thin Solid Films,Vol.96, 1982, pp.31-44.

174. S. Fujimori, T. Kasai, and T. Inamura, ThinSolid Films, Vol.92, 1982, pp.71-80.

175. N. Savvides, Materials Res. Forum,Vol.52, 1990, pp.407-413.

176. F. Davanloo, T.J. Lee, D.R. Sander, H. Park,and C.B. Collins, Journal of AppliedPhysics, Vol.71, 1992, pp.1446-1453.

177. J.J. Cuomo, J.P. Doyle, J. Bruley, andJ.C. Liu, Applied Physics Letters, Vol.58,1991, pp.466-468.

178. M.W. Thompson, Defects and RadiationDamage in Metals, Cambridge UniversityPress, 1969.

179. G. Costas Fountzoulas, Proceedings ofMaterials Research Society Symposium,Vol.396, 1996, pp.569-574.

180. F. Rossi, B. Andre, A.V. Veen,P.E. Mijnarends, H. Schut, M.P.Delplancke, W. Gissler, J. Haupt, G.Lucazeau, and L. Abello, Journal of AppliedPhysics, Vol.75, 1994, pp.3121-3129.

181. S.J. Bull, Diamond and Related Materials,Vol.4, 1995, pp.827-836.

182. Y. Liu, A. Erdemir, and E.J. Meletis, SurfaceCoatings Technology, Vol.82, 1996,pp.48-56.

183. E. Marotta, N. Bakhru, A.Grill, V. Patel,and B. Meyerson, Thin Solid Films,Vol.206, 1991, pp.188-194.

184. E. Ali, C. Bindel, R.F. George, andP. Wilbur, Tribology Transactions, Vol.39,1996, pp.735-742.

185. A.R. McCabe, A.M. Jones, and S.J. Bull,Diamond and Related Materials, Vol.3,

Page 375: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 375

1994, pp.210-209.186. J. Lankford, C.R. Blanchard, C.M.

Agrawal, D.M. Micallef, G. Dearnaley, andA.R.M.C. Cabe, Nuclear Methods,Vol.B80-81, 1993, pp.1441-1445.

187. F.M. Kimock and B.J. Knapp, SurfaceCoatings Technology, Vol.56, 1993,pp.273-279.

188. J. Koskinen, R. Lappalainen, A. Antilla,and J.P. Hirvonen, Diamond and RelatedMaterials, Vol.3, 1994, pp.52-55.

189. M.J. Mirtich, D. Nir, D.M. Swec, andB.A. Banks, Journal of Vacuum ScienceTechnology, Vol.A4, 1986, pp.2860-2864.

190. A.H. Lettington, Philos. Transactions RoyalSociety, Vol.A342, 1993, pp.287-296.

191. A. Grill, B. Meyerson, and V. Patel,Journal of Materials Research, Vol.3,1988, pp.214-218.

192. E. Cellier and J.F. Nowak, Diamond andRelated Materials, Vol.3, 1994, pp.1112-1120.

193. Sh. Trakhtenberg, S.A. Plotnikov,O.M. Bakunin, S.G. Yakovleva, A.A. Nechaev,S.D. Gorpinchenko, A.B. Vladimirov,L.G. Korshunov, N.V. Gavrilov, andV.N. Mizgulin, Diamond and RelatedMaterials, Vol.4/7, 1995, pp.1020-1024.

194. E.F. Chaikovskii, V.M. Puzikov, andA.V. Semenou, Sov. Physics Crystal.,Vol.26, 1981.

195. E.G. Spencer, P.H. Schmidt, D.C. Joy, andF.J. Sanasalone, Applied Physics Letters,Vol.29, 1976, pp.118-120.

196. Y. Lifshitz, S.R. Kasi, J.W. Rabalais, andW. Eckstein, Physical Review, Vol.B41,1990, pp.10468-10480.

197. S.Prawer, K.W. Nugent, Y. Lifshitz,G.D. Lempert, E. Grossman, J. Kulik,I. Avigal, and R. Kalish, Diamond andRelated Materials, Vol.5, 1996, pp.433-438.

198. B.T. Kelly, Physics of Graphite, Elsevier,U.K., 1981, pp.87.

199. H.J. Steffen, D. Marton, and J.W. Rabalais,Physical Review Letter, Vol.68, 1992,pp.1726-1729.

200. J. Koike, D.M. Parkin, and T.E. Mitchell,Applied Physics Letters, Vol.60, 1992,pp.1450-1452.

201. M. Zaiser and F. Banhart, Physical Review

Letter, Vol.79, 1997, pp.3680-3683.202. D. Mueller and D.R. Mckenzie, Thin Solid

Films, Vol.108, 1983, pp.257-264.203. S. Craig and G.L. Harding, Thin Solid

Films, Vol.97, 1982, pp.345-361.204. R.O. Dillon, J.A. Woolam, and

V. Katkanant, Physical Review, Vol.B29,1984, pp.3482-3489.

205. D. Beeman, J. Silverman, R. Lymds, andM.R. Anderson, Physical Review, Vol.B30,1984, pp.870-875.

206. N. Savvides, Journal of Applied Physics,Vol.59, 1986, pp.4133-4145.

207. B. Dischler, A. Bubenzer, and P. Koidl,Solid State Communication, Vol.48, 1983,pp.105-112.

208. F.R. McFeely, S.P. Kowlaczyk, L. Ley,R.G. Cavell, R.A. Pollak, and D.A. Shirley,Physical Review, Vol.B9, 1974, pp.5268-5278.

209. D.Wesner, S. Krummacher, R.Carr,T.K. Shum, M. Strongin, W. Eberhardt,S.L. Weng, G. Williams, M. Howella,F. Kampas, S. Heald, and F.W. Smith,Physical Review, Vol.B28, 1983, pp.2152-2158.

210. J. Fink, Th. Muller-Heinzerling, J. Pfluger,B. Scheerer, B. Dischler, P. Koidl,A. Bubenzer, and R.E. Sah, PhysicalReview, Vol.B30, 1984, pp.4713-4718.

211. C. Gao, Y.Y. Wang, A.L. Ritter, andJ.R. Dennison, Physical Review Letter,Vol.62, 1989, pp.945-948.

212. S. Kaplan, F. Jansen, and M. Machonkin,Applied Physics Letters, Vol.47, 1985,pp.750-753.

213. R.H. Jarman, G.J. Ray, R. W. Standley, andG.W. Zajac, Applied Physics Letters,Vol.49, 1986, pp.1065-1067.

214. K.Tanaka, M. Okada, T. Kohno,M. Yanokura, and M. Aratani, NuclearInstruments Methods, Vol.B58, 1991,pp.34-39.

215. B. Schultrich, H.J. Scheibe, G. Grandremy,D. Drescher, and D. Schneider, Diamondand Related Materials, Vol.5, 1996,pp.914-918.

216. H.Dimigen, H. Hubsch, R. Memming,Applied Physics Letters, Vol.50, 1987,pp.1056-1058.

Page 376: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Karve, Pal, and Ogale376

217. F.M. Kustas, M.S. Misra, D.F. Shephard,and J.F. Froechtenigt, SPIE DiamondOpticals, Vol.3, 1990, pp.1325-1328.

218. I.I. Askenov, and V. Strel’nitskij, Proceedingsof First European Conference on Diamondand Diamond Like Carbon Coatings,Crans-Montana, 1990.

219. K.M. Mertz, R. Hoffman, and A.T.Balaban, Journal of American CeramicSociety, Vol.109, 1987, pp.6742-6749.

220. D.R. McKenzie, D. Muller, andB.A. Pailthorpe, Physical Review Letter,Vol.67, 1991, pp.773-776.

221. C.Z. Wang and K.M. Ho, Physical ReviewLetter, Vol.71, 1993, pp.1184-1187.

222. J. Robertson, E.P. O’Reilly, PhysicalReview, Vol.B35, 1987, pp.2946-2957.

223. M.A. Tamor and C.H. Wu, Journal ofApplied Physics, Vol.67, 1990, pp.1007-1012.

224. M.Yoshikawa, G. Katagiri, H. Ishida,A. Ishitani, and T. Akamatsu, Journal ofApplied Physics, Vol.64, 1988, pp.6464-6468.

225. J.C. Angus and C.C. Hayman, Science,Vol.241, 1988, pp.913-921.

226. C.B. Collins, F. Davanloo, D.R. Jander,T.J. Lee, H. Park, and J.H. You, Journal ofApplied Physics, Vol.69, 1991, pp.7862-7870.

227. A.H. Lettington, Philos. TransactionsRoyal Society A., Physics ScienceEngineering, Vol.342, 1993, pp.287-296.

228. K. Miyoshi, J.J. Pouch, and S.A.Alterovitz, Materials Science For., Vol.53-54, 1989, pp.645-651.

229. J.C. Angus and F. Jansen, Journal ofVacuum Science Technology, Vol.A6,1988, pp.1778-1781.

230. K. Miyoshi, Surface CoatingsTechnology, Vol.44, 1990, pp.799-806.

231. K. Miyoshi, R.L.C. Wu, and A. Garscadden,Surface Coatings Technology, Vol.54-55,1992, pp.428-433.

232. I. Garnev and V. Orlinov, Diamond andRelated Materials, Vol.4, 1995, pp.1041-1049.

233. H. Xioming, L. Hengde, and L. Wenzi,Proceedings of International SurfaceScience Engineering, 1995, pp.15-23.

234. D.E. Savage, J. Kleiner, N. Schimke,Y.H. Phang, T. Jankowski, J. Jacobs,R. Katiotis, and M.G. Lagally, Journal ofApplied Physics, Vol.69, 1991, pp.1411-1424.

235. D.P. Dowling, K. Donnelly, T.P. O’Brien,A. O’Leary, T.C. Kelly, and W. Neuberger,Diamond and Related Materials, Vol.5,1996, pp.492-495.

236. N. Kumar, B. Singh, S. DiVita,N. Vallestero, A. Samiul, and R.H. Williams,Proceedings of International Wire CableSymposium, 1995, pp.325-333.

237. Abrasion Wear Resistant PolymericSubstrate Product, U.S. Patent issued toF.M. Kimock, B.J. Knapp, and S.J. Finke,Patent No. 5,190,807, 1993.

238. L. Ganapathi, S. Giles, and Rama Rao,Applied Physics Letters, Vol.63, 1993,pp.993-995.

239. H.C. Tsai and D.B. Bogy, Journal of VacuumScience Technical, Vol.A6, 1987, pp.3287-3295.

240. K. Enke, Applied Optics, Vol.24, 1985,pp.508-513.

241. T.L. Parker, K.L. Parker, I.R. McColl,D.M. Grant, and J.V. Wood, Diamond andRelated Materials, Vol.3, 1994, pp.1120-1125.

242. S. Mitura, P. Niedzielski, D. Jachowicz,M. Langer, J. Marciniak, A. Stanishevsky,E. Tochitsky, P. Louda, P. Couvrat,M. Denis, and P. Lourdin, Diamond andRelated Materials, Vol.5, 1996, pp.1185-1188.

243. R. Butter, M. Allen, L. Chandra,A.H. Lettington, and N. Rushton,Diamond and Related Materials, Vol.4,1995, pp.857-861.

244. V.V. Sleptsov, V.M. Elinson, N.V. Simakina,A.N. Laymin, I.V. Ysygankov,A.A. Kivaev, and A.D. Musina, Diamond andRelated Materials, Vol.5, 1996, pp.483-485.

245. E. Watchel, P. Villars, A. Armini, andM. Spector, Surface ModificationTechnologies, T.S. Sudarshan andJ.F. Braza, eds., The Institsute of Materials,Vol.5, 1992, pp.125-138.

246. J. Lankford and C.R. Blanchard, Nuclear

Page 377: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond, Diamond-Like Carbon, and Carbonitride Coatings 377

Instruments Methods, Vol.B80-81, 1993,pp.1441-1445.

247. H. Voigt, F. Schilthelm, T. Lange, andT. Kullick, and R. Ferreti, Sens. Actuators,Vol.B44, 1997, pp.441-444.

248. C.M. Lin, F.Y. Chuang, J.T. Lai C.H. Wang,T.Y. Hsiu, M. Yokoyama, I.N. Lin,J.H. Tsai, C.M. Huang, and W.C. Wang,Tenth International VacuumMicroelectron, Conference TechnicalDig., 1997, pp.131-140.

249. A.Y. Liu and M.L. Cohen, Science, Vol.245,1989, pp.8419-8421.

250. A.Y. Liu and M.L. Cohen, Physical ReviewLetter, Vol.B41, 1990, pp.10727-10734.

251. K.M. Yu, M.L. Cohen, E.E. Haller,W.L. Hansen, A.Y. Liu, and I.C. Wu, PhysicalReview, Vol.B49, 1994, pp.5034-5037.

252. C.Niu, Y.Z. Lu, and C.M. Lieber, Science,Vol.261, 1993, pp.334-336.

253. L. Maya, D.R. Cole, and E.W. Hagaman,Journal of Amercian Ceramic Society,Vol.74, 1991, pp.1686-1688.

254. K. Ogata, J.F.D. Chubaci, and F. Fujimoto,Journal of Applied Physics, Vol.76, 1994,pp.3791-3796.

255. P. Prieto, C. Quiros, E. Elizalde,A. Fernandzes, J.M. Martin, and J.M. Sanz,Nuclear Instruments Methods, Vol.B122,1997, pp.534-537.

256. H. Xin, C. Lin, S. Zhu, S. Zou, X. Shi,H. Zhu, and P.L.F. Hemment, NuclearInstruments Methods, Vol.B103, 1995,pp.309-312.

257. J.Y. Feng, C.P. Long, F.W. Zhang, Z. Yi,and Y.D. Fan, Wuli Xuebao, Vol.45, 1996,pp.1068-1072.

258. D. Li, Y.W. Chung, S. Lopez, M.S. Wong,and W.D. Sproul, Proceedings ofInternational Conference Surface Scienceand Engineering, 1995, pp.101-106.

259. Z.M. Ren, Y.C. Du, Z.F. Ying, F.M. Li,J. Lin, Y.Z. Ren, and X.F. Zong, AppliedPhysics A: Materials Science Process,Vol.A64, 1997, pp.327-330.

260. J.P. Riviere, D. Texier, J. Delafond,M. Jaouen, and E.L. Mathe, MaterialsLetters, Vol.22, 1995, pp.115-118.

261. Z.M. Ren, Y.C. Du, Y. Qiu, J.D. Wu,Z.F. Ying, X.X. Xiong, and F.M. Li,

Physical Review, Vol.B54, 1995, pp.5274-5277.

262. A.R. Merchant, D.G. McCulloch,D.R. McKenzie, Y. Yin, L. Hall, andE.G. Gerstner, Journal of Applied Physics,Vol.79, 1996, pp.6914-6919.

263. M.R. Wixom, Journal of AmercianCeramic Society, Vol.73, 1990, pp.1973-1978.

264. Y. Zhang, Z. Zhou, and H. Li, AppliedPhysics Letters, Vol.68, 1996, pp.634-636.

265. Y.A. Li, Z.B. Zhang, S.S. Xie, andG.Z. Yang, Chemical Physics Letters,Vol.247, 1995, pp.253-256.

266. Y. Guo and W.A. Goddard III, ChemicalPhysics Letters, Vol.237, 1995, pp.72-76.

267. D.M. Teter and R.J. Hemley, Science,Vol.271, 1996, pp.53-55.

268. Y. Chen, G. Liping, and E.G. Wang, Philos.Mag. Letters, Vol.75, 1997, pp.155-160.

269. J. Prahjyot Pal, S.C. Patil, S.M. Kanetkar,S.B. Ogale, L. Ganapathi,and P. Rama Rao,Bulletin Materials Science, Vol.17, 1994,pp.829-839.

270. S.V. Hainsworth, H. Sjoestroem, T.F. Page,and J.E. Sundgren, Proceedings ofMaterials Research Society Symposium,Vol.436, 1997, pp.275-280.

271. E.C. Crutiongico, D. Li, Y.W. Chung, andC.S. Bhatia, Journal of Tribology, Vol.118,1996, pp.543-548.

272. Z.J. Zhang, P. Yang, and C.M. Leiber,Proceedings of Materials ResearchSociety Symposium, Vol.388, 1994,pp.271-282.

273. S.J. Sjostrom, M. Stafstrom, andJ.E. Sundgren, Physical Review Letter,Vol.75, 1995, pp.1336-1339.

274. D. Li, X. Chu, S.C. Cheng, X.W. Lin,V.P. Dravid, and Y.W. Chung, AppliedPhysics Letters, Vol.67, 1995, pp.203-205.

275. J.M. Gil, J.F.M. Gil, M. Sarikaya, M. Qian,M.J. Yacaman, and A. Rubio, Journal ofApplied Physics, Vol.81, 1997, pp.2555-2558.

276. M.J. Yacaman, M.J. Gil, F.J. Gil,M. Sariyaka, and M.X. Qian, MaterialsChemistry and Physics, Vol.47, 1997, p.109.

277. B. Wang, Physical Review, Vol.B58, 1998,pp.11890-11893.

Page 378: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Chapter 11

CVD Diamond Films in TribologicalApplications*

Ali ErdemirEnergy Technology DivisionArgonne National LaboratoryArgonne, IL 60439

Abstract

Diamond, the hardest material known,offers several outstanding properties, such ashigh mechanical strength, chemical inertness,and very attractive friction and wear properties.Accordingly, these and other relevant propertiesmake diamond very attractive for a wide rangeof tribological applications including machining,bearings, microelectromechanical systems,surgical tools, mechanical seals, etc. This chapterprovides an overview of the tribologicalproperties of chemical vapor deposited (CVD)diamond films used in machining and in variousfriction and wear applications. Specifically, itpresents the current state of the art of makinghigh-quality diamond films (such as

nanocrystalline diamond) that provide ultralowfriction and wear coefficients, and it addressesthe prospects for future applications. Particularemphasis is placed on tribological issuesassociated with metal cutting and contact sliding.Smooth diamond films obtained by polishingand controlling grain size will also be discussed,and their potentials for future tribologicalapplications will be assessed. It will be shownthat during cutting and sliding, diamond filmscan undergo gradual abrasive wear and phasetransformation and that transformation productstrapped at sliding interfaces may dominatesliding wear performance. Based on structuraland fundamental tribological knowledge, thechapter will emphasize the importance of surfacephysical and chemical effects on friction and

* Work supported by U.S. Department of Energy, under Contract W-31-109-Eng-38.

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p379-399 DOI:10.1361/chvd2001p379

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 379: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Erdemir380

wear and will describe new depositionprocedures that can lead to the formation ofsmooth, nanocrystalline diamond films thatafford ultralow friction and wear to slidingtribological interfaces.

Introduction

In recent years, overwhelming interest hasbeen shown in the production and use of highquality diamond coatings on metallic andceramic substrates for various mechanical,electronic, optical, and tribological applications.Properties that make diamond coatings veryattractive for such applications include extrememechanical hardness and strength, excellentchemical inertness, broad optical transparency,high refractive index, wide band gap, low ornegative electron affinity, transparency in lightsources from deep UV through the visible to thefar infrared, excellent thermal conductivity,extremely low thermal expansion, and anaturally low friction coefficient (comparable tothat of Teflon TM).1-11 The combination of theseexceptional qualities in one material is very rareand makes it ideal for numerous industrialapplications. In fact, if it were inexpensive andabundant, diamond would undoubtedly be thematerial of choice for many engineeringapplications.

Some of the present industrial uses ofdiamond coatings include cutting tools, opticalwindows, heat spreaders, acoustic wave filters,flat-panel displays, photomultiplier andmicrowave power tubes, night vision devices,and sensors.9,10 Because its thermal conductivityand electrical insulation qualities are high,diamond is used for heat sinks in x- ray windows,circuit packaging, and high-power electronicdevices. Moreover, the high chemical stabilityand inertness of diamond make it ideal for usein corrosive environments and in prostheticdevices that require biocompatibility.12

Natural gem-quality diamonds areexpensive and difficult to machine and fabricateinto useful shapes for general industrialpurposes. Except for a few cases (i.e., diamond-studded rotary drill bits, dressers, diamond-tipped glass cutters, fine superabrasive powders

in grinding wheels), natural diamond is not usedfor industrial purposes.13,14 However, syntheticpolycrystalline diamonds (PCD) have beenavailable in industry for a long time (more than30 years). They are mostly produced by a high-pressure/high-temperature (HPHT) method inwhich diamond is crystallized from metalsolvated carbon at pressures from 50 to 100 Kbarand at temperatures of 1600 to 2000°C.15

Worldwide production of PCDs is estimated tobe ≈75 metric tons/year. These diamonds aremicrometer-size powders or particulates that areused mostly as superabrasives in grinding andpolishing media. It is possible to sinter thesediamond powders with metallic or ceramicbinders (such as Co, Ni, and TiC, etc.) at highpressures and temperatures and then use themas tool bits or sharp blades in metal-cuttingoperations.

Prospects for inexpensive and large-scaleproduction of diamond increased tremendously inthe mid 1970s when researchers discovered thatdiamond can be grown as thin coatings at lowdeposition pressures (102-103 Pa) fromhydrocarbon/hydrogen mixtures by chemical vapordeposition (CVD). Since then, there has been anexplosion in diamond and related- material researchwith the expectation that CVD will allow faster,cheaper, and easier production of diamond. CVDdiamond technology was proved to be moreversatile in coating intricate shapes and could beless expensive than HPHT.16-18

Currently, diamond coatings can beproduced by several methods. The methods mostwidely used are plasma-enhanced CVD, hot-filament CVD, microwave CVD, DC-arc jet,etc.10,19,20 These methods are very robust, well-developed, and widely-adopted by researchersand industry, and they have greatly expandedthe application possibilities for diamond coatingsin cutting tools and other industrial fields. Atpresent, more and more cutting-toolmanufacturers and commercial coaters areoffering diamond-coated tools at reasonablecosts. Initially, only tool inserts were coated withdiamond, but nowadays complex tools such asdrills, endmills, and taps are also coated withdiamond.18,19,21,22 Figure 1 shows a collection ofcutting tools coated with CVD diamond.

Page 380: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond Films in Tribological Applications 381

Early studies on diamond film growth dateto when HP-HT synthesis of diamond was beingexplored. Tube oven experiments by Eversoleand Angus16,23 in the 1960s and low- pressuresynthesis of diamond from hydrocarbon gasmixtures by Derayagin and Fedoseev24 in the1970s can be regarded as the earliest attemptsto produce synthetic diamond coatings. In fact,Eversole of Union Carbide was able to producea diamond phase by a thermal pyrolysis methodin 1962. Progress was very slow until JohnAngus of Case Western University found a wayin which graphite is etched simultaneously asthe diamond is deposited in the presence ofatomic hydrogen in the system. His workmotivated Derayagin and Fedoseev24 to focus onthe use of hydrogen/hydrocarbon mixtures forlow-pressure diamond growth. Since thesestudies, great strides have been made in theproduction of high- quality diamond coatings.Nowadays, high-quality diamond coatings canbe produced by several methods at fairly highgrowth rates (e.g., plasma-jet method) over verylarge deposition areas.25-29 These methodsreduced cost and increased the prospect for large-scale applications of diamond films. The high-quality diamond coatings produced today exhibitmost of the desired mechanical properties ofnatural diamonds.4,30

Low-pressure synthesis of diamondcoatings involves the use of hydrogen andmethane gas mixtures. Typical depositionpressures in these syntheses are 102-103 Pa, and

the gas mixture consists of mostly hydrogen andvery little methane. In the case of microwaveCVD, 0.5 to 5% methane is mixed withhydrogen gas and introduced into the depositionreactor. Plasma conditions in the CVD methodsare tailored to dissociate hydrogen/hydrocarbonmixtures into ionic or highly energetic speciesthat are essential for the initial nucleation as wellas subsequent growth of these coatings. For along time, atomic hydrogen had been consideredcritically important for the initial nucleation andsubsequent growth of diamond, but recentstudies have indicated that thin diamond coatingscan be produced in the near absence of hydrogenin a microwave plasma.26 Typical substratetemperatures for diamond deposition are 700-1000°C. Deposition of diamond at temperaturesbelow 700°C has also been demonstrated, butgrowth rates are reduced drastically, and theamount of nondiamond precursors in thesecoatings is substantially greater.25

High-quality diamond coatings arestructurally microcrystalline and largely madeup of tetrahedrally bonded carbon atoms. Thickcoatings are composed of large columnar grainsthat are highly faceted and generally rough.These coatings tend to grow continuouslyrougher as the film becomes thicker. Thegenerally rough surface finish of these coatingsprecludes their immediate use for slidingtribological applications. When used in suchapplications, sharp asperities cause high frictionand very high wear losses on mating surfaces.31-33 The

Fig. 1: Some examples of diamond coated tools (Courtesy of sp3 and Crystallume Co.).

Page 381: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Erdemir382

rough microcrystalline coatings can be polishedby laser beams, fine diamond powders, or byrubbing against hot iron or nickel plate.34,35

Figure 2 shows the surface morphology of arough film before and after laser polishing. Thepolished coatings can provide frictioncoefficients comparable to that of naturaldiamond.36-38 However, the polishing processesare tedious, very time-consuming, and in the caseof complex geometries, impractical.

Despite the high interest in using diamondcoatings for diverse tribological applications,their widespread utilization in the industrialworld has not yet met expectations.39-44 Untilnow, only carbide and certain ceramic tools havebeen coated successfully with diamond andmade available commercially. Althoughprototypes of other tribological parts (such asmechanical seals45 have also been prepared withdiamond coatings, their large-scale utilizationhas not yet been realized. Major limitations tomuch larger applications of diamond coatingsare high-temperature requirements, smalldeposition areas, slow growth rates, roughsurface finish, and most important, high cost.

The primary goal of this chapter is toprovide an overview of the recent developmentsin the production and uses of diamond coatingsfor tribological applications. A brief descriptionof the friction and wear mechanisms of diamondin general and diamond coatings in particular willbe presented first. This will be followed by a

discussion of the tribological performance andapplications of these coatings in cutting tools andother fields. Special emphasis will be placed onthe effects of film microstructure, adhesion, andsurface morphology on tribological Performance.

Synthesis of DiamondCoatings

Deposition Methods

Diamond coatings are produced primarilyby several CVD methods, including laser-assisted, microwave, and hot-filament CVD,plasma-jet, combustion flame, etc.19-22 Typicaldeposition pressures in these methods are102-103 Pa, and the gas mixture consists ofmostly hydrogen and very little methane. In thecase of microwave CVD, 0.5 - 5% methane ismixed with hydrogen gas and introduced intothe deposition reactor. Small amounts of oxygenand nitrogen can also be blended. Typically, a2.45 GHz microwave power source is used toinitiate and maintain a gas discharge plasma inwhich substrates are immersed. At the very highdeposition temperatures of CVD (typically 700to 1000°C), electrons intensely interact with thehydrogen rich gas mixture, and the ionic speciescreated during this interaction lead to theformation of diamond nuclei on the surfaces ofsuitable substrates.

Fig. 2: Surface morphology of a typical CVD diamond film (a) before and (b) after laser polishing.

(a) (b)

Page 382: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond Films in Tribological Applications 383

Hydrogen is extremely important for thesynthesis of high-quality diamond coatings. Itplays - a critical role in the stabilization of thesp3 bond character of the growing diamondsurface and controls the size of the nuclei,dissolution of carbon and generation ofcondensable carbon radicals in the gas phase,abstraction of hydrogen from hydrocarbonsattached to the surfaces, and production of vacantsurface sites where sp3-bonded carbon precursorscan be attached. It etches most of the double or sp2

-bonded carbon from the surface, and thus hindersthe formation of graphitic and/or amorphouscarbons.29 Briefly, the thermodynamics and kineticsof diamond film growth are very complex andinvolve a nonthermal gas-phase equilibrium andcomplex surface reactions. Depending on growthconditions, amorphous carbon, graphite, anddiamond, or a combination of all three may beproduced.

In the case of hot-filament CVD, refractorymetal filaments (e.g., W, Ta, Re, etc.) areelectrically heated to very high temperatures(between 2000 and 2700°C) to produce thenecessary amount of atomic hydrogen that isnecessary for the reasons mentioned above forthe synthesis of diamond. Except for combustionflame CVD, hot-filament CVD is considered thesimplest of all of the methods and also the mostinexpensive. Plasma-jet and laser-assisted CVDmethods rely on a plasma torch or laser to attainthe very high temperatures that are needed to

produce the atomic hydrogen that is essentialfor diamond nucleation and growth. Severalexcellent articles that describe the principles ofeach deposition method have appeared in recentscientific journals and reference books.20,26,44

Microcrystalline Coatings

Most coatings produced by the methodsmentioned above consist of coarse grains, aregenerally rough, and tend to grow continuouslyrougher as the film becomes thicker(see Figure 2a). During the initial stage of filmgrowth, nucleation starts at preferred sites;eventually, independent nuclei form. As thesenuclei grow, they close the gaps between themand merge to form a continuous film. (Figure 3shows initial and intermediate stages of thediamond film growth on a Si wafer). Thereafter,the growth process and growth rates aredominated by nearby neighbors and growthorientation. Grains with more favorable growthorientation will grow the fastest and overshadowgrains with less favorable growth orientation.Grains with less favorable growth orientationwill be buried between the large grains.

As mentioned above, most CVD andplasma-jet methods require large amounts ofatomic hydrogen, which leads to the etching ofthe small diamond grains, preventing continuousrenucleation and allowing only diamond grainsthat have attained a certain critical ratio of bulk

Fig. 3: (a) Initial and (b) intermediate growth morphologies of microwave CVD diamond films.

(a) (b)

Page 383: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Erdemir384

to surface atoms to survive. Consequently, thesecoatings consist primarily of large grains thatcontinue to grow at the expense of smaller grains,with a typical RMS surface roughness value of≈ 10% of the film thickness. The generally roughnature of these coatings has limited theirwidespread application in many engineeringfields, including tribology. Effective polishingtechniques are now available but they increasethe cost of coated work pieces.

Nanocrystalline Coatings

Recently, new methods have beendeveloped for depositing nanocrystallinediamond (NCD) coatings with a very smoothsurface finish. In these methods, a higher than

normal C/H ratio is used in a microwave plasmaor a DC-bias is applied to the substrates toincrease nucleation density.45-47 Although thegrowth rates are somewhat reduced, the surfacefinish of the resultant diamond coatings is muchsmoother (i.e., ≈25 nm, RMS). Deposition ofNCD coatings has also been achieved bymicrowave plasmas that consist primarily of Ar,with either C

60, or CH

4 as the carbon precursor,

in the near absence of atomic hydrogen and thestructural, mechanical, and tribologicalproperties of these films were reported.26,47-50 Thereactor is essentially a modified version ofmicrowave CVD, the details of which are depictedin Figure 4. To introduce C

60 into the reactor, a

quartz transpirator, shown in Figure 4, wasattached. Fullerene-rich soots, containing ≈10%

Fig. 4: Schematic layout of a modified microwave CVD reactor for nanocrystalline diamonddeposition.

FullereneTranspirationSource

2.45 GHz

Plasma

SubstrateHeater Stages

Quartz Frit10 μm Pore Size Platinum

Heating Wire

TubeFurnace Quartz Tubing

CarrlerGas Inlet

FullereneRich Soot

Page 384: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond Films in Tribological Applications 385

C60

, are placed in the transpirator. The soot washeated to 200°C under vacuum for 2 hours toremove residual gases and hydrocarbons. Duringoperation, the tube furnace and transport tubewere heated to between 550 and 600°C tosublime C

60 into the gas phase. Argon gas was

passed through the transpirator to carry the C60

vapor into the plasma. To ensure that C60

wastransported into the chamber, a silicon wafer wasplaced in front of the transport tube whilemaintaining a 14 sccm argon flow. With thereactor pressure at 100 torr and the transpiratorat 600°C, a 1.7-mg brown film was depositedon the wafer in one hour. The film displayedonly strong C

60 infrared absorption features. The

measured Raman spectrum was also attributedto C

60. Based on these measurements, the

transpirator is considered an effective source ofC

60 for diamond growth. The NCD coatings can

also be grown under similar low hydrogencontent conditions with CH

4 instead of C

60 as

the carbon source.The NCD coatings can be grown on various

substrates including single-crystal siliconwafers, sintered SiC, W, WC, Si

3N

4, etc. Initially,

a bias of - 150 V is applied to enhance diamond

nucleation density. Film growth is monitoredin-situ by laser reflectance interferometry todetermine growth rate and to stop growth at thedesired film thickness. The substratetemperature may vary between 700 and 950°C,and the total gas flow rate is ≈100 sccm. Atypical gas composition for NCD diamond filmcan be 97% Ar, 2% H

2 and 1% C

60 or CH

4 at a

total pressure of 1.33 × 104 Pa and a microwavepower of 800 W. Figure 5 shows plan-view SEMand TEM photomicrographs of an NCD filmproduced at Argonne National Laboratory.

The growth mechanism of NCD coatingsdiffers significantly from that of a conventionaldiamond film produced by other CVD methodsdescribed in a series of recent papers.Specifically, extraction of a carbon dimer (C

2)

from C60

and CH4 molecules with subsequent

insertion into the diamond surface has recentlybeen proposed for the growth of these coatings.47,51-

53 C2 dimers, the major diamond growth species,

are inserted directly into the diamond surface.The resultant coatings are phase-pure NCD, withan average grain size of ≈15 nm, as can be seenin plane-view and cross-sectional TEMphotomicrographs in Figures 5a and b. The

Fig. 5: Plan view (a) SEM and (b) TEM micrographs of nanocrystalline diamond film.

(a) (b)

Page 385: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Erdemir386

carbon dimer growth mechanism is unique in thatit is capable of producing a continuous diamondcoating that can be as little as 30-60 nm thick.

Polishing of Rough DiamondCoatings

The high-quality microcrystalline diamondcoatings are rough and often nonuniform inthickness or slightly bowed because of internalstresses and difference in deposition rate fromthe edges to the center of the samples. Thegenerally rough surface finish of these coatingsprecludes their immediate use for mostmachining and wear applications. When usedin sliding-wear applications, such rough coatingscause high friction and very high wear losses onmating surfaces.32,33,54 The rough diamondcoatings can be polished by laser beams,mechanical lapping with fine diamond powders,ion-beam or plasma etching, andthermomechanical polishing with hot iron ornickel plates.34,35,55,56 Mechanical lapping andpolishing with hot iron plates, the most widelyused methods, allow polishing of large areas.Depending on the polishing method, one canachieve mirror-finish surfaces with an RMSsurface roughness of 10 nm or less. Figure 2bshows the surface morphology of a diamond filmbefore and after laser polishing. The polishedcoatings can provide friction coefficientscomparable to that of natural diamond.36-38,55

However, the polishing processes are tedious,time-consuming, and, in the case of complexgeometries, impractical. Depending on thedesired film thickness or the degree of originalroughness, it may be necessary to remove largeamounts of material before a smooth surface isobtained.

Tribology of DiamondCoatings

Despite high interest in using diamondcoatings for diverse tribological applications,their widespread utilization for these purposeshas not yet met expectations. Until now, onlycarbide and certain ceramic tools have been

coated successfully with diamond and madeavailable for commercial use. Althoughprototypes of other tribological parts (such asmechanical seals) have also been prepared withdiamond coatings, their large-scale utilizationhas not yet been realized. Among the reasonsfor this are rough surface finish, poor adhesion,oxidation when used at elevated temperatures,high fabrication cost, limitations on substratematerials, and most important, insufficientreliability/repeatability in actual applications.They cannot be used to machine iron or iron-base materials, which constitute the largestsegment of industrial machining. Diamond canonly be deposited on select substrates such as W,Mo, WC, Si, SiC, and Si

3N

4. Also, they cannot be

produced on the surfaces of iron-base alloys (suchas tool steels), which are used the most in tribology.

As elaborated above, conventional diamondcoatings are generally rough and composed oflarge grains. Depending on depositionconditions, these grains can have <111> or<100> type crystallographic growth orientations.Figure 6 shows plan-view SEM photo-micrographs of two films with <111> and <100>growth orientation. These films were grown ina H

2/CH

4 plasma. Figure 7 shows the friction

coefficients of the two coatings shown in Figure6 as a function of time. After an initial run-inperiod, the friction coefficients of both coatingsdecrease. The friction coefficient of film with a<100> growth orientation stabilizesat ≈ 0.1, whereas that of the film with a <111 >growth orientation remains high and unsteadybut continues to decrease steadily duringsuccessive sliding passes.50

The high friction coefficients of roughdiamond coatings with <111> orientation canbe attributed to the abrasive cutting andploughing effects of sharp surface asperities onthe softer counterface pins. If a favorable <100>growth orientation is present, such coatings canalso afford low friction coefficients to slidingsurfaces, despite relatively higher measuredsurface roughness. Previous studies alsodemonstrated a close correlation between highersurface roughness and greater friction.32,33,50,54,57-60

When polished or NCD diamond coatings are usedin sliding-contact experiments, very low frictioncoefficients are also attained (Figure 8).36,37,48-50,57

Page 386: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond Films in Tribological Applications 387

In general, previous studies confirmed thenotion that regardless of grain size, diamondcoatings with a smooth surface finish providevery low friction coefficients to mating surfaces.Figure 9 illustrates the close relationshipbetween surface roughness and frictioncoefficients of diamond films.

Mechanistically, the low-friction nature ofcleaved diamond and/or smooth diamond

coatings has long been attributed to the highlypassive nature of their sliding surfaces.61-64

Specifically, it has been postulated that gaseousadsorbates such as hydrogen, oxygen, or watervapor can effectively passivate the danglingsurface bonds of diamond (as illustrated inFigure 10, which was taken from Ref 65). Whenthe dangling bonds become highly passive, theadhesion component of friction is diminished.

Fig. 6: Plane-view SEM photomicrographs of diamond films with (a) <111> and (b) <100> growthorientations.

(a) (b)

Fig. 7: Effect of crystallographic growth orientation on friction performance of CVD diamondfilms.

0 20.000 40.000 60.000 80.000 100.000

Time (s)

0.4

0.3

0.2

0.1

0

Fric

tion

Coe

ffici

ent

Page 387: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Erdemir388

0 500 1000 1500 2000

Time (s)

0.8

0.7

0.6

0.5

0.4

0.3

0.2

0.1

0

Nanocrystalline Diamond FilmLaser Polished Diamond Film

Fric

tion

Coe

ffici

ent

Fig. 8: Friction coefficients of nanocrystalline and laser-polished diamond film.

Fig. 9: Correlation between friction coefficient and surface roughness of diamond films.

0 100 200 300 400 500 600

RMS Surface Roughness (nm)

0.5

0.4

0.3

0.2

0.1

0

Natural Diamond

Polished or Nanocrystalline Diamond

Fric

tion

Coe

ffici

ent

Recent fundamental studies have showed that,when adsorbed gases are removed from thesliding surfaces of diamond, the frictioncoefficient increases rapidly, because the

dangling surface bonds are reactivated and areavailable to form strong bonds across the slidingcontact interface.66 Conversely, if the surface ofdiamond is exposed to air, the friction coefficient

Page 388: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond Films in Tribological Applications 389

Fig. 10: Schematic representation of surface dangling bonds of diamond and passivation byhydrogen.65

C C CC

C C

C

C C

Dangling Bond Orbital

Free Electron

ShearPlane

drops precipitously, mainly because of therepassivation of dangling surface bonds.

Apart from physical roughness andchemical passivation effects, phasetransformation or structural changes can alsoplay a major role in the friction and wearperformance of diamond coatings.67 The extentof such changes may be dominated byenvironmental species or by ambienttemperature.68,69

Phase transformation most often is the resultof extreme contact pressures and high frictionalheating at the local asperity levels. Real contactoccurs first between these asperities and theirtips can either fracture or undergo phasetransformation because of the extremepressures and high frictional heating.69-71

Thermodynamically, graphite is the most stableform of carbon whereas diamond is metastable.It is known that when excited thermally or byion bombardment, diamond can transform to agraphitic form.72,73 The graphitic debris particlescan gradually accumulate at the sliding contactinterface and then begin to dominate thelong-term sliding friction and wear performanceof these coatings. Previous research by Erdemiret al. 69 and Jahanmir et al.71, revealed that mostof the debris particles derived from slidingdiamond surfaces exhibited a graphiticmicrostructure. Specifically, Ramanspectroscopy, electron diffraction, and electron-energy loss spectroscopy (EELS), and TEM haveconcurrently confirmed the presence of highlydisordered graphitic debris particles at slidinginterfaces.69

Tribological Applications

Over the years, great strides have been madein the deposition, characterization, and industrialapplications of diamond coatings.74-78 Ourfundamental understanding of the structure,properties and performance of these coatings hasincreased tremendously in recent years and thisunderstanding has been used to design/customize coatings that can meet the morestringent needs of particular applications.Although some applications are still in theexploratory stage and require furtherdevelopment, others have been fully developedand are offered on a commercial scale. Inparticular, cutting tools (i.e., inserts, end mills,microdrills, push pins, tab tools) have beencommercially available from several industrialmanufacturers.18,21,23,78-84 These coated toolsprovide much improved performance duringmetal- cutting operations by allowing high-speedmachining at increased feed rates. Some of theadvantages that diamond provides in theseapplications are extreme hardness and wearresistance; good fatigue strength; high chemicalinertness; excellent resistance to abrasion,erosion, and corrosion; high thermalconductivity, low friction; and excellentenvironmental compatibility. Currently, marketshare of diamond-coated tools in theseapplications is rather small. It can increasesubstantially if the cost of producing diamondon tool inserts is further reduced. Other potentialapplications for diamond coatings are

Page 389: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Erdemir390

mechanical seals, sliding bearings,microclectromechanical systems (MEMS), wire-drawing dies, and various wear parts used againsterosion and abrasion (e.g., jet nozzles).

Machining

For machining purposes, diamond has beenused in three distinct forms. One form is basedon the use of synthetic diamond powdersproduced by HPHT methods. Specifically,diamond powders are first sintered into the shapeof a tool tip and then brazed on the cutting edgesof a tool insert. A second form is based on thedeposition of a relatively thick(i.e., 0.5-1mm thick) diamond film on an Siwafer from which small bits are laser-cut into adesired shape. Subsequently, the Si substrate isetched out and cut diamond bits are recovered.As a last step, the free-standing diamond bitsare bonded or brazed onto the cutting edges oftool inserts or end mills. This technologycompetes well with the traditional productsprepared by high- pressure sintering of syntheticdiamond powders produced by the HPHTmethod. The third form is directly deposited oncutting tools by various methods (e.g., plasma-jet, hot-filament CVD, etc.). Figure 11 showsthe morphology of the cutting edge of adiamond-coated tool insert.

CVD diamond coated tools perform as wellas or better than the PCD diamonds in cuttingand turning operations. However, edge chippingor deterioration due to easy cleavage of highlyoriented needlelike diamond grains in CVDdiamond may occur and limit the performanceof the coated tools. Another fundamentaldifference between brazed and coated diamondtool bits is that a coated tool can be tailor-made tomeet the specific machining needs of anapplication, whereas the brazed bits come in a strictform and cover only the areas of the sharp tips ofinserts. In the case of coated tool bits, the shape ofa base carbide insert can be prepared in such a waythat it will allow better chip handling and breakingcapability than very small brazeable diamond bits.The whole functional surface is coated withdiamond and it can be prepared in any style orgeometry to provide better efficiency and toovercome limitations on cut depth which isalways a problem with brazed tool bits.

Diamond-coated tools are primarily used inthe machining of nonferrous metals, alloys, andcomposite materials that are inherently verydifficult to cut or machine. The range ofmaterials that are suitable for machining bydiamond-coated tools include aluminum and itsalloys (in particular high-silicon aluminumalloys); magnesium and its alloys; copper, lead,and manganese alloys; graphite; carbon; plastics;

Fig. 11: Morphology of cutting edge of diamond-coated tool insert.

Page 390: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond Films in Tribological Applications 391

fiberglass composites; carbon-carboncomposites; metal-matrix composites; epoxyresins; green ceramic; fiber-reinforced plastics;concrete; and tools for various mining and rockdrilling operations; etc.84-89 Another keyapplication for diamond coatings iswoodworking tools. However, diamond-coatedtools cannot be used for machining of ferrousalloys and the alloys of groups IVa, Va, VIa, VIIa,and VIIIa of the Periodic Table. Diamond canchemically react and/or dissolve and rapidlywears out in these materials at the high-temperatures that are generated duringmachining.

Diamond has been the material of choicefor machining of high silicon containingaluminum alloys for automotive applications. Inparticular, hyper-eutectic aluminum-siliconalloys are increasingly being used in thisindustrial sector, mainly because they arelightweight (thus reducing overall weight of thecar and hence improving fuel economy) andrelatively strong and resistant to wear.90-96 Themajor applications for aluminum silicon alloysinclude pistons, engine blocks and heads, wheelsand chassis, and some transmission parts. Theincreased use of lightweight materials in theautomotive sector is likely to continue; hence,the need for diamond-coated tools will increase.

The most important factor governing thesuccess of a diamond-coated tool insert in cuttingoperations is strong bonding between thediamond coating and the substrate insert. Ifbonding is not intimate and strong, coatings areeasily removed from the edges, and thus theylose their cutting performance and effectiveness.In fact, premature delamination of the diamondfilm in early trials represented the most commonmode of tool failure. Residual stresses areinherent in all CVD-diamond coatings, and thesestresses may significantly affect the film/substrate adhesion.97-98 Diamond coatings areoften deposited at high temperatures and a largemismatch in thermomechanical propertiesbetween the diamond coating and the underlyingsubstrate results in large compressive stresses.The presence of a high stress in the coating canlead to various undesirable failure modes thatcan cause the film to delaminate, crack, or blisterand thus destroy the entire structure. Other

factors, such as film thickness, film roughness,substrate preparation and grain size, also affectfilm/substrate adhesion, and they must beconsidered with the residual stresses wheneverthe quality of a diamond coating is evaluated.Two other problems may occur when diamondis used in machining operations. One problemis oxidation. The other problem is that, despiteits extreme hardness, diamond is brittle and canfracture, especially when forced in the directionof relatively weak cleavage planes.

Diamond coatings are mostly applied toWC-Co-based cemented carbide tool inserts,because these tools exhibit excellent toughness,hardness and high-temperature durability.Cobolt is mainly used as a binder and it alsocontrols the toughness of the materials. Inaddition to strict process control duringdeposition, the selection and pretreatment of thesubstrate materials are extremely important forachieving strong adhesion between a diamondcoating and the substrate material. In particular,the selection of the correct kind of WC-Comaterial is a must for achieving strong bondingand hence long wear life. In principle, lower Cocontent (< 5%) in cemented carbide is highlydesirable, because Co has a detrimental effecton the adhesion of diamond coatings to carbideinserts. Due to its high mobility and vaporpressure at high temperatures, Co tends tointerfere with the nucleation and growthprocesses. Specifically, it plays a catalytic rolein the formation of nondiamond phases duringthe early stages of film growth. It also slowsdown the nucleation process and reducesnucleation density early on. Interfaces with ahigh proportion of nondiamond phases and lownucleation density suffer from poor adhesion.Too much Co can also increase the difference inthermal expansion coefficient between diamondand substrate; hence, during cooling, very highcompressive stresses build up at the interfaceregion. When these stresses are combined withthe stresses associated with cutting action,premature adhesive failure occurs at or near thecutting edges. Briefly, it is important to usecarbide substrates with very low Co content orto etch the Co out completely from the nearsurface, otherwise the coatings will not stick tothe tools.

Page 391: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Erdemir392

Because of the detrimental effect of Co,composition of the near surface of cementedcarbides is controlled very closely by usingvarious methods. Drills that are to be coated areprepared by methods that have been establishedin various studies as the most effective forcleaning them and removing surface Co.99-102 Ifthe surface Co is not removed, the coatingprocess produces complex Co carbides ratherthan diamond on the surface of the tool. BecauseCo is the binder that holds the tool’s WC grainstogether, the depth of the Co removal must becontrolled with great care. If too much Co isremoved from the surface, the tool will becomeweakened and its integrity near the surface willbe hurt.

Several methods are used by industry forthe removal of surface Co from tool inserts. Mostof the methods involve selective etching of Coby chemical means. Some of the chemicals thathave been proved to be effective in etching Coare HNO

2, HCl, and CH

3COOH. In one case,

tool inserts are dipped in a solution of HNO3

and water (mixed 1:1 by volume) andultrasonically agitated for 15 minutes. They werethen rinsed and ultrasonically cleaned inultrapure water for 5 minutes. In another case,researchers heat-treated WC-CO substrates atvery high temperatures for an extended periodof time in a protective environment. Heattreatment causes grain growth in WC and henceroughens the surface, and it evaporates Co andthus reduces the amount of Co at or near thesurface. Increased surface roughness providesbetter mechanical interlocking between diamondand WC grains, whereas the absence of Coinsures higher nucleation density and betteradhesive bonding. Formation of stable Coborides and silicides that can endure the highdeposition temperatures of diamond has alsobeen used in the past to prevent Co-associatedproblems.103,104

Various bond layers (i.e., W, Si, SiC, andSi

3N

4) have also been tried on tool inserts to

achieve strong bonding. The effectiveness ofbond layers is very dependent on the exactcomposition and thickness of the bond layermaterial. Researchers have tried to replace Cowith Cu in an electrochemical cell and reported

stronger bonding between diamond and Cu-treated tool surfaces.85 A few other procedureshave been developed by industry to achievestrong adhesion, but inasmuch as they areconsidered highly proprietary, very little isknown about these procedures. Published casestudies indicate that, depending on the materialthat is to be cut and cutting conditions, CVDdiamond-coated tools can improve tool life from25% to more than a factor of 40. Greaterimprovements in tool life are reported when thetools are used to cut aluminum-silicon alloys,green ceramics, and fiber-reinforced composites.Figure 12 shows the performance of uncoatedand CVD diamond-coated carbide inserts whilemachining an A1Si alloy for a truck wheelapplication.

When the performance and price of CVDtools compare favorably with those of PCD tools,CVD tools may displace PCD tools in someapplications. Woodworking tools representanother major market segment for diamondcoatings. Tungsten carbide blades alreadycomprise a large part of the woodworking toolmarket. CVD-diamond-coated tools have yet tobe used in woodworking and, thus, they offeran excellent business opportunity.

Mechanical Seals

In addition to cutting tools, seals representthe next best application possibility for CVDdiamonds. The mechanical seals market is verylarge. Over three million higher-end pumps relyon the tribological performance of SiC seals,which are ideal substrates for diamond filmgrowth. In fact, because of its extreme hardnessand low coefficient of friction, diamond is anideal candidate for seal surfaces of rotatingshafts. Improper use or leakage in mechanicalpump systems can be very costly and causeenvironmental disasters. Total energy losses dueto high friction and wear are estimated to be onthe order of 1010 kwh/year, which translates intoroughly $1 billion/year. Also, it is estimated that10-15% of total hazardous emissions are due toleakage or catastrophic failure of mechanicalseals. If properly applied, diamond coatings canextend the useful life of these components and

Page 392: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond Films in Tribological Applications 393

also reduce energy losses because of the verylow friction they afford to the sliding surfacesof seals. Early studies by Hollman et al.demonstrated that smooth diamond films canlead to substantial reductions in frictional torqueand wear rates of shaft seals.45,106

Rough diamond coatings cannot be used inseal applications. One of the scaling faces(inserts) is mostly made out a soft carbon-graphite composite which wears out ratherquickly when rubbed against a rough andsuperhard. Hard SiC inserts may also be used,but are much more expensive and when rubbedagainst rough diamond, suffer major wear losses.Polished or smooth, nanocrystalline diamondcoatings will be more desirable for sealapplications. Coated seals would be especiallyattractive in applications that require chemicalinertness (especially in environments thatinvolve concentrated acids or bases), pump gritslurries that cause rapid erosion in conventionalseals, and in applications where a liquid lubricantis not permissible. Figure 15 shows a SiC sealcoated with a nanocrystalline diamond film.

Figure 13 illustrates the effectiveness of ananocrystalline diamond-coated carbide insertin preventing edge wear and loss of sharpness

after machining a high-Si-containing AI alloy.CVD-diamond-coated tools were proved to beas good as or better than PCD tool inserts duringturning of A1Si alloys and graphite. Figure 14shows the tool life of CVD diamond incomparison to PCD- and TiN-coated carbideinserts during rough turning of graphite.105

Diamond-coated tools have been availablecommercially for some time in manyindustrialized countries. Market share of coatedtools is expected to increase steadily becauseprices are declining while performance andreliability are improving. Improved quality andreliability will certainly make diamond-coatedtools last longer, cost less, and increaseproductivity.

Several companies are engaged in theproduction of CVD-diamond-coated tool inserts.DeBeers, Mitsubishi, Nachi-Fujikoshi, Norton,Kennametal, Sandvik, and Sumitomo are themajor firms. Kennametal and Sandvik providediamond-coated tools on a commercial basis.Based on the input of several tool insertmanufacturers, the estimated world market fordiamond-coated inserts is $10 to 20 million peryear. As discussed above, CVD- diamond-coatedinserts are primarily targeted for machining

Fig. 12: Cutting performance of uncoated and CVD-diamond-coated insert while machining castaluminum alloy that contained 7% Si (Cutting conditions: speed, 150-2500 m/min, depthof cut, 0.5-3 mm; feed rate, 0.25-0.8 mm/rev).89

160

140

120

100

80

60

40

20

0Uncoated Insert CVD Diamond Coated

Insert

Num

ber

of W

orkp

iece

s pe

r E

dge

Page 393: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Erdemir394

Fig. 13: Extent of wear damage on (a) uncoated and (b) nanocrystalline diamond coated toolinserts after machining of hypereutectic aluminum-silicon alloy.

(a) (b)

Fig. 14: Cutting performance of uncoated, PCD and CVD-diamond-coated tool inserts while millinggraphite.105

400

300

200

100

0

Tool

Pat

h [m

]

K10 Cemented PCD CVD-Diamond Carbide Coated K10

Fig. 15: Nanocrystalline-diamond-coated SiC face seal.

Page 394: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond Films in Tribological Applications 395

applications that involve high silicon aluminumalloys. The projected growth of the aluminumuses in automobiles indicates that the growth ofthe diamond-coated tool insert market will besignificant.

Other TribologicalApplications

Microelectromechanical systems (MEMS)represent a new class of moving mechanicalassemblies that can be used for a wide range ofapplications, including sensors, actuators, high-precision positioning devices, etc. MEMSdevices such as gear assemblies and micromotorshave been largely fabricated by Simicromachining technology. However, Siexhibits very poor mechanical and tribologicalproperties. When these microdevices used in avery high speed application, they suffer fromunacceptably high friction and wear losses.Consequently, they are unsuitable forapplications that use high speeds and realisticloads. Researchers have developed alternativefabrication methods that can allow productionof MEMS devices from SiO2, Si3N4, or SiC-typematerials. However, the tribological performanceof these materials is also very poor; hence, theymay not function well in a dynamic MEMSapplication.

Because of their excellent properties,researchers have recently been contemplating theuse of diamond coatings for MEMSapplications.107-111 However, some difficultieshave been encountered in fabricating diamondcoatings and/or components with a morphologysuitable for NMMS. The nanocrystallinediamond films discussed earlier appear to bebetter suited because their grain size is very small(i.e., 10-30 nm). With these films, it will bepossible to attain film coverage at very smallthicknesses (as thin as 50 nm) and thus preservethe fine structural features of the MEMS device.Conventional CVD films with 0.5-10-μm grainsmay not completely cover the surface of Si-basedMEMS devices.

Other potential applications for CVD-diamond coatings include, woodworking tools,

tab tools, push pins, high-precision microdrills,surgical blades, wire-drawing dies, and variouswear parts that are used against erosion andabrasion (such as jet nozzles).

Summary and FutureDirections

Over the years, great strides have been madein the deposition and characterization ofdiamond coatings. However, despite highinterest in the use of diamond coatings for a widerange of applications only certain tool insertshave been successfully coated with diamond andmade available for commercial uses. Althoughprototypes of other tribological parts (such asmechanical seals) have also been prepared withdiamond coatings, their large-scale utilizationhas not yet been realized. Some of the reasonsfor the slow progress in the commercializationof diamond coatings are rough surface finish,problems with adhesion, high fabrication cost,and most important, insufficient reliability/repeatability in actual applications. Thisoverview demonstrates that these coatings havemuch to offer for future tribological applications.It is anticipated that the uses of diamond coatingsby industry will increase substantially if andwhen the reliability and quality control issuesare adequately addressed and the unit cost isfurther reduced.

The results of previous studies suggest thatthe friction and wear performance of these filmsare controlled by physical roughness andchemical interaction with the surroundingenvironment. Tribo-oxidation, graphitization,gaseous adsorption/desorption can occur at thesliding contact interfaces of these films andcontrol their friction and wear properties. As withother types of thin solid coatings, strong bondingbetween diamond films and substrate materialsis an important prerequisite for long service lifein most tribological applications. Existing datasuggest that with proper process control andstructural engineering, nanocrystalline diamondcoatings with very smooth surface finishes canbe obtained. These films can afford very lowfriction coefficients to sliding surfaces and areparticularly suitable for mechanical shaft sealsand MEMS applications.

Page 395: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Erdemir396

Diamond coatings afford excellent lifeimprovements to cutting tool inserts that are usedto cut aluminum-silicon alloys and graphite. Thecutting performance of coated-inserts is alsoimpressive when cutting other alloys andcomposite materials. With recent advances inCVD technology, these inserts are expected tolast even longer and cost less than before, andthus increase their market share in the metal-cutting industry. Briefly, the future of diamondcoatings in tribological applications lookspromising, because industrial needs for materialswith unusual properties are constantlyincreasing.

Acknowledgments

This work is supported by the U. S.Department of Energy, under Contract W-31-109-Eng-38. The author thanks his researchcollaborators and students who participated inthe reparation, testing, and characterization ofthe nanocrystalline diamond films discussed inthis chapter.

References

1. C.A. Brookes and E.J. Brookes, Diamondand Related Materials, Vol.1, 1991,pp.13-17.

2. K. Miyoshi, Diamond Films Technology,Vol.8, 1995, pp.153-172.

3. S. Jin and H. Mavoori, Journal of Electron.Materials, Vol.27, 1998, pp.1148-1153.

4. J.E. Field, The Properties of Natural andSynthetic Diamond, Academic Press,London, 1992.

5. L.K. Bigelow and J. De Physique, Vol.3,1993, pp.897-902.

6. A.K. Dua, Materials Science Forum,Vol.246, 1997, pp.91-104.

7. Status and Applications of Diamond andDiamond-like Materials, An EmergingTechnology, National Materials AdvisoryBoard, Washington, DC, 1990.

8. P.W. May, Endeavour, Vol.19, 1995,pp.101-106.

9. W.J.P. Van Enckevort, Journal of HardMaterials, Vol.1, 1990, p.247.

10. P.K. Bachmann, D. Leers, and D.U. Wiechert,Berichte der Bunsengesellschaft fuerPhysikalische Chemie, Vol.95, 1991,pp.1390-1400.

11. H.J. Boving, W. Hanni, M. Maillat,J.P. Dan, and P. Niedermann, MRSProceedings, Vol.383, 1995, pp.297-306.

12. G. Heinrich, T. Groegler, S.M. Rosiwal, andR.F. Singer, Surface Coating Technology,Vol.94-95, 1997, pp.514-520.

13. D. Hayes, Industrial Diamond Review,Vol.58, No.578, 1998, pp.80-81.

14. D. Hayes, Industrial Diamond Review,Vol.54, 1994, p.28.

15. H.P. Bovenkerk, J.B. Bundy, H.T. Hall,H.M. Strong, and R.H. Wentorf, Nature,Vol.184, 1959, p.1094.

16. J.C. Angus, Synthetic Diamond,Emerging CVD Science and Technology,K.E. Spear and J.P. Dismues, eds., JohnWiley & Sons, Inc., New York, 1994,pp.21-39.

17. Y. Muranaka, H. Yamashita, andH. Miyadera, Diamond and RelatedMaterials, Vol.3, 1994, pp.313-318.

18. R.Haubner and B.Lux, InternationalJournal of Refract. Metallurgical HardMaterials, Vol.14, 1996, pp.111-118.

19. R. Haubner and B.Lux, Diamond andRelated Materials, Vol.2, 1993, pp.l277-1294.

20. T.R. Anthony, Proceedings of Workshop onDiamond and Diamond like Carbon Films,F.A. Nichols and D.K. Moores, eds.,Argonne National Laboratory, Argonne,IL, ANL-Conf-9202262, 1992, pp.117-164.

21. J. Karner, M. Pedrazzini, I. Reineck,M.E. Sjostrand, and E. Bergmann,Materials Science and Engineering,Vol.A209, 1996, pp.405-413.

22. J.E. Butler and H. Windischmann, MRSBulletin, Vol.23, 1998, pp.22-27.

23. W.G. Eversole, U.S. Patents 3,030,187, and3,030,188, 1962.

24. B.V. Deryagin and D.B. Fedoseev, Sci. Am.,Vol.233, 1975, pp.102-109.

25. A. Hatta and A. Hiraki, Low PressureSynthetic Diamond, B. Dischler and C.Wild, eds., Springer-Veriag Berlin, Chapter

Page 396: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond Films in Tribological Applications 397

6, 1998, pp.103-117.26. D.M. Gruen, S. Liu, A.R. Krauss, J. Luo,

and X. Pan, Applied Physics Letters, Vol.64,No.12, 1994, pp.1502-1504.

27. N.J. Komplin and R.H. Hauge, LowPressure Synthetic Diamond, B. Dischlerand C. Wild, eds., Springer-Verlag Berlin,Chapter 7, 1998, pp.119-136.

28. R.T. Rozbicki and V.K. Sarin, Thin SolidFilms, Vol.332, 1998, pp.87-92.

29. W. Banholzer, Surface Coatings Technology,Vol.53, 1992, pp.1-12.

30. K. Miyoshi, Diamond Film Technology,Vol.8, 1998, pp.153-172.

31. D.G. Bhat, D.G. Johnson, A.P. Malshe,H. Naseem, W.D. Brown, L.W. Schaper,and C.H. Shen, Diamond and RelatedMaterials, Vol.4, 1995, p.921.

32. I.P. Hayward, Surface Coatings Technology,Vol.49, 1991, pp.554-559.

33. A.K. Gangopadhyay and M.A. Tamor,Wear, Vol.169, 1993, pp.221-229.

34. S.K. Choi, D.Y. Jung, S.Y. Kweon, andS.K. Jung, Thin Solid Films, Vol.279, 1996,pp.110.

35. R. Ramesham and M.F. Rose, Thin SolidFilms, Vol.320, 1998, pp.223-227.

36. A. Erdemir, M. Halter, G.R. Fenske,A. Krauss, D.M. Gruen, S.M. Pimenov, andV.I. Konov, Surface Coating Technology,Vol.94-96, 1997, pp.537-541.

37. B. Buhshan, V.V. Subramanian, A. Malshe,B.K. Gupta, and J. Ruan, Journal of AppliedPhysics, Vol.74, 1993, pp.4178-4185.

38. B.K. Gupta, A. Malshe, B. Bhushan,and V.V. Subramanian, Journal ofTribological, Vol.116, 1994, pp.445-453.

39. M. Lahres and G. Joergensen, SurfaceCoatings Technology, Vol.96, 1997,pp.198-204.

40. S. Chikata, MRS Bulletin, Vol.23, No.9, 1998,p.61.

41. M. Seal, Applications of DiamondFilms and Related Materials, thirdInternational Conference, A. Feldman et al.,NIST Special Publication, Vol.885, 1995,pp.3-12.

42. K. Anda, S. Takehana, S. Yoshida,R. Watanabe, S. Takono, H. Ando, and

F. Shimakura, Surface Coatings Technology,Vol.73, 1995, pp.115-120.

43. K.V. Ravi, Synthetic Diamond, EmergingCVD Science and Technology, K.E. Spearand J.P. Dismukes, eds., ElectrochemicalSociety Monograph, John Wiley and Sons,New York, 1994, pp.419-504.

44. M.A. Cappelli and T.G. Owano, LowPressure Synthetic Diamond, B.Dischierand C.Wild, eds., Springer-Verlag Berlin,Chapter 4, 1998, pp 59-80.

45. P. Holiman, O. Wanstrand, and S. Hogmark,Diamond and Related Materials, Vol.7,1998, pp.1471-1477.

46. D.M. Bhusari, J.R. Yang, T.Y. Wang, S.T.Lin, K.H. Chen, and L.C. Chen, Solid StateComm., Vol.107, 1998, pp.301-305.

47. D.M. Gruen, MRS Bulletin, Vol.23, No.9,1998, pp.32-35.

48. C. Zuiker, A.R. Krauss, D.M. Gruen, X.Pan, J.C. Li, R. Csenesits, A. Erdemir, C.Bindal, and G. Fenske, Thin Solid Films,Vol.270, 1995, pp.154-159.

49. A. Erdemir, G.R. Fenske, and C. Bindal,C. Zuiker, A. Krauss, and D. Gruen,Diamond and Related Materials, Vol.5,1996, pp.923-931.

50. A. Erdemir, C. Bindal, G.R. Fenske,C. Zuiker, R. Csencsits, A.R. Krauss, andD.M. Gruen, Diamond Films Technology,Vol.5, 1996, pp.31-47.

51. D.A. Horner, L.A. Curtiss, and D.M. Gruen,Chemical Physics Letters, Vol.233, 1995,pp.243-248.

52. D.M. Gruen, P.C. Redfern, D.A. Horner,P. Zapol, and L.A. Curtiss, Journal ofPhysics Chemical, Vol.B103, 1999,pp.5459-5467.

53. D.M. Gruen, C.D. Zuiker, A.R. Krauss, andX. Pan, Journal of Vacuum ScienceTechnology, Vol.A13, No.3, 1995, pp.1628-1632.

54. I.P. Hayward, I.L. Singer, and L.E. Seitzman,Wear, Vol.157, 1992, pp.215-227.

55. S.M. Pimenov, A.A. Smolin,E.D. Obraztsova, V.I. Konov, U. Bogli,A. Blatter, E.N. Loubnin, M. Maillat,A. Leijala, and J. Burger, Applied SurfaceScience, Vol.92, 1996, pp.106-114.

56. A.M. Zaitsev, G. Kosaca, B. Richarz,

Page 397: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Erdemir398

V. Raiko, R. Job, T. Fries, and W.R. Fahrner,Diamond and Related Materials, Vol.7,1998, pp.1108-1117.

57. A. Erdemir, G.R. Fenske, A.R. Krauss,D.M. Gruen, T. McCauley, and R.T. Csencsits,Surface Coatings Technology, Vol.121, 1999,pp.565-572.

58. M. Kohzaki, K. Higuchi, S. Noda, andK. Uchida, Journal of Materials Research,Vol.7, 1992, pp.1769-1777.

59. S.J. Bull, P.R. Chalker, C. Johnston, andV. Moore, Surface Coating Technology,Vol.68-69, 1994, pp.603-610.

60. K. Miyoshi, R.L.C. Wu, A. Garscadden,P.N. Barnes, and H.E. Jackson, Journal ofApplied Physics, Vol.74, 1993, pp.4446-4450.

61. F.P. Bowden and J.E. Young, Proceedingsof Royal Society, London, Vol.208, 1951,pp.444-455.

62. F.P. Bowden and A. E. Hanwell,Proceedings of Royal Society, London,Vol.A295, 1966, pp.233-243.

63. S.V. Pepper, Journal of Vacuum Science andTechnology, Vol.20, 1982, pp.643-646.

64. S. Chandrasekar and B. Bhushan, Wear,Vol.153, 1992, pp.79-89.

65. K. Holmberg, Tribological, Vol.12, 1998,pp.33-62.

66. M. Dugger, D.E. Peebles, and L.E. Pope,Surface Science Investigations in Tribology,Experimental Approaches,Y.W. Chung, A.M. Homolo, and G.B.Street, eds., ACS Symposium Series 485,American Chemical Society, WashingtonD.C., 1992, pp.72-102.

67. M.N. Gardos and B.L. Soriano, Journal ofMaterials Research, Vol.5, 1990, p.2599.

68. M.N. Gardos and K.V. Ravi, DiamondFilms Technology, Vol.4, 1994, pp.139-165.

69. A. Erdemir, M. Halter, G.R. Fenske,R. Csencsits, A.R. Krauss, and D.M. Gruen,Triboligical Transactions, Vol.40, 1997,pp.667-675.

70. A. Erdemir and G.R. Fenske, TriboligicalTransactions, Vol.39, 1996, pp.787-794.

71. S. Jahanmir, D.E. Deckman, L.K. Ives,A. Feldman, and E. Farabaugh, Wear,Vol.133, 1989, pp.73-81.

72. E.H. Lee, D.M. Hembree, G.R. Rao, andL.K. Mansur, Physics Review, Vol.48, 1993,pp.15540-15551.

73. E.H. Lee, M.B. Lewis, P.J. Blau, andL.K. Mansur, Journal of MaterialsResearch, Vol.6, 1991, pp.610-617.

74. M. Seal, Applications of Diamond Filmsand Related Materials, Third InternationalConference, A. Feldman et al., eds., NISTSpecial Publication, Vol.885, 1995, pp.3-12.

75. S.J. Bull and A. Mathews, Diamond andRelated Materials, Vol.1, 1992, p.1049.

76. K.V. Ravi, in Synthetic Diamond, EmergingCVD Science and Technology, K.E. Spear,and J.P. Dismukes, eds., ElectrochemicalSociety Monograph, John Wiley and Sons,New York, NY, 1994, pp.419-504.

77. B. Lux and R. Haubner, Diamond as aWear-resistant Coating, Diamond Filmsand Coatings, R.F. Davis, ed., NOYESPublications Park Ridge, New Jersey, 1993,pp.184-243.

78. M. Murakawa, Materials Science Forum,Vol.246, 1997, pp.1-28.

79. M. Murakawa and S. Takeuchi,Surface Coatings Technology, Vol.49, 1991,pp.359-365.

80. K. Kanda, S. Takehana, S. Yoshida,R. Watanabe, S. Takano, H. Ando, andF. Shimakura, Surface Coating Technology,Vol.73, 1995, pp.115-120.

81. J.F. Braza and T.S. Sudarshan, MaterialsScience and Technology, Vol.8, 1992,pp.574-581.

82. R.K. Zalavutdinov, A.E. Gorodetsky,A.P. Zakharov, Y.V. Lakhotkin,V.G. Ralchenko, N.V. Samokhvalov,V.N. Anikin, and A.I. Pjyanov, Dimnondand Related Materials, Vol.7, 1998,pp.1014-1016.

83. B. Lux and R. Haubner, CeramicInternational, Vol.22, 1996, pp.347-351.

84. E. Kubel, Manufacturing Engineering,Vol.120, 1998, pp.44-46.

85. B. Lux and R. Haubner, Low PressureSynthetic Diamond, B. Dischler and C.Wild, eds., Springer-Verlag BerlinHeidelberg, 1998, pp.223-242.

Page 398: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Diamond Films in Tribological Applications 399

86. R. Komanduri and S. Nandyal,International Journal of MechanicalTools Manufacturing, Vol.33, 1993,pp.285-296.

87. A. Inspektor, E.J. Oles, and C.E. Bauer,International Journal of Ref.Metallurgical Hard Materials, Vol.15,1997, pp.49-56.

88. K. Saijo, M. Yagi, K. Shibuki, and S.Takatsu, Proceedings of MaterialsManufacturing, Vol.8, 1993, pp.59-73.

89. J. Karner, M. Pedrazzini, I. Reineck,M.E. Sjostrand, and E. Bergmann,Materials Science and Engineering,Vol.A 209, 1996, pp.405-413.

90. S. Durante, G. Rutelli, and F. Rabezzana,Surface Coatings Technology, Vol.94-95,1997, pp.632-640.

91. F. Deuerler, M. Pies, H. Van den Berg,R. Tabersky, and V. Buck, Physica StatusSolidi (A) Applied Research, Vol.154,1996, pp.403-422.

92. R. Keipke, H. Buchkremer-Hermanns,H. Weiss, and H. Ren, Proceedings ofMaterials Manufacturing, Vol.13, 1998,pp.603-610.

93. H.G. Prengel, W.R. Pfouts, andA.T. Santhanam, Surface CoatingsTechnology, Vol.102, 1998, pp.183-190.

94. C.H. Shen, Key Engineering andMaterials, Vol.138-140, 1998, pp.25-55.

95. V.J. Trava-Airoldi, E.J. Corat, andV. Baranauskas, Key Engineering andMaterials, Vol.138-140, 1998, pp.195-244.

96. C.H. Shen, Surface Coatings Technology,Vol.86-87, 1996, pp.672-677.

97. J.M. Olson and M.J. Dawes, Journal ofMaterials Research, Vol.11, 1996,pp.1765-1775.

98. J. Gunnars and A. Alahelisten, SurfaceCoatings Technology, Vol.80, 1996, pp.303-312.

99. S. Chatterjee, A.G. Edwards, A. Nichols,and C.S. Feigerle, Journal of MaterialsScience, Vol.32, 1997, pp.2827-2833.

100. K.L. Menningen, M.A. Childs, H. Toyoda,

L.W. Anderson, and J.E. Lawler, Journalof Materials Research, Vol.9, 1994,pp.915-920.

101. E. Cappelli, S. Orlando, F. Pinzari, andP. Ascarelli, Proceedings of MRSSymposium, Vol.526, 1998, pp.361-365.

102. H.K. Toenshoff, A. Mohlfeld. C. Gey, andJ. Winkler, Surface Coatings Technology,Vol.108-109, 1998, pp.543-550.

103. E.R. Kupp, W.R. Drawl, and K.E. Spear,Surface Coatings Technology, Vol.68-69,1994, pp.378-383.

104. S. Kubelka, R.Haubner, B.Lux, R.Steiner,G.Stingeder, and M.Grasserbauer,Diamond and Related Materials, Vol.3,1994, pp.1360-1369.

105. T. Leyendecker, O. Lemmer, S. Esser, andM. Frank, Proceedings of ThirdInternational Conference, AppliedDiamond Films and Related Materials,A. Feldman, Y. Tzeng, W.A. Yarhrough,M. Yoshikawa, and M. Murakawa, eds.,NIST SP-885, Washington DC, 1995,pp.183-190.

106. P. Hollman, H. Bjorkman, A. Alahelisten,and S. Hogmark, Surface CoatingsTechnology, Vol.105, 1998, pp.169-174.

107. H. Bjorkman, P. Rangsten, P. Holiman,and K. Hjort, Proceedings of SummerTopical Meeting of the IEEE/LEOS,Monterey, CA, IEEE Piscataway, NJ,1998, pp.77-78.

108. M. Aslam and D. Schulz, Proceedomgs of8th International Conference, SolidState Sensors and Actuators, andEurosensors IX. Part 2, (of 2), 1995,Stockholm, Sweden, IEEE Piscataway NJ,Vol.9, No.2, pp.221-224.

109. M.Y. Mao, T.P. Wang, J.F. Xie, andW.Y. Wang, Proceedings of IEEE MicroElectro Mechanical Systems Conference,Amsterdam, 1995, pp.392-393.

110. R. Ramesham, Thin Solid Films, Vol.340,1999, pp.1-6.

111. M.N. Gardos, Proceedings ofElectrochemical Society, Vol.97, 1998,pp.465-481.

Page 399: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

401

Chapter 12

CVD Films for Electrical Insulation

J.H. ParkMaterials ScientistEnergy Technology DivisionArgonne National LaboratoryArgonne, IL 60439

W.D. ChoProfessorDepartment of Metallurgical EngineeringUniversity of UtahSalt Lake City, UT 84112

Abstract

The requirements, development andfabrication of electrical insulator and corrosionprotection coatings for high-temperature alloysusing thermal CVD coatings have beendemonstrated. This chapter focuses on therequirements and applications of electrical

insulator coatings have been focused onmagnetic cores in power transformers and ondesign of liquid-metal-cooled magnetic fusionreactors (MFRs)

In general electrical insulation coatingsreduce or prevent unwanted flow of electricalcurrent there exist several importanttechnological applications of electricalinsulator coatings when conductors are placed

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p401-419 DOI:10.1361/cvd2001p401

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 400: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho402

within a magnetic field. Induced currents resultwhen the conductors are moving. Magneto-hydrodynamic (MHD) forces and their influenceon thermal hydraulics are major concerns forliquid-metal cooling systems.1-2 Magneticpower transformers contain many thin sheets ofinsulated magnetic core plates.3-5 The electricalsteels used for laminated magnetic cores ofpower-frequency electrical equipment such astransformers, motors, and generators typicallyhave some type of insulator coating to minimizeinterlaminar core loss due to circulating eddycurrents. Pioneering work has been done byLoundermilk and Murphy on electrical steels,4,5

Liu,6 and Park and his collaborators7-10 on thedesign of a liquid-metal cooling system for afirst-wall/blanket in a magnetic fusion reactor(MFR) to describe the technology of insulatingcoatings. However for the latter application,corrosion resistance of structural materials andmagnetohydrodynamic (MHD) forces and theirinfluence on thermal hydraulics are majorconcerns.1,2,7

Requirements

Magnetic Cores

The purpose of magnetic cores intransformers, motors, generators, and otherelectromagnetic devices is to multiply anddirect magnetic flux. To accomplish this taskefficiently, the amount of energy wasted inheating the core must be minimized.3 The lossof energy as heat is called core loss and istypically expressed in watts per unit weight ofcore material. The purpose of insulatingcoatings on electrical steel laminations is tominimize a component of total core loss calledinterlaminar loss. When a primary winding in atransformer is energized with alternating current,an alternating magnetic flux is induced in thecore. This alternating flux induces a voltage inthe secondary winding, thereby accomplishingthe desired transformation of voltage, which iseither an increase or decrease relative to theinput voltage depending on the ratio of thenumber of turns in the primary and secondarywindings. However, the varying magnetic flux

also induces an alternating voltage in the corematerial, which gives rise to circulatingelectrical currents called “eddy” currents. In asolid core, these eddy currents are free tocirculate throughout the entire cross section ofthe core, as shown in Figure 1(a) Resistiveheating of the core by the induced eddy currentsis a major component of total core loss and iscalled “eddy current loss” One way to minimizeeddy current loss is to restrict the path of theeddy currents by using a laminated corestructure composed of thin sheets electricallyinsulated from one another. Figure l(b) shows alaminated transformer core in which the eddycurrent paths are confined to each individuallamination.

This restriction of eddy current paths resultsin a large decrease in eddy current power loss(i2R loss). The ratio of the eddy current loss in alaminated core with n laminations to a solidcore with the same cross sectional area is l/n2

Commercial transformer cores are fabricatedfrom thin sheets of metallic core material to takeadvantage of this effect. The eddy current lossP

e within laminations of a magnetic core is given

by the “classical” eddy current power lossequation

Pe = 3.39 × 10-13 (B•f•t)2 /ρδ W/kg, (1)

where B = magnetic flux density (gauss),f = frequency (Hz), t = lamination thickness (cm),ρ = electrical resistivity, and δ = density of thecore material (g/cm3). It is apparent that eddycurrent loss can be minimized by using thinlaminations with high electrical resistivityElectrical steels are flat-rolled iron-silicon alloysdesigned specifically for use in laminated orwound magnetic core structures. When thelaminations are perfectly insulated from oneanother, eddy currents induced in the core bythe alternating magnetic flux cannot flow fromone lamination to another. In most cases, it isnot practical or necessary to have perfectinsulation between laminations. Typically, thesurface insulation on electrical steels isconsidered adequate if the interlaminar core lossis at most about 1% of the total core loss. Theinterlaminar eddy current loss is P

i (see Eq. 2)

in a transformer core with uniform surfaceresistivity. Electrical systems containing large

Page 401: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Electrical Insulation 403

cores that operate at high flux densities (suchas large power transformers or generators) requiremuch higher interlaminar resistance than doelectrical systems with smaller cores. P

i is

determined by

Pi = 2.54 × 10-13 (B2•f2•t•w2)/rδ W/kg (2)

Where B = induction magnetic flux (gauss), andr = surface resistivity (Ω-cm2 per lamination).Therefore, interlaminar loss decreases withincreasing surface resistivity. Figure 2 showsthe surface resistivity required for t = 0.023 cmtransformer core steel to maintain predictedinterlaminar eddy current power loss, P

i, at

B = 15 kG, and f = 60 Hz.

Magnetohydrodynamic (MHD)Forces in Liquid Metal Flows

If a liquid metal flows perpendicular to themagnetic field direction (Figure 3), a potentialdifference across the flow duct is induced in themetal coolant; this causes a high electricalcurrent flow when the potential difference isshort-circuited by the electrically conductingwalls. The relationship between magnetic field(B), electrical current (I), and mechanical force(F), when the I is flowing perpendicular to B,

results in F, which leads to MHD pressure drop(Δp). It has been shown that even in thinconducting walls, this would lead to asignificant pressure drop. Calculated values ofΔp of 8.6 MPa result if there is a conductingliner of 0.l mm thickness.11,12 A lower limit forthe Δp would be achieved with perfectlyinsulated walls.l3 The product of coatingresistivity (ρ

c) and coating layer thickness (t

c)

should have a minimum value of ρc•t

c³ ≥ 100

Ω.cm2 is a target for the insulator coating in theliquid-metal for magnetic fusion reactors(MFRs). For example, if the coating materialhas a ρ

c value of 106 Ω•cm2, it requires (t

c) of 10-4

cm, ie., 1 μm thickness of insulator coating.Therefore, conceptually, the higher the ρ

c value,

a thinner coating is required. Reed et a1.13

conducted tests to evaluate the MHDperformance of electrical insulator coating thatuses an Na-K eutectic as a liquid metal coolant;the Al

2O

3 insulator coatings on type 304

stainless steel. The present effort is focused onliquid lithium as a coolant. Vanadium andvanadium-base alloys (V-Ti or V-Ti-Cr) areleading candidate materials for structuralapplications.l4 Some studies have concentratedon in-situ formation of AlN in liquid-lithiumenvironments.6-10 Subsequent work addressed

Fig. 1: (a) In a solid core eddy currents are free to circulate throughout the entire cross-sectionof the core and (b) While in a laminated transformer core, the eddy current paths areconfined to each individual lamination.

(b) Eddy Current in Laminated Core

(a) Eddy Current in Solid Core

Page 402: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho404

Fig. 2: Surface resistivity required for t = 0.023 cm transformer core steel to maintain predictedinterlaminar eddy current power loss, Pi at B = 15 kG, and f = 60 Hz. (adapted from Ref. 4)

Fig. 3: Linduced current flows for two different walls and (a) for the non-conducting wall and(b) conducting wall under magnetic flux B.

Sur

face

R (

ohm

-cm

2 /

lam

inat

ion)

Width of Core (inches)

1000

100

10

1

0.10 10 20 30 40 50

Pi (W/Ib) = 0.001 0.002

0.004

0.010.02

(b) Conducting Wall

BB

(a) Nonconducting Wall (insulator coating)

Page 403: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Electrical Insulation 405

in-situ formation of CaO in a liquid-lithiumenvironment because the electrical resistivityof CaO is 10,000 times greater than that of AlN,and because there are many available insulatorcandidates, i.e, CaO, Y

2O

3, BeO, MgO,

MgA12O

4,Y

3A1

2O

12 etc.15-17 CaO has high

thermodynamic stability in liquid lithium.6-9,15

The coatings can be deposited on variousshapes, such as the insides of tubes or irregularcontours and angles, to prevent adverse currentsgenerated by MHD forces from passing throughthe walls.1,2 The coatings could also improvegeneral corrosion resistance and act as adiffusion barrier for hydrogen isotopes, i.e.,deuterium and tritium.2,18,19

Compatibility ScreeningTests on ElectricallyInsulating Ceramics

As a first step, we need a compatibilityscreening test for the development of insulatorcoatings Results of compatibility tests onelectrically insulating ceramic candidates inliquid Li are shown in Table 1.7 In general,compatibility of ceramic insulators with liquidLi follows the criterion for thermodynamicstability. Although some ceramic materials areconsidered to be thermodynamically stablematerials, e.g., sintered AlN and SiC (applied

by chemical vapor deposition), they were notcompatible with liquid Li in screening tests.We conclude that this behavior is caused bygrain boundaries in AlN and SiC that areenriched in O, Si, C, or impurities that reactwith liquid Li. An example is sintered AlN.Electron energy dispersive-spectroscopy (EDS)analysis indicated that O contamination waspredominant in AlN. Oxygen may form A1

2O

3

in the grain-boundary area, which is not stablein liquid Li. A1

2O

3, if present at grain

boundaries, reacts with Li and thereby weakensthe mechanical strength of AlN. As a result,O-enriched AlN appears to be brittle afterexposure in liquid Li. When the O is getteredby the Y/Y

2O

3 phase present in AlN, sintered

AlN remains intact after exposure to liquid Li.This presumably indicates that both AlN andY

2O

3 are compatible with Li, as indicated in

Table 1. Based on results of the liquid-Licompatibility tests, we proceeded with work toscreen in-situ forming phases, which are thenconverted to ceramic insulators in liquid-Lienvironments. Figure 4 is a schematic diagramof CaO film growth in the liquid-Li (containingCa) environment. Figure 5 shows SEM views ofCaO film growth on the V-5 Cr-5Ti in the liquid-Li-5 at.% Ca thin film at 420°C and thick filmgrown at 800°C. The diffusion behavior of agrowing CaO film could be predicted by thefollowing trends:

Fig. 4: Schematic diagram of CaO film growth in liquid-Li (Ca-bearing) environment.

V-alloy

O

Ca

Liq-Li (Ca)

Page 404: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho406

Table 1. Liquid-Li Compatibility of Insulator Materials

• O transport in V-alloy is fast.• Ca transport is faster than O in CaO film.20

• Concentration of Ca+2 is much higher thanLi+l because Li+1 is thermodynamicallyunstable when Ca metal is present.

• Ca+2 ion is much smaller than Li atom.• Some possibility of isolated Li

incorporation probably as atomic form ingrain boundaries, triple points, or defectedzone in CaO film.

• Possibility of Li+l presence near theV-alloy/CaO film interface area due tooxygen potential is highest at interfaceHowever, in practical applications, there

are many concerns, and CaO should beconsidered as an in-situ healing option whenthe film is degraded during operation.Therefore, practical insulator coatings appliedby high-temperature CVD are the primaryoption.

Material Composition (Form) Compatibility/ Test Methoda

Observation

SiC SiC (CVD) SiC (Si-enriched) SiC (Hot-pressed)

1/1 1/1 3/1

Reacted Reacted heavily Intact

Si3N4 Si3N4 (Hot-pressed) Si-N-O (IBAD-coating)

0/1

0/1

Specimen not Recovered Coating layer totally dissolved

Quartz SiO2 (Quartz tube) 0/1 and 2 Specimen not recovered

Rutile Y2O3 (Single crystal) 0/1 Specimen not recovered

Yttria Y2O3 (Sintered) Y2O3 (Hot-pressed)

3/1 3/1

Intact Intact

YSZ ZrO2-10% Y2O3

(Sintered) (Single crystal)

1/1 1/1

Reacted heavily Reacted heaviy

Chromia Cr2O3 (Hot-pressed) 0/1 Specimen not recovered

A1N A1N A1Nb (1-3% Y incorporated) A1N (Sintered-oxygen enriched) A1 (V) N or A1N A1-O-C-N

3/2 3/1 2/1 3/2

Intact7 Intact Reacted A1N, Al (V) N, or Al-O-C-N formed in situ on V-5 Cr-5 Ti in Li

Page 405: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Electrical Insulation 407

Material Composition (Form) Compatibility/ Test Methoda

Observation

BN BN (Hexagonal) BN (IBAD-coating)

0/1 0/1

Specimen not recovered Coating totally dissolved

Si Si (Single crystal) 0/1 Specimen not recovered

Al2O3 Al2O3 (Ad 998-sintered)

0/1 Specimen not recovered

TiNc dTiN (Pure & deped with Si, Mg, Al and O)

3/2 TiN formed on Ti in Li at >650°C

CaO CaO CaO or Ca(V)O

3/2

3/2

700°C CaO formed on V-15 Cr-5Tie 416°C CaO or Ca(V)O formed in-situ on V-5 Cr-Ti in Li

MgO MgO 3/2 Intact7 Intact7 416°C MgO or Mg(V)O formed in-situ on V-5Cr-5Ti in Li

BeO BeO BeO BeO or Be(V)O

3/2 3/2

3/1 and 2

Intact7 Intact7

416°C BeO or Be(V) O formed in-situ on V-5Cr-5Ti in Li

Y-A1 garnet

Y3Al2O12 3/2 Intact7

Table 1. Continued.

aCompatibility with liquid-Li: 0 and 3 indicate not compatible and compatible, respectively,Test method; 1 indicates a test in flowing Li at 450°C for 315 to 617 hrs. 2 denotes capsuletests at 400°C for 100 hrs.bICP-Spectrochemical analysis of AlN indicated impurity levels (in wt.%); As<0.05, Ba 0.005,Ba 0.005, Be < 0.001, Ca 0.54, Co <0.02, Cr <0.002, Cu 0.006, Fe 0.015, Y 3.77, Y

2O

3 4.79,

Mg 0.004, Mn <0.001, Ni 0.002, Pb <0.02, Sb <0.05, Sn <0.02, Sr <0.001, Ti 0.022, V<0.002, Zn <0.002, Zr <0.002, and Te <0.05. Before and after the test, only the Li wasanalyzed again, Li contents were <0.01 and 6.85, respectively.cTiN is an electrical conductor.dType 304/316 stainless steel container for Li + N.eLi + Ca used for this study.

Page 406: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho408

CaO Corrosion Behavior inLiquid Li

Based on the screening test shown inTable 1, CaO forms in-situ in liquid LiTherefore, CaO has been used as an insulatorcoating. However, we would like to considerpossible corrosion in the liquid-Li environment,such as:O + Ca → CaO (3)(in V-4Cr-4Ti) (in liquid Li) (surface of V-4Cr-Ti)

2 Li (liq.)

+ CaO → Li2O + Ca (4)

Reaction 4 has a free energy change, ΔG, of+13 kcal/mole at 400°C, indicating that CaOshould not be severely corroded by Li. Thiscalculation, however, using the free energy offormation for solid Li

2O and CaO, does not

represent the chemical reactions as they occurin flowing Li. Consequently, two additionalpotential corrosion mechanisms are consideredhere. Liquid Li is known to dissolve both Caand O. The solubility of O in Li is ≈800 wppm

Fig. 5: (a) SEM Views of CaO film growth on V-5Cr-5Ti in liquid-Li-5at. %Ca thin film at 420°Cand (b) Thick film at 800°C for various depths.

(a)

Thick CaO Coating(Outer Layer)

Dense CaO Coating(Inner Layer)

CaO Middle Layer Spalled Area(Ca-O in Grain Boundary)

(b)

Page 407: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Electrical Insulation 409

(≈ 350 appm) at 400°C, and the solubility of Cain Li is 0.6 atomic fraction at 405°C.Consequently, the potential corrosion reactionshould be written as

)Li()Li(Li)s( OCaCaO.)Liq(

+⎯⎯ →⎯ (5)

where Ca(Li)

and O(Li)

, represent the speciesdissolved in liquid Li. The free-energy changefor this reaction at 400°C can be calculated onthe basis of the excess partial molar free energiesof Ca and O in liquid Li, which have beenmeasured, i.e., ΔG

f(400°C) kcal/g-atom. Ca

(Li)

= 9.4, O(Li)

= 5.6 (estimated) and CaO(s)

= 135.Therefore, the total ΔG for Reaction 3 is +120kcal/mole. Even if the excess partial molar freeenergies of Ca

(Li) and O

(Li) are overestimated,

the formation free energy of CaO is so high thatthe physical phenomena for the molar quantitiesfor both assumed values are quite conservativeBased upon the relationship

ΔG = -2303 RT (log k) or log k

= -ΔG / (2.303•RT)

K ≈ 1 × 10-39 = [Ca(Li)

]•[O(Li)

]

/[(CaO)(Li)

] ≈ [Ca(Li)

] ≈ [Ca(Li)

}•[O (Li)

]

Hence, if the concentration of [O(Li)

] is keptat 1 ppb in order to ensure replenishment of theCaO layer, then the normal concentration of[Ca

(Li)] ≈ 70 ppm; even a value in the ppb range

will be adequate. Therefore, in-situ formed CaOcould be chemically stable.

Formation Mechanism ofCaO Reactive Coatings

As we see in Table 1, CaO can be fabricatedas a corrosion product between residual oxygenin metals, such as V-Cr-Ti alloys and dissolvedCa in Li. It is probable that CaO is intact inliquid Li. As we see in the screening test resultsin Table 1. O is mostly an interstitial impurity(especially, in BCC metals like V-alloys). Whenit is exposed to liquid-Li-bearing Ca, the

corrosion product CaO could form on themetallic surface. Based on the screening process,we recognize that the O reacts with Ca in Li.When the V-substrate contains a slightly higherO concentration in the near-surface, CaO isproduced If the surface oxidized as oxide films,calcium vanadate films could be fabricated aswell. Formation of CaO at the V/CaO interfaceis expected for good adhesion propertiesbecause we can assume that the bonding naturefollows the reaction scheme. In this case, if thedifference in Gibbs free energy of reactionbecomes negative, the lowest ΔG°(T) will havethe best chance to form reactive CVD coatings.However, the original state of the substrate canvary among metallic or intermetallic substrates,as well as among oxides, nitrides. O-N, orcarbides etc. If the insulator layer grows at theinterface of the metal/film, for example, weconsider that the Ca+2 cations move inward viathe CaO coating layer and that this layer couldachieve greater adhesion, as shown above.However, in general when the film grows byoutward diffusion through the coating layer, themetal ions move from the substrate to the scale/environment interfaces, leaving vacancies in thesubstrate. Cations will be generated at the metal/coating interface, and metal atoms may begenerated near a grain boundary or dislocation.In general, the vacancies coagulate near theinterfaces and therefore total bonding strengthdecreases. When the cations have gone, theinterfaces are almost in a floating state in adynamic situation. Based on the reaction/diffusion profile at high temperature, oxideinsulator coatings should form by surfaceoxygen charging initially, followed by oxygen-reactive vapor, (eg., Ca/CaO) that forms anadhesive CaO insulator coating on the metallicsubstrate. The principle of this process is similarto oxidation of metals in oxygen environments,that is, cation and anion sources are exchangedwith each other.l7

Our recent study (Ref. 31) on the internal oxidation of Ag-l.2 at% Mg and Ag-0.25 at% Mg-0.25 at% Ni showed that the clusteringof oxygen active elements, R, with oxygen atoms O, varied with the ratio r = (O/R). The lower amount of R in the alloy has higherr-values Temperature dependent r-values indicated that lower temperatures showed higher r-values. Therefore, we can applythese phenomena in the oxygen-charging step or the CVD process.

Page 408: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho410

Oxygen Charging ofSurfaces of V-Cr-Ti Alloys

The near-surface region of the specimenswas charged with oxygen by heating thespecimens for 2-3 hrs. argon (99.999% pure,with 5-10 ppm oxygen), or in a 1% CO-CO

2 gas

mixture or air to form vanadium oxide at625-650°C.21-23 Figure 6 shows thethermodynamic stability of solid-state oxidephases as a function of equilibrium partialpressure of oxygen and temperature, that canform when V-Cr-Ti alloys with liquid Li, andCa are exposed to oxygen-containingenvironments. It is well known that O can beincorporated into the interstitial sublattice inbody-centered cubic (bcc) V and its alloys.Thus, if O or N is present in the alloy (as areactant), these elements may have a higheraffinity for solutes such as Ca, Y or Mg dissolvedin Li than do the alloy elements. In the bcclattice of V-5 Cr-5 Ti, O can occupy interstitialsites within the lattice up to several atomic

percent. Oxygen charging of V-5Cr-5Ti wasconducted for up to 48 hrs. at temperaturesbetween 500 and 1030°C in flowing high-purityAr and N

2 (99.999%) that contained O as an

impurity. Diffusion coefficients of O and N in Vindicate that O diffuses faster than N by 10 to1800.24 25 This property is very important for in-situ formation of CaO. Oxygen charging in anitrogen atmosphere lowers the diffusion rate(≈5%) because of N participation. Based onmetallographic information and weight gain,we can control the depth of the O-charged layerby exposure temperature and time in flowingAr or N

2 atmospheres. Oxygen concentration in

the near-surface layer was calculated from theweight gain, surface area, and thickness of thehardened region. It ranged from 250 ppm (asreceived) to ≈2-3%, depending on positionwithin the layer and exposure conditions.Normal oxygen charging was performed in airat 400°C to avoid melting of V

2O

5 (690°C)

during oxidation.21 A blue amorphous layer(≈ 1 μm thick) formed at the surface. Samplescharged with O in high-purity Ar or N

2

Fig. 6: Thermodynamic stability of several possible oxide phases that can form on V-Cr-Tialloys; with Li, and Ca in oxygen-containing environments.

Log

pO2

(atm

)

T°K

-15

-25

-35

-45

-55

-65

-75700 800 900 1000 1100 1200 1300 1400

mp

V2O5

V2O4

VOTi O2

Ti4O7

Ti3O4

Ti2O3

V2O3

Li2O

CaO

Page 409: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Electrical Insulation 411

Fig. 7: CaO-V2O5 phase diagram. (adapted from Ref. 26.)

atmospheres showed the same x-ray spectrabefore and after O charging (except for latticeparameter changes). When we measured ohmicresistance (two-point contact) on the surfacesof heat-treated specimens at room temperature,the specimens charged with O in high-purity Aror N

2 showed the same ohmic values before and

after O charging.*1 The blue oxide that formedin air at 400°C had a resistance of ≈5 Ω, notvery high compared to values for most metaloxides. This oxide is not stable in liquid Li.

Chemical Vapor Depositionof CaO and CalciumVanadate Films

Figure 7 is a phase diagram for the V-Ca-Osystem.26 Figure 8 shows a schematic diagram ofthe CVD apparatus. For cleaning a Type 304 SSchamber was heated to 850°C within ≈1 hr. whilepurging with 99.999% argon and was then cooled.

Vanadium-alloy samples and a calciumsource vessel were then placed near the bottom

and top of the chamber, respectively. Thecalcium source at the top of the furnace remainedat room temperature when the furnace washeated. The vanadium alloy specimens in theseexperiments were either charged with oxygenfor 2-3 hrs. in argon that contained 5-10 ppmoxygen or oxidized in air to form vanadiumoxide. Several specimens were retained toquantify oxygen uptake during the oxygen-charging step. Subsequently, the stainless steelchamber was evacuated with a vacuum pump,and the calcium reservoir was lowered into thehot zone of the furnace to evaporate calcium,which was deposited on and reacted with theoxygen-charged and oxidized vanadium alloysurfaces at 800-850°C for 1.5 - 4 hrs. Theformation of several vanadates (Ca-V

xO

y) can

be predicted from the CaO-vanadium oxidephase diagram.26 These phase relationships arethe basis for the formation of vanadate coatingson vanadium and its alloys. Calcium reacts withthe precharged oxygen surface and diffusesthrough the CaO or Ca-V

xO

y layer. Reactions

for the formation of the coatings are

1380°C

1015

CaO-V2O5

3CaCV2O5

V2O5CaO

618 2CaO-V2O5

778

T

Page 410: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho412

Ca(vapor)

+ O(alloy)

= CaO (6)

and

Ca(vapor)

+ VxO

y(alloy surface) = CaV

xO

y(7)

where O represents oxygen activity at theinterface between the CaO and the oxygen-charged vanadium alloy. These reactions occurspontaneously, and the probable rate-determining step is ionic diffusion (Ca+2)through the CaO layer. Figure 9 shows the resultsof x-ray diffraction analysis and of visualexamination by SEM. We tried to obtain a goodcross-sectional view of the microstructure, butthis was difficult due to the reactive CaO withwater during the polishing procedure. EDSanalysis of the surface of a CaO coating formedon v-4% Cr-4% Ti at 850°C indicated >97 at.%calcium, and a 15-80 at calcium content in thecalcium vanadate coatings. SEM of CVDcoatings on V-4% Cr-4% Ti at 850°C revealeduniform surfaces with grain sizes of 0.7-3 μm

for CaO and 3-8 μm for the calcium vanadatecoatings. The x-ray diffraction spectrum of theCaO coating on V-4% Cr-4% Ti clearly showedthe two sets of peaks for CaO and V-4% Cr-4%Ti and indicated no other phases. The x-raybeam can penetrate ≈40 μm into CaO, and whenfilm thickness is ≈3 μm the spectrum of theV-4% Cr-4% Ti substrate is also present.Sublimation of calcium in a relatively lowvacuum of 10-4 to10-5 torr, when oxygen waspresent as an impurity, enabled the formationof CaO clusters by oxidation of calcium vaporinside the chamber. After coating the V-4% Cr-4% Ti specimens at 800 or 850°C for electricalresistance measurements, we cooled the systemto room temperature while purging with99.999% argon. In one experiment the calciumreservoir was raised to the top of the furnace(cool region) and the specimens remained attemperature for 3-5 hrs. to determine if anyadditional oxidation occurred. No appreciable

Fig. 8: Schematic diagram of CVD apparatus.

Vacuum orAr out

Ar

304 SSChamber

Samples

Ca EvaporationCell

Page 411: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Electrical Insulation 413

weight gain or loss was detected after CaO hadbeen deposited. The weight gain for CaOcoatings on V-4% Cr-4% Ti specimens at 230min. (≈4 hrs) was determined over the range of309-883°C and is given by

log (Wt. gain, g/cm2) = -0.983-2444/T (K) (8)

which corresponds to coating thicknesses of≈0.02 to 2.4 μm at 309-883°C for a CaO densityof 3.346 g/cm3.

To determine the electrical resistance of thecoatings and facilitate SEM/EDS analysis, thesamples were coated with gold by sputter-deposition of an array of ≈l-mm-diameter discson the surface of the coatings (Figure 10). Whenplaced in contact with gold foil, the discprovided a good electrical connection over alarge area of the specimen. Ohmic resistancewas measured at 25-500°C in air (Figure 11)Only the specimen charged with oxygen in

Fig. 9: Results for the X-ray diffraction analysis and visual examination done by SEM.

Inte

nsity

(C

ount

s)

2-θ

2000

1500

1000

500

0

CaO

(111

)

(200

)

>

> >

(22

0)

(311

)(2

22

)

(40

0)

(23

1)

(42

0)

>

10 20 30 40 50 60 70 80 90 100

Page 412: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho414

Fig. 10: Schematic diagram of gold sputter coating on a CaO coating for electrical resistivitymeasurements.

99.999% argon and coated with calcium by CVDexhibited insulator behavior. The specimensthat were oxidized in air and in a 1% CO-CO

2

gas mixture and coated by CVD showedsemiconductor behavior.27-28 CaO coatings onV-4% Cr-4% Ti exhibited high ohmic insulatorcharacteristics even when a small amount ofvanadium existed in the CaO. However, thecoating becomes conductive when thevanadium concentration reached >15 wt.%.Despite the highly resistive nature of CaO, whenvanadium is incorporated in the material, acalcium vanadate phase that exhibitssemiconductor behavior forms in local regions.To explore this hypothesis, CaO and Ca-V-Ocoatings were produced on vanadium-basealloys by chemical vapor deposition (CVD) andmetallic-vapor processes to investigate theirelectrical behavior. In some cases, CaO-coatedsamples exposed in liquid lithium displayedsemiconductor behavior, and we sought thereason for this phenomenon. To examine theelectrical behavior of CaO-V

xO

y specimens,

several calcium vanadates were synthesized bya self-reactive method. Calcium and vanadiumpentoxide (V

2O

5) were mixed in various

proportions (5-90 wt.% calcium) and allowedto react in vacuo by self-ignition in sealedcapsules. An exothermic reaction occurred in≈2 s. The synthesized samples were examinedby scanning electron microscopy/electron-energy dispersive-spectroscopy (SEM/EDS),and electrical resistance was determined. Allsamples fabricated by these methods wereconductive and the results were similar to thoseof lga and Nishihara,27 except for single phaseCaO, which showed high resistance.28 The resultssummarized in Table 2 indicate that calciumvanadates do not provide sufficient electricalresistance for insulator coatings in MFRapplications, Therefore, phase-pure CaOcoatings are required for the MFR applications.

Environmental Aspects ofCaO Coating

It is desirable to demonstrate the principlesof both thermodynamic and kinetic behaviorfor the surface incorporation of the mostcommon environmental reactive chemicalspecies, i.e., CO

2 and H

2O, with the CaO.29-30

Gold SputterDeposit

Page 413: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Electrical Insulation 415

Thermodynamic Aspects

The Reactions of CaO in CO2 and H

2O

Environments are :CaO + CO

2= CaCO

3(9)

CaO + H2O = Ca(OH)

2(10)

Under equilibrium conditions, theequilibrium partial pressures of CO

2 and water

vapor are defined by the reactionspCO

2 = exp (ΔG°

CaCO3/RT) (11)

and

pH2O = exp (ΔG°

Ca(OH)2 /RT) (12)

where ΔG°CaCO3

and ΔG°Ca(OH)2

are the standardGibbs free energies of formation of the CaCO

3

and Ca(OH)2, respectively, in Eqs. 9 and 10.

From Eqs. 11 and 12, it appears that one shouldbe able to deduce the conditions forcaborization or hydration; however, a furtherreaction must be considered, namely

CaCO3 + H

2O = Ca(OH)

2 + CO

2(13)

with equilibrium condition

Fig. 11: Log conductivity vs. 1/T for CaO coating in air.

Table 2. Observation of Films Fabricated in this Study

Exam CaO Calcium Vanadates

Visual Good, no spallation Good, no spallation

EDS >97 at.% Ca 15-80 at.% Ca

Grain size (μm) Very fine, 0.7-3 μm Coarse, 3-8 μm

X-ray diffraction*2 CaO Calcium vanadates

Electrical property Insulator Semiconductors

*2 X-ray beam penetrates ≈40 μm into CaO. Thus for fi lm thickness of ≈3 μm, spectrum ofV-4% Cr-4% Ti substrate is also present.

T°C

1/T (K)

Log

(Con

duct

ivity

) (Ω

-cm

)-1

-6.0

-6.2

-6.4

-6.6

-6.8

-7.0

-7.2

500 400 300 200 100 25

1.0 × 10-3 1.5 × 10-3 2.0 × 10-3 2.5 × 10-3 3.0 × 10-3 3.5 × 10-3

Page 414: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho416

(pCO2/pH

2O)

eq = exp

[(ΔG°CaCO

3- ΔG°

Ca(OH)2/RT] (14)

when the unity activity for the solid phases.Examination of Eqs. 11,12, and 14 permits theidentification of various situations that the typeof surface correction products can be formed, asfollows:• If both gas-phase pCO

2 and pH

2O are lower

than those of the equilibrium partialpressures then CaO is stable; (notation: theequilibrium partial pressures of CO

2 and

H2O as pCO

2, eq and pH

2O

eq)

• If gas-phase pCO2 < and gas-phase pH

2O >

pH2O

eq, then only Ca(OH)

2 is stable;

• If gas-phase pCO2 > pCO

2, eq and gas-phase

pH2O< pH

2O

eq, then only CaCO

3 is stable;

• If gas-phase pCO2 > pCO

2,eq, and gas-phase

pH2O > pH

2O

eq, then both Ca(OH)

2 and

CaCO3 should be stable and will form

surface products.However, reference to Eq. 6 indicates that

only one phase will form, depending on whichof the following two conditions prevail:

(a) (pCO2/pH

2O)

gas > (pCO

2 /pH

2O)

eq

This condition will cause Reaction 13 toproceed to the left, and CaCO

3 will be stable where

the BaCeO3 is in contact with the gas phase

(b) (pCO2 / pH

2O)gas < (pCO

2 / pH

2O)

eq,

This condition will cause Reaction 13 toproceed to the right, and Ca(OH)

2 will be stable

where the CaO is in contact with, the gas phase.We can represent the possible reaction productas a function of gas chemistry in order toconstruct a thermochemical diagram thatdepicts the stability range of various condensedphases as functions of the thermodynamicactivities of the two components of reactive gassuch as CO

2 and H

2O in air, etc. Based on the

above analysis, we can build thethermochemical diagram for CaO and CaCO

3

and Ca(OH)2 at 500°C by using literature values

as a function of pCO2 and pH

2O (see Figure 12).

Kinetic Aspects

in Figure 12, one sees that proposedreactions 9, 10, and 13 will be applied toevaluate thermodynamic stability; however, thiswill be reconsidered when the kinetics are

Fig. 12: Thermochemical diagram for H2O-CO

2-CaO system at 500°C.

T = 500°C

"CaCO3"

"CaO""Ca(OH)2"Lo

g pC

O2

(atm

)

Log pH2O (atm)

0

-2

-4

-6

-8

10-4 -3 -2 -1 0 1 2 3

Page 415: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Electrical Insulation 417

hindered by the sluggish solid-state diffusionprocess, such as oxidation of metals (e.g.,presence of very thin aluminum oxide scale onthe aluminum). Figure 13 shows the CaO surfaceexposed to H

2O and CO

2 environments.

Eventually. CO2 reacts only at the surface to

form a monolayer of CO2, via chemical reaction

and or chemical (d/de)sorption. The result ofCO

2 incorporation at the CaO surface could be

explained as CaCO3 surface blocking by CO

2 at

the surface for the continuous reaction. Ca ionsshould be extruded to the surface. However, Caion diffusivity in the CaO is expected to beextremely low, and therefore CO

2 mostly

interacts only at the surface. However, protonsfrom water will be diffused into the bulk CaO,depending on chemical potential gradient. (Ad/de)sorption phenomena has been analyzedrecently for the proton conducting ceramicmaterials.32

Summary of ElectricallyInsulating Films

The requirements and development ofelectrical insulator coatings using thermal CVD

coatings have been demonstrated. For electricalinsulator coatings. their requirements andapplication have been focused on the magneticcore in power transformers, and on the designof liquid-metal-cooled MFRs

CaO and calcium vanadate films wereprepared on vanadium alloys and Types 304and 316 SS by chemical vapor deposition (CVD)and high-temperature metallic vapor depositionat 800-850°C. The films were examined byoptical and scanning electron microscopy andby electron-energy-dispersive and x-raydiffraction analysis. We found that when theratio of calcium to vanadium in the oxidecoating was >0.9, the film was a good insulator,whereas when the ratio R < 0.8, the coating layerexhibited semiconductor or metallic-conduction behavior However, in some cases,when a CaO-coated sample was immersed inliquid lithium, semiconductor behavior wasobserved even though the calcium/vanadiumratio > 0.98 over a wide surface area. We attributethe semiconductor behavior to local regionsthat contained a conductive calcium vanadatephase in the CaO coating. The formation ofeither vanadate or titanate phases in thin (1-5μm) coatings may not provide sufficient

Fig. 13: CaO surface exposed to environment containing H2O and CO

2.

V-Alloy CaO CaO Surface

H2O

CO2

Environment

CO2

H+ ion

Page 416: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho418

electrical resistance for MFR applications andcould present potential problems for liquid-metal compatibility. We have applied theoxygen charging and reactive CVD techniqueto other oxides e.g., MgO, (BeO), MgAl

2O

4, etc.,

to determine their suitability for insulatorcoatings in MFRs applications.

Acknowledgments

This work has been supported by the U.S.Department of Energy. Fusion and Fossil Energyand Basic Materials Program, under ContractW-31-109-Eng-38 Drs. K. Natesan, R. Mattas,C. Reed, and D.L. Smith (Argonne NationalLaboratory)and Wiffen (US Department ofEnergy) helped with discussions andcollaboration throughout this work. L. Nowicki,D. Rink, B. Tani (ANL), and F. Deleglise (avisiting scientist from France) helped in theexperimentals.

References

1. C.C. Baker, et al., Tokamak Power SystemStudies FY 1985, Argonne NationalLaboratory Report ANL/F, 1985,pp.85-2.

2. T. Kammash, Fusion Reactor Physics,Chapter 15, Ann Arbor Science Pub. Inc.,Ann Arbor, MI, 1975, pp.405-439.

3. 15th Annual Magnetic Conference,Department of Electrical and ComputerEng., IIT, Chicago, 1996.

4. D.S. Loudermilk and R.A. Murphy,Overview of Technology of insulatingCoatings for Grain-Oriented andNonoriented Electrical Steels.3

5. M. Lessny, Coating Technology Update. 3

6. Y.Y. Liu and D.L. Smith, Ceramic ElectricalInsulators for Liquid Metal Blankets,Journal of Nuclear Materials, 38, 1986,pp.131-133.

7. J.H. Park, T. Domenico, G. Dragel, and R.W.Clark, Development of Electrical InsulatorCoatings for Fusion Power Applications,Fusion Engineering and Design, 27 ,1995,pp.682-695.

8. J.H. Park and W.D. Cho, Fabrication of

Intermetallic Coatings for ElectricalInsulation and Corrosion Resistance onHigh Temperature Alloys, SurfaceModification Technologies IX, eds., T.S.Sudarshan, W. Reitz, and J.J. Stiglich, TheMinerals, Metals and Materials Society,Warrendale PA, 1996, pp.151-166.

9. J.H. Park and T.F. Kassner, CaO Insulatorand Be Intermetallic Coatings on V-BaseAlloys for Liquid Lithium Fusion BlanketApplications, Presented for 16th IEEE/NPSS Symposium on Fusion Engineering(SOFE ‘95), Champaign, Illinois, 5, 1995.

10. J.H. Park and G. Dragel, Development ofAluminide Coatings on Vanadium-BaseAlloys in Liquid Lithium, Fusion ReactorMaterials Semiannual Progress Report forthe Period Ending, DOE/ER-0313/13,1993, pp.405-410.

11. K. Natesan, Development of AluminideInsulator Coatings for Fusion ReactorApplication, Argonne National LaboratoryReport ANL/FPP/TM-278, ITER/US/95/1V-BL-03, 1995.

12. S. Malang and L. Buchler, MHD PressureDrop in Ducts with Imperfectly InsulatingCoatings. Argonne National LaboratoryReport ANL/FPP/TM-269, 1994.

13. C.B. Reed, K. Natesan, T.Q. Hua, I.R.Kirillov, I.V. Vitkovski, and A. Anisimov,Experimental and Theoretical MHDPerformance of a Round Pipe with aNaKcompatible A1

2O

3 Coating,

Proceedings of 3rd internationalSymposium on Fusion Nuclear Technology,Los Angeles, 1994.

14. R.F. Mattas, B.A. Loomis, and D.L. Smith,Vanadium Alloys for Fusion ReactorApplications, JOM, 44(8), 1992, 26 1992.

15. J.H. Park, Intermetallic and ElectricalInsulator Coatings on High-TemperatureAlloys in Liquid-Lithium Environments, inElevated Temperature Coatings: Scienceand Technology I, eds. N.B. Dahotre. J.M.Hampikian, and J.J. Stiglich, The Minerals,Metals, and Materials. Section, 1995,pp.227-240.

16. J.H. Park, Intermetallic and ElectricalInsulator Coatings on High-TemperatureAlloys in Liquid Lithium: Materials and

Page 417: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Electrical Insulation 419

Manufacturing Process 10(5), 1995,pp.971-986.

17. J.H. Park, U.S. Patent#5769966.18. R.E. Buxbaum, R. Subramanian, J.H. Park,

and D L. Smith, Hydrogen Transport andEmbrittlement for Palladium CoatedVanadium-Chromium-Titanium Alloys,Journal of Nuclear Material, Part A, 233-237, 1996, pp.510-512.

19. J.H. Park, G.M. Dragel, R.A. Erck, D.L.Smith, and R.E. Buxbaum, Solubility ofHydrogen in V-4Cr-4Ti and Lithium,Fusion Reactor Materials Progress Reportfor the Period Ending 1995 (DOE/ER-0313/19), 1996, pp.59-62.

20. V. Kumar and Y.P. Gupta, Cation Self-Diffusion in Single Crystal CaO, Journalof Physics Chemical Solid, 30, 1969,pp.677-685.

21. J.H. Park, D.S. Kuppermanl and E.T. Park,Mechanical Properties of and PhaseTransformation in, V-Cr-Ti-O SolidSolutions; Proceedings of TMS Ann. Mtg.,Anaheim, CA, 1996; in ElevatedTemperature Coatings: Science andTechnology II, eds. N.B. Dahotre andJ.M. Hampikian, pp.323-332.

22. J.H. Park, D.S. Kupperman, E.T. Park, andG. Dragel, Physical Properties of O-and N-Containing V-Cr-Ti Alloys, Fusion ReactorMaterials Progress Report for PeriodEnding Dec. 31, 1995 (DOE/ER-O313/19),1996, pp.12-16.

23. J.H. Park and J. Gazda, Vanadium-Oxygenand Vanadium Nitrogen Solid Solutions,Presented at 188th Electrochemical Sec.Mtg., Chicago, 1995.

24. CaO-V2O

5 Phase Diagram, in Phase

Diagrams for Ceramist, 1973.

25. J.H. Park, Electrically Insulating FilmsDeposited on V-4% Cr-4% Ti by ReactiveCVD, presented at Chemical VaporDeposition Session. 12th SurfaceModification Technologies Conference,held in Conjunction with Symp. of MatlsSolutions at ASM InternationalConference, Chicago, 1998.

26. A.N. Morozov, Metallurg., 13(12), 1938,p.24.

27. F. Iga and Y. Nishihara, Metal-InsulatorTransition with Oxygen Content in CaVO

3-

y, Journal of Phyics Society, Japan, 61(6),1992, pp.1867-1870.

28. J.H Park and W.D. Cho, EvaluatingElectrically Insulating Films Deposited onV-4% Cr-4% Ti by Reactive CVD. FusionReactor Materials Semiannual ProgressReport for the Period Ending 1996 (DOE/ER-0313/21), 1997, pp.52-56.

29. Gaskel, Introduction to MetallurgicalThermodynamics. 2nd Ed. McGraw-Hill,New York, 1981.

30. CRC Handbook of Chemistry and Physics,71st Ed., 1991.

31. J.H. Park, B.C. Prorok, K.C. Goretta,U. Balachandran, and M.J. McNallanHighTemperature Internal Oxidation of Ag/l 2 at% Mg and Ag/0.25 at.% Mg-0.25 at.%Ni, Presentation for the Per KofstadMemorial Symposium on High-Temperature Corrosion and MaterialsChemistry at the at the ElectrochemicalSociety Meeting, Honolulu, 1999.

32. J.H. Park, Surface and InterfaceModification Science and Technology,1999 World Korean Science and EngineersSymposium, Seoul, Korea, 1999.

Page 418: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

421

Chapter 13

CVD Films for Corrosion ProtectionCoatings

J. H. ParkMaterials ScientistEnergy Technology DivisionArgonne National LaboratoryArgonne, IL 60439

W. D. ChoProfessor Department ofMetallurgical EngineeringUniversity of UtahSalt Lake City, UT 84112

Abstract

The development of CVD films for high-temperature corrosion protection of ferrousalloy has focused on methods with and withoutaddition of oxygen-active elements. CVDprocesses, which employ vapor-transport andheat treatment of a stabilized alloy substrate to

deposit protection coatings, have performedextremely well. A very useful system ofinsulator/corrosion protection coatings, whichcan be used individually or together in energy-related industries, is described.

Corrosion resistance of structural iron-basealloys in high-temperature environments istypically achieved by formation of a continuous

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p421-433 DOI:10.1361/chvd2001p421

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 419: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho422

protective oxide scale such as chromium oxideor aluminum oxide, which acts as a diffusionbarrier between the environment and the alloys.1

Such coatings may cover the alloy surface onlysuperficially and are subject to erosion orspallation under severe high-temperatureconditions. Two fundamental goals that mustbe addressed in the development of moreoxidation-resistant alloys are to (a) decrease thetransport rate of metal ions and/or oxygenthrough the scale, and (b) improve scaleadhesion to the alloy substrate.2-5

The use of “reactive elements” (e.g., yttrium,lanthanum, cerium) in alloys dates from the1930s and influences the properties of both thescale and the alloy substrate during hightemperature oxidation.2 Alloys without oxygen-active elements exhibit outward cautionmigration during oxidation. However, when thereactive elements are present in the alloy, inwardanion transport predominates during scalegrowth.4 The reactive elements tend to inhibitgrain growth, i.e., they stabilize the alloysubstrate during high-temperature oxidation.Reactive elements in Fe-Cr alloys inhibit grain

growth in the alloy and suppress Fe diffusionthrough the scale.5,6 Oxidation rates decrease inthe order Fe-25 Cr >> Fe-25 Cr-1 Ce > Fe-25 Cr-03Y. Transmission electron microscopy (TEM)and scanning electron microscopy (SEM) (doneby King et al.8) confirmed the grain boundarysegregation of the stable intermetallic phase ofY

2(Fe,Cr)

l78-10 or Y

2O

3 for Fe-25Cr-

(0.1-3)Y alloys and of Ce-Fe-Cr or CeO2 for

Fe-25Cr-(0.3-1)Ce as illustrated in the schematicdrawing in Figure 1.

The objective of surface modification byCVD is to develop additional corrosionprotection for high-temperature alloys (e.g., Fe-25Cr-0.3Y) beyond that achieved by reactive-element additions which will be effective for along period. Because CVD is a high temperatureprocess, the following topics must be discussedbefore we can develop successful high-temperature corrosion-protection coatings.7

Diffusion Approach

It has been generally reported that theaddition of <1% of so-called reactive elements

Fig. 1: Grain-growth inhibition by Fe-Cr-Y and Y2O3 segregated in alloy grain boundaries.

Chromia Scale

Fe-Cr-Y Alloy

Fe-Cr-Y

Fe-Cr-Y

Yttrium Oxide

Void

Page 420: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Corrosion Protection Coatings 423

to an alloy decreases the rate of scale growthand enhances scale adhesion. Grain-boundarytransport could be the main contribution inhigh-temperature chromia forming oxidation.Therefore, measurements were made of bulk,dislocation, and grain-boundary diffusion ofcations and anions in pure and Y-doped Cr

2O

3.

There are mainly two volumes of collectedreferences3,11 which cover of the recentinvestigations on reactive elements11-14 alongwith two volumes of overall views on thetransport properties of the oxide materials3,11

and high-temperature corrosion with a detaileddescription of the basic mechanisms.10

Chromia is thought to grow via outwarddiffusion of cations from the metal to the oxide/gas interface. When the scale formationproceeds by diffusion along short-circuit pathssuch as grain boundaries in the oxide layer, Eq. 1describes the usual diffusion controlledoxidation kinetics:

x2 = kp t (1)

where x is the oxide thickness, t is time, and kp

is the so-called parabolic rate constant. Theexpression for k

p must be modified to reflect the

fact that grain-boundary diffusion is thedominant mechanism of mass transport in thescale.

This assumption could be applicable if, andonly if, no open voids or micro cracks are presentin the scale and there is perfect adhesionbetween the oxide scale and the alloy. However,real oxidation may not occur according to theassumption considered. Several research groupshave demonstrated that bulk diffusion of cationsas measured in chromia single crystals andpolycrystals, is too slow to explain observedrates of oxidation in alloys that form a chromiumoxide layer.15-22 However, the calculatedoxidation rate based on grain-boundarydiffusivity is still 10 times too low to accountfor the measured oxidation rates (e.g., Cr, Cr-Y,Fe-25Cr, and Fe-25Cr-[Ce, or Y], etc., Ce,Y = 0.1-3 wt.%). This presumably tells us thatother important mechanisms should beconsidered, e.g., a dynamic situation mayincrease the mass transport rate during chromia-forming oxidation. This question remainsunresolved, but the cause could be surface

diffusion near the wide-open grain boundariesgenerated. Vapor phase transport may occur nearthe interfaces of the alloy, with the scale basedon a dynamic situation during high-temperaturescale growth, and may be improperly comparedto the chromia ceramic grain-boundary diffusiondata with naturally forming grain-boundaries.

Our observation on grain-boundary dataobtained with as-grown chromia scale in thechromium metal was identical with ceramicchromia, except that the as-grown scale showedsmall microcracks due to the sample-handlingprocedure. In alloys bearing reactive elements,inward diffusion of anions is the predominantprocess. In the case of Fe-Cr-Y alloys, no Fediffused outward. However; when no Y waspresent in the alloys, Fe outward diffusioncontributed to faster diffusion paths of FeO orFe

3O

4 produced via fast channels in Cr

2O

3

scales.23 This anion inward diffusion duringoxidation of commercially developed alloys isa more advanced concept for the surfacemodification of high-temperature alloys. Thedirection of diffusion (either anion inward orcation outward), which defines the oxidationprocess, is very important for the developmentof any surface modification process, includinghigh-temperature CVD.

BackgroundConsiderations for AlloySubstrates

The following basic alloy microstructuresand impurities on the reacting surfaces artconsidered, i.e. (a) bulk (point defect-zerodegree defect including impurities), (b) grain-boundaries (2-D defect), (c) dislocations(1-D defect), and (d) open microvoids duringfabrication of the alloy

Bulk Conditions

Here, bulk means that only intrinsic defectsare present for the pure substance or, in the caseof impure substances, only point defects areformed due to impurity doping in ahomogeneous solution.

Page 421: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho424

Therefore, the related defect concentrationdepends on the impurity level and ortemperature. When we consider thethermodynamics for the formation of pointdefects, vacancies are important, i.e., N

v = N

v(T).

Simultaneously, we could consider the mobilityof defects as B

v = B

v (T). In these expressions,

Nv is defect concentration, B

v is the mobility of

a point defect, and T is temperature. Interstitialsare important defect species that arepredominant in impurity doping. When thereacting species arrive on the surface at hightemperatures, they will be bound on the surfaceor diffused into the substrate, depending mainlyupon the temperature and concentration of thereacting species and time. In general, at highertemperatures, this process will accelerate asnormally experienced in a thermally activatedprocess. However, when the initial nucleationprocess is finished, an incubation period isexpected until the free surfaces are covered bythe reaction product. After this period, a differentphenomenological process is expected due todiffusion through the reaction product.

In the high-temperature process, severalpossible diffusion schemes are considered forcontinuous scale formations namely:(a). Alloy elements diffusing out through the

formed scale.(b). Reacting species diffusing in.(c). Both (a) and (b) take place.

However, the processes are mainlycontrolled by the microstructures on the alloysubstrate including such features asdislocations, grain boundaries, and open voidsin the alloy substrates.

Grain Boundaries (2-D defects)

(a). Grain-growth and inhibition of Grain-Growth: r = r (T, impurities)where r = grain diameter.

(b). Impurities: Impurity segregation tendencyin the alloy grain boundary, surface, andalloy/scale interface.

(i). Beneficial (strengthening agent) impurities(ii). Weakening impurities(c). Effective grain-growth inhibition: benefit

from scale spallation.

Here, grain boundary transport behavior isnot a concern in the alloy. Grain-boundarydiffusion is high but the grain-boundarycontribution is limited based on grain size.Larger grains contribute less, but smaller grainscontribute more. We must consider the metal/scale interface as containing wide grainboundaries that move perpendicular to thesubstrate and provide a fast transport channeland thus does not depend on impuritysegregation on the metal/alloy interface.However, this interface is very important,because dislocations in the alloy alwaysconnect directly with the scale. Therefore,proper treatment of this interface boundary isnecessary to describe the relationship betweenalloy dislocations and the metal/scale interface.The primary question is “How can we coat thealloy dislocations,” or, “How can we introducebeneficial impurities in the alloy dislocations?”

Dislocations (1-D defect)

Dislocations may be mobile or fixed andtheir densities depend on temperature andimpurity concentration. They are typicallydirectly related to mechanical behavior of analloy. They also influence scale-growingmechanisms. When the alloy dislocations aremoving around during scale growth, we canexpect the formation of irregular interfaces,including open interface structures. The initialstage of scale growth starts from a nucleation-like process on the alloy surface. In general, thenumbers of nuclear oxide particles along themetallic grain boundary and in grains are quitedifferent during initial oxidation. In the grainboundary, ≈ = 1 μm oxide particles are arrayedalong the boundary, while other metal oxideparticles in the grains are well separated fromeach other. This tells us that the transport throughdislocations in the alloy is most crucial forcontinuous growth of scales on the alloysubstrate. We could say that the grain boundarycontains concentrated dislocations, and thesedislocations could be the extra half plane thatis strongly dependent on temperature. Therefore,when we consider passivation layer formation,i.e., an inert coating, blocking of the dislocation

Page 422: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Corrosion Protection Coatings 425

channels is the main concern. When we countthe metal oxide particles on the alloy surface inthe initial stage of oxidation, we could calculatethe active dislocation numbers on each grain;these numbers depend principally on theorientation of individual grains Some metallicgrains have more oxide particles and some havefewer oxide particles, and this is related to thenumber of active dislocations in the grain.

When we consider continuous scalegrowth, we can expect that the mobile speciesfrom the metal (cations diffusing out) will besupplied by alloy grain-boundaries, bulkdefects, and dislocations. These diffusivities arequite different from each other: D(bulk) <<D(dislocation) < D(grain boundary) <D(surface). Therefore, we expect the formationof voids around the alloy grain boundaries anddislocations as the scale continues to grow. Thechief concerns, here, is “How can we prepare aninert state (kinetically and thermodynamically)for the point defects, for the grain boundaries,and especially for the dislocations in the alloysubstrate?”

Scale Adhesion

Figure 2 shows schematic representationsof two different diffusion processes by which

we can predict the trend for scale adherence.When reactive elements are present in an alloy,scale adhesion is generally good. The mainconsiderations are (a) stability of alloysubstrates during high-temperature oxidationand anion inward diffusion and (b) oxideformation at the scale/alloy interface as shownin Figure 2. Some reactive elements (e.g., Y)provide both conditions satisfactorily,according to oxidation tests of Fe-Cr alloys withand without Y. The alloy containing Y showedgood scale adhesion, but that without Y showedtotal spallation or poor bonding at metal/scaleinterfaces. The mast favorable behavior for Ywas substrate stabilization during oxidation.6

Figure 3 shows alloy grain-growth trends duringoxidation of Fe-Cr alloys with and withoutreactive element additions. The trend is similarduring annealing of the alloy (Figure 4).

According to the grain-growth behavior ofthe various Fe-Cr alloys without oxidation, Yalloying (0.1-3 wt.%) provided the most stablealloy substrate, as shown in Figure 3. and 4. InCe-bearing alloys (0.3-1 wt.%). most Cemigrated to the specimen surface, and alloygrains became enlarged during annealing.However, preheated samples of Fe-25 Cr didnot show spallation. This could indicate thatthe stabilized grain samples showed a higher

Fig. 2: Schematic view of two different diffusion processes during oxidation of alloys.

Alloy

(a) Cation Outward (b) Anion Inward

Alloy

Page 423: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho426

Fig. 3: Grain-size variation in Fe-25 Cr and Fe-25 Cr-0.3 Y alloys during oxidation at 700 to1000°C.

Fig. 4: Grain-size variation in Fe-25Cr, Fe-25Cr-1Ce, and Fe-25Cr-1Y alloys as a function ofannealing time.

400

300

200

100

0

Allo

y G

rain

Siz

e (μ

m)

700 800 900 1000

T (°C)

Exposure Time = 90 ~ 138 h

Fe-25Cr

Fe-25Cr-0.3 Y

800

600

400

200

500 1000 1500

Gra

in S

ize

(μm

)

T = 1000°C

Fe-25Cr-1CeFe-25Cr-1Y

Fe-25Cr

Time (min.)

Page 424: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Corrosion Protection Coatings 427

degree of adhesion. On the other hand, longeroxidation times showed outward Fe diffusion,which caused the oxidation process to be morerapid than that of pure chromia formingoxidation.6

Surface Modification byHigh Temperature CVD

Nucleation Sites/AdsorbedLayers

The nature of the nucleation sites hasalways been of great interest. Many investigatorshave proposed that nucleation is favored at sitesof higher energy, i.e., at defects such asdislocations, grain boundaries, impurities, etc.Others have concluded that homogeneousnucleation takes place in the adsorbed layer. Itappears that the particular mechanism thatpredominates is dependent on the metal andthe pretreatment of its surface, the temperature,and the oxygen pressure (e.g., the degree of supersaturation in the adsorbed layer). Oudar23-24 hasproposed that at weak supersaturation andrelatively high temperatures, defects in theadsorbed layer may anneal out, and only defectsin the metal surface remain in appreciablenumbers. Thus, for high degrees ofsupersaturation, the number of nuclei cangreatly exceed the number of dislocations.Under these conditions, homogeneousnucleation is likely to predominate. Such amechanism would also qualitatively explain thestrong influence of oxygen pressure on thedensity of oxide nuclei at relatively hightemperatures. When the oxide nuclei havegrown laterally to form a continuous oxide filmon the surface, the reaction mechanism changescompletely.

Initial Oxidation

When we investigated the initial oxidationof metals in a reduced oxygen environment (e.g..vacuum with oxygen pressures 10-4 to 10-3 torr),oxygen reacted to form small oxide islands(typically measuring a few micrometers in size)25

These small oxides are well arrayed along themetal grain boundaries and are randomlydistributed in the bulk, as shown in Figure 5.Grain boundaries could be a two-dimensionalpoint defect array due to mismatchingneighboring grains. In a two-dimensional view,this shows as a line defect on the surface. Mostimpurities are easily segregated in the grainboundaries. Therefore, when the oxidationstarts, these point defects are more reactive thanthe perfect atomic lattice. As a result, more oxideparticles are formed in the metallic grain-boundaries than in the bulk however, in thebulk, some the residue dislocation tips will bereacted. Those observations can be extendedtoward the practice of surface modification ofhigh temperature metallic alloys by CVD.Oxygen can be replaced by other vapors thatcould be reactive with the metal to form usefulcoatings for the surface modification. This isexplained in the next subsection.

CVD for CorrosionProtection Coatings

A surface modification can be defined onthe basis of the above fundamental information,i.e., it slows the scale-forming process andimproves adhesion at the interface between alloysubstrate and scale. To improve the protectivescale, we must slow the diffusion of eithercations or anions through the film to themodified alloy surface. Most probably,thermodynamically stable oxides, such as A1

2O

3,

Cr2O

3, and SiO

2 can be selected for a new surface

modification. These oxides also have lowdiffusivity of either cations (metal ions) oranions (i.e., oxygen or sulfur ions) and willprovide an excellent diffusion barrier. However,when the elements are added to the base metalalloys to control spontaneous film-growing ofsuch protective oxides, critical levels must beachieved that then greatly alter the mechanicalproperties. Rapp and collaborators26-31 have triedextensive studies with high-temperature packcementation to developed corrosion protectioncoatings. Such coatings on high-temperaturealloys could be produced by CVD with a vaporsource, followed by annealing to diffuse the

Page 425: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho428

vapor into the bulk alloy and then exposure toreactant vapor to form a stable phase by chemicalreaction at the surface.32 Figure 6 is a schematicview of the CVD apparatus used in coatingdevelopment for this study. A powder mixture

of NaF-Si-SiO2 is a source of silicon vapor when

it is heated. Figure 7 shows sample weight gainvs. position as measured from the vapor sourceafter CVD coating for Fe-25 Cr-0.3Y andFe-25 Cr-0.3Ce, respectively with

Fig. 5: In-situ TEM view for initial oxidation of Fe-25 Cr-20 Ni (T = 650°C, pO2 = 10-3 torr) with

time. Small oxides are arrayed along metal grain boundaries and randomly distributedwithin the grains.

Fig. 6: Schematic view of CVD apparatus used in coating development.

5 min 11 min 31 min

91 min 125 min 155 min

Vacuum Pump Samples

Chemical Vapor Source

Furnace

Furnace

Page 426: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Corrosion Protection Coatings 429

investigations by SEM/EDS of the surfaces andSEM and TEM views with silicon map in thenear grain boundary, it was seen that the siliconhad diffused and reacted near the surface of theFe-25Cr-0.3Y alloy. Silicon diffused into andaround surface defects, figures, and microcracksthat are normally the chemically active siteswhere corrosion begins on the alloy surface.

Oxidation/Sulfidation ofSurface Modified Samples

To compare the corrosion behavior of coatedand uncoated samples, several oxidation/sulfidation tests were performed at 700 to 1000°Cin a thermogravimetric microbalance. Test resultsfor oxidation of various samples in flowing airand sulfidation in 498-ppm H

2S/H

2 gas mixture

for one month are shown in Figure 8.Based on the above results from

fundamental observations. A surface coating hasbeen developed to provide additional corrosionprotection beyond that achieved by reactive

element additions to these alloys. An effectivesurface modification process was produced byCVD and subsequent chemical reaction in acontrolled environment. The role of suchprotective surface modification is to protect thevarious microscopic surface sites (e.g., defects,fissures, microcracks) in the alloy surface thatare chemically active locations for initial attackwhen the alloy is exposed to corrosive gases oroxygen at high temperatures. After sulfidationin 498-ppm H

2S/H

2 at 700°C for 55 days, and

oxidation in air at 1000°C, the rate of weightchange of the surface-modified alloy was muchlower than that of uncoated alloys and of pureCr. Surface modification by a CVD processimproves the protection of alloys that areexposed to corrosive gases and/or oxygen athigh temperatures. Without the benefit of aneffective and long-lasting protective coating,the alloys are attacked initially at microscopicsurface defects, fissures, and microcracks thatare chemically active sites, Silicon addition tothe surface of the alloy and subsequent heattreatment in a nitrogen-containing atmosphere

Fig. 7: Sample weight gain vs. position as measured from vapor source after CVD coating forFe-25 Cr-0.3Y and Fe-25 Cr-0.3Ce.

1.5

1

0.5

0

Wt.

Gai

n (m

g/cm

2 )

Fe-25Cr-0.3Y

Fe-25Cr-0.3Ce

0 2 4 6 8 10 12 14 16

Sample Position (cm)

Page 427: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho430

converts the silicon to an amorphous materialthat also contains oxygen and nitrogen. Withoutthis heat treatment, the silicon itself is subjectto attack by corrosive gases. It appears that thesilicon blocks the various microscopic surface

sites from attack by the corrosive environment.The choice of protective material can be madeon the basis of the alloy to be protected and thespecific environmental conditions. Figure 9shows the SEM view for the cross section and

Fig. 8: Thermogravimetric results for (a) oxidation and (b) sulfidation, of various samples.

(a)

8

7

6

5

4

3

2

1

0

Wei

ght C

hang

e (m

g/cm

2 )

T = 1000°C Air

Fe-25Cr-1Ce

Fe-25Cr-1Y

Fe-25Cr-0.3Y/Si-N-O(annealing)

0 5 10 15 20 25 30

t (Days)

(b)

10

8

6

4

2

0

Pure Cr

Fe-25Cr-1Y

T = 700°C 498 H2 S/H2

Fe-25Cr-0.3Y/Si-N-O(annealing)

Wei

ght C

hang

e (m

g/cm

2 )

0 5 10 15 20 25 30 35

t (Day)

Page 428: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Corrosion Protection Coatings 431

oxide surface as oxidized in air at 1000°C for55 days and illustrated in Figure 8(a). Planarshaped chromia crystals are perpendicular tothe surface. These crystals might grow by asurface diffusion process via wide-open grainboundaries or triple points on the grownprotective layer. In the corundum structure, (ab)-plane diffusion is faster than the verticalc-direction. Therefore, these planar crystals areoriented in the direction of the (a,b)-plane.Similarly, Sem and x-ray element mapping forCr, Fe, S, and Si sulfidation in 498-ppm H

2S/H

2

at 700°C for 55 days. We saw the oxide scale inthe air oxidation. According to the results of X-ray studies, the weight gain in Figure 8(b) isdue mostly to the Cr-S that forms via outwardCr diffusion during sulfidation.

This CVD process can be beneficialbecause it is technologically simple andrelatively inexpensive. The silicon coating isextremely thin (1-2 μm) and penetrates thesmallest defects, cracks, etc., on the alloy to beprotected. Bonding of the protective coating tothe surface occurs chemically in the same waythat the corrosive gases would react, startingwith the surface defects that are the active sites.Treatment with nitrogen-containingatmosphere then renders the silicon coatingessentially inert to attack from corrosiveenvironments. By comparison, low-temperaturecoatings may cover the surface onlysuperficially and are thus subject to erosion orspallation under severe high-temperature

conditions. The present method is beneficialfor the fabrication of heat-resistant alloys.

Summary of CorrosionProtection Coatings

A durable protective coating for high-temperature alloys can be achieved by CVD.Normally, we must consider alloy stabilizationin addition to chemical reaction in a controlledenvironment. The results define the nature ofcoatings for high-temperature corrosionprotection, namely, a thin (1-2 μm) diffusedsilicon layer that covers the surface andpenetrates even the smallest defects, cracks, etc.,on the alloy to be protected. This surfacemodification treatment by CVD can be adaptedto other alloys and is technologically simpleand relatively inexpensive.

Acknowledgments

This work has been supported by the U.S.Department of Energy, Fusion and Fossil Energy,and Basic Materials Program, under ContractW-31-109-Eng-38. Drs. K. Natesan, R. Mattas,C. Reed, and D. L. Smith (Argonne NationalLaboratory), and W. Wiffen (US Department ofEnergy), helped with discussions andcollaboration throughout this work. L. Nowicki,D. Pink. B. Tani (ANL), and F. Deleglise (avisiting scientist from France) helped in theexperimental.

Fig. 9: SEM view of cross section and oxide surface as oxidized in air at 1000°C for 55 days,described in Figure 10(a) in chapter 12.

2 μm

CrCr2O3 Scale

100 μm

Page 429: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Park and Cho432

References

1. P. Kofstad, High Temperature Corrosion,Elsevier Applied Science, England, Essex,1988; Nonstoichiometry, Diffusion andElectrical Conductivity in Binary MetalOxides, Wiley Interscience, New York,1972.

2. L. B. Pfeil, Improvements in Heat ResistantAlloys, British Patent No. 459, 1937, p.848.

3. W. E. King, ed., The Reactive ElementEffect on High Temperature OxidationAfter Fifty Years, Materials Science Forum,MRS, 1991.

4. C. Cotell, K. Przybylski, and G. J. Yurek,Proceedings of Symposium onFundamental Aspects of High TemperatureCorrosion-II, D. A. Shores and G. J. Yurek,eds., Boston, The Electrochemical Society,Inc., Pennington, NJ, 1986, p.103.

5. J. H. Park, Role of Yttrium in EnhancedAdhesion of Chromia Scale to Chromium,Materials Letter, 8(10), 1989, pp.405-408.

6. J.H. Park and K. Natesan, Argonne NationalLaboratory Report, ANL/FE-92/1, 1992.

7. J.H. Park, U.S. Patent#5421913.8. W. E. King, N. L. Peterson, and J. F. Reddy,

Journal of Physique, 46, 1985,pp.C4-423.

9. F. A. Shunk, Constitution of Binary Alloys,Second Supplement, McGraw-Hill, NewYork, 1969.

10. M. Hansen, Constitution of Binary Alloys,McGraw-Hill, New York, 1958.

11. M. A. Dayananda, S. J. Rothman, and W E.King, eds, Oxidation of Metals andAssociated Mass Transport, Proceedings ofSymposium Metallurgical Society, AIMEand MSD-ASM Atomic Transport Activity,TMS-AIME Fall Meeting, Orlando, FL,1986.

12. K. Przybyiski, A. J. Garratt-Reed, andG. J. Yurek, Journal of American CeramicsSociety, 69, 1986, p.C-264.

13. K. Przybylski, A. J. Garratt-Reed, andG. J. Yurek, Grain-boundary Segregation ofYttrium in Chromia Scale, Journal ofElectrochemical Section, 135, 1988, p.509.

14. K. Przybylski and G. J. Yurek, The Influence

of Implanted Yttrium on the Mechanismsof Chromia Scales, Material ScienceForum, 43, 1989, p.1.

15. J. H. Park, W. E. King, and S. J. Rothman,Cation Grain-boundary Diffusion in Cr

2O

3

and Cr2O

3 doped with Y

2O

3, Journal of

American Ceramics Society, 70, 1987,p.880.

16. W. E. King, J. H. Park, J. L. Routbort, andK. C. Goretta, Effect of Y

2O

3 Additions on

the Plasticity of Sintered Cr2O

3, Oxide

Metals, 29, 1988, pp.217-223.17. W. E. King and J. H. Park, Effect of Gamma

on Cation and Anion Grain BoundaryDiffusion in Chromia, Collogue DePhysique C1, 51, 1990, pp.C1-551 toC1-556.

18. J. H. Park and K. Natesan, ElectronicTransport in Thermally Grown Cr

2O

3,

Metal Oxide, 33(1/2), 1990, pp.31-54.19. A. Dominguez - Ródriguez,

A. R. DeAreilano - Lopez, K. C. Goretta,W. E. King ,J. H. Park, and J. L. Routbort,Creep of Cr

2O

3 and Yttrium Doped Cr

2O

3,

Material Science Technology, 5, 1989,pp.499-501.

20. J. H. Park and K. Natesan, TransportProperties and Oxidation in Chromium andFe-Cr Alloys Exposed to Oxygen at HighTemperatures, Presented at 1stInternational Conference on Materials,Lake Geneva, WI, 1991.

21. A. Dominguez-Rodriguez, K. C. Goretta,W. E. King, J. H. Park, and J. L. Routbort,Creep of Chromia and Yttria-dopedChromia, Material Science Technology,5, 1989, pp. 499-501.

22. W. E. King and J. H. Park, Anion GrainBoundary Diffusion in Cr

2O

3 and Cr

2O

30.09

Weight Percent Y2O

3.

Proceedings of

Materials Research Section, 122, 1989,pp.193-198.

23. J. Ouadar, Physics and Chemistry of Surface,Blackie, Glasgow/London, 1975.

24. J. Ouadar, in High Temperature Corrosion,R. A. Rapp., Ed., NACE, Houston, 1983,p.8.

25. K. Natesan and J. H. Park, Role of AlloyingAdditions in the Early Stage of Oxidation

Page 430: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

CVD Films for Corrosion Protection Coatings 433

of Fe-Base Alloys, presented at Symposiumon Corrosion and Panticle Erosion at HighTemperatures, IMS-AIME Annual Mtg.,Las Vegas, 1989; Abstract in 118th TMSAnnual Mtg & Joint TMS/SME ExhibitTechnical Program, 1989, p.5.

26. S. C. Kung and R. A. Rapp, Journal ofElectrochemical Society,135, 1988, p.731.

27. S. C. Kung and R. A. Rapp, Oxide Metal,32, 1989, p.1571.

28. S. C. Kung and R. A. Rapp, Oxide Metal,34, 1989, p.217.

29. F. D. Fredrick and R. A. Rapp, Oxide Metal,40, 1993, p.213.

30. R. Bianco and R. A. Rapp, Journal ofElectrochemical Society, l40, 1993, p.1181.

31. R. Bianco, R. A. Rapp, and J. L. Smialek,Journal of Electrochemical Society, l40,1993, p.1191.

32. J. H. Park and W. D. Cho, SurfaceModification of High Temperature Alloys:A Protective and Adhesive Scale-FormingProcess, Presented at 4th internationalSymposium on Processing and Fabricationof Advanced Materials IV, Cleveland,Processing and Fabrication of AdvancedMaterials IV, eds. T. S. Srivatsan andJ. J. Moore, 1995, pp.287-304.

Page 431: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

435

Chapter 14

Heat Treatment of CVD-CoatedTool Steels

O.H. KesslerStiftung Institut für WerkstofftechnikBadgasteiner Str. 328359 BremenGermany

Abstract

This chapter deals with the post heattreatment of CVD (e.g. TiN) coated formingtools. Forming tools often need the advantagesof CVD coatings compared to PVD coatings,such as normally better adherence, all-roundcoating and simpler equipment. CVD coatedforming tools are very important for many fieldsof metalworking, e.g. forming of sheet metal,and are used on a large scale. For goodperformance of CVD coated forming tools, asfor almost every coated component or tool, notonly good coating properties, but also goodsubstrate properties are necessary. This meansfor most CVD coated steel tools, that they mustbe subsequently quench hardened and tempered

to restore high substrate strength which has beenlost due to high coating temperature and theslow cooling rate. Different heat treatment pathswith different complexities are discussed. Bestsubstrate/coating properties and smallestdistortion can be achieved by the most complexheat treatment path in the order quenchhardening, tempering, CVD, quench hardening,tempering. At the various treatment steps severalparameters, specific for CVD coated tools, mustbe taken into account (protective atmospheres,equalizing steps, etc.). The chemicalcomposition, microstructure and hardness ofCVD TiN coatings are usually not significantlyinfluenced by subsequent quench hardening ofthe tools. Coating residual stresses can stronglybe influenced depending on substrate volume

Chemical Vapor DepositionJong-Hee Park, T.S. Sudarshan, editors, p435-463 DOI:10.1361/chvd2001p435

Copyright © 2001 ASM International® All rights reserved. www.asminternational.org

Page 432: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler436

changes. Coating adhesion is usually enhanceddue to the load support of the hardened toolsteel. When the tool steel, coating and heattreatment are well coordinated with properdesign, significantly longer lifetimes of CVDcoated tools compared to uncoated tools canbe obtained. In this case, the tools are profitable,although manufacturing costs are higher.Further improvements are possible by futuredevelopments, e.g. combined processes.

Introduction

Tools for metalworking nowadays are oftencoated with hard materials to reduce tool wearand enhance tool lifetime. Common coatingprocesses are chemical vapor deposition (CVD)and physical vapor deposition (PVD), commonhard materials are group IVb-VIb (Ti, V, Cr,)carbides, nitrides, etc. Beside many otherdifferences, CVD coatings (especially hightemperature HT CVD) are deposited attemperatures of about 1000°C while PVDcoatings are carried out at lower temperaturesof about 200-500°C. Coated tools formetalworking can be divided into three maingroups: CVD coated cemented carbide cuttingtools, PVD coated steel cutting tools and CVDcoated steel forming tools:• Cemented carbide cutting tools, e.g. inserts

for turning, can easily be CVD coatedwithout a post heat treatment, because theirproperties are only slightly influenced bythe high CVD temperature.

• Slender steel cutting tools, e.g. drills, wouldbe strongly influenced by the high CVDtemperature and would need a post heattreatment to receive useful properties.Because this post heat treatment causesdistortion of slender steel cutting tools,they are normally PVD coated at lowertemperatures without a post heat treatment.

• Massive steel forming tools or punchingtools are also strongly influenced by thehigh CVD temperature and need a post heattreatment to receive useful properties. Butthe distortion of these massive tools duringpost heat treatment can be controlled to acertain extent.

This chapter deals with the post heattreatment of CVD coated steel forming tools.Forming tools often need the advantages of CVDcoatings compared to PVD coatings, such asnormally better adherence, thorough coatingcoverage and simpler equipment. CVD coatedforming tools are very important for many fieldsof metalworking, e.g. forming of sheet metal,and are used on a large scale.1-5 Figures 1 and 2show two examples of CVD coated and postheat treated steel forming tools: a drawing ring(M2, CVD TiC coated) and a segmented formingtool for an automobile door (D2, CVD TiNcoated).

Fundamentals of HeatTreating CVD Coated ToolSteels

For good performance of coated tools, theproperties of both coating and substrate shouldbe optimized.6-16 The coating (thickness someμm) contributes the friction, wear and corrosionreducing properties. The substrate contributesthe mechanical load support for the thin coatingand should therefore have a minimum hardnessof ~58 HRC.

To understand the need for heat treatingCVD coated steel tools, the temperature/timeregime of the CVD process should be comparedwith the temperature/time dependence of thetransformation behavior of different tool steels.Tool steels suitable for CVD coating shouldhave these properties: high hardenability,secondary hardening behavior andaustenitizing temperature near CVDtemperature. Table 1 describes several such toolsteels. Almost every type of tool steel has somequalities suitable for CVD coating, but mostcommon for forming tools are high alloy coldwork steels and high speed steels. Typicalcooling curves in a hot wall CVD reactor areshown in Figure 3. After the CVD stage, theheating hood is removed from the reactor andreplaced by a cooling hood, which cools thereactor with an air flow from outside. Thecooling curves depend on the CVD batch size.Figure 3 shows slow cooling for a small batch

Page 433: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 437

and an even slower cooling for a large batch. Inall cases, cooling from the coating temperaturelasts several hours, and these temperature/timeregimes should be compared with the

transformation behavior of the tool steelsubstrates. The transformation behavior can betaken from the (continuous coolingtransformation CCT diagrams) such as Figures 4

Fig. 1: Example of CVD coated steel tool, drawing ring. (Courtesy of VST Verschleiss SchutzTechnik Keller GmbH & Co. KG, Schopfheim, Germany).

Fig. 2: Example of CVD coated steel tool, segmented forming tool for an automobile door.(Courtesy of VST Verschleiss Schutz Technik Keller GmbH & Co. KG, Schopfheim,Germany).

Page 434: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler438

1000

900

800

700

600

500

400

300

200

100

0

1 10 100 1000

Time (min)

Tem

pera

ture

(°C

)

Small Batch

Large Batch

Fig. 3: Cooling curves after CVD coating.

Tool Steel Type AISI UNS DIN DIN No.

Molybdenum High Speed Steel

Powder Metallurgical Molybdenum High Speed

Steel

M2 "ASP23 PM"

~ M3 PM

T11302 HS 6-5-2 ~HS 6-5-3 PM

1.3343

Tungsten High Speed Steel

T5 T12005 HS 18-1-2-10 1.3265

High Alloy Cold Work Steel

D2 D3

T30402 T30402

X155 CrVMo 12-1 X210 Cr12

1.2379 1.2080

Medium Alloy Air Hardening

Cold Work Steel A2 T30102 X100 CrMoV5-1 1.2363

Oil Hardening Cold Work Steel

O2 T31502 90 MnCrV8 1.2842

Low Alloy Tool Steel L3 T61203 100 Cr6 1.2067

Unalloyed Water Hardening Tool Steel

W110 T72301 C110 W2 1.1650

Hot Work Tool Steel H13 T20813 X40 CrMoV5-1 1.2344

Table 1. Tool Steels Suitable for CVD Coating9-10

Page 435: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 439

and 5 for a high alloy tool steel (D2) and a lowalloy tool steel (L1), respectively.

Although the CCT diagrams have beendetermined for austenitizing conditionsdifferent from CVD coating, they can be used

to get a rough estimation of the resulting toolsteel microstructure and hardness after CVDcoating and slow cooling. CVD coating andslow cooling of a small D2 batch may exceedthe critical cooling velocity for martensite

Fig. 4: CCT diagram of D2 tool steel. Source: Thyssen Edelstahlwerke AG, Werkzeugstähle,Druckschrift 1122/5, Ausgabe, June 1986.

Fig. 5: CCT diagram of L1 tool steel.17

1200

1100

1000

900

800

700

600

500

400

300

200

100

0

Tem

pera

ture

in

°C

Minutes1 10 100 1000 10000

1 10 102 103 104 105 106

A+C

MHartewertein HV

Ms

AC1e

AC1b

3P10 20

100

100 100

245

488

782 772 772 782 772 724 592 297 272

1500

1400

1300

1200

1100

1000

900

800

700

600

500

400

300

200

Tem

pera

ture

, °F

1 2 3 4 5 10 20 30 40 50 100 200 500 1000

Cooling Time, S

A3 = 1446°F

A1 = 1390°F3/16

1/4

1/8

1/16Fs

A+CFs& Ps

F+P+A+C

Bs

F+A+C

A+C

Ms

M+A+C

F+B+A+C

F+B+M+A+C

F+B+M+A+CF+P+B+M+A+C

Transformed10% 100%50%

F+P+B+A+C10% 50% 100%Transformed

Type 210 (AISI L1)C, 1.06 Mn, 0.33 Si, 0.32Ni, 0.11 Cr, 1.44 Mo, 0.03Austentized at 1550°C100% grain size, No.9

Cooling curves from1550°F at indicateddistances fromquenched end

LegendA - AusteniteM - MartensiteF - FerriteP - PearliteB - BainiteC - Carbide

F + P 3 2

SecondsTime →

10 %50 %

3/81/2

3/4 11½

Page 436: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler440

transformation in this high alloy tool steel. Thisis proven by the microstructure of a CVD TiNcoated D2 in Figure 6, which consists ofmartensite, retained austenite (~10 vol.% by x-ray diffraction) and carbides with a hardness of770 HV1. This is not an ideal microstructure ofa quench hardened D2 (see below). With largerbatches even this high alloy tool steel will fallbelow the critical cooling velocity formartensite transformation and contain pearlite/bainite phases. This is confirmed by themicrostructure of a CVD TiN coated D2 inFigure 7, which consists of martensite, pearlite/bainite and carbides with a hardness of only480 HV1. A low alloy tool steel like L3 will inevery case fall below the critical coolingvelocity for martensite transformation duringcooling from CVD temperature. This results ina soft pearlite microstructure, as shown inFigure 8 for a CVD TiN coated L3 with ahardness of only 360 HV1.

These soft steel substrates do notsufficiently support the hard coatings underload, therefore they are not useful for a CVDcoated forming tool and need to be post heattreated.6-16 Different heat treatment paths of CVDcoated steel tools are possible. The most

complex heat treatment path (Figure 9d)consists of rough machining, stress relieving,finishing, quench hardening plus tempering andfurther finishing before CVD. The final finishingshould result in a roughness R

max (DIN 4760) of

a few μm or less. After CVD a further quenchhardening plus tempering follows. AltogetherFigure 9 shows four different heat treatmentpaths.A. CVD/Tempering:

Coating of soft substrates, cooling aftercoating sufficient for martensite formation.

B. CVD/Hardening/Tempering:Coating of soft substrates, cooling aftercoating not sufficient for martensiteformation.

C. Hardening/Tempering/CVD/Tempering:Coating of hard substrates, coolingafter coating sufficient for martensiteformation.

D. Hardening/Tempering/CVD/Hardening/Tempering:Coating of hard substrates, cooling aftercoating not sufficient for martensiteformation.Basically, during the heat treatment of CVD

coated tools the common rules for heat treating

Fig. 6: Microstructure of D2/TiN, small batch, 10% alcoholic HNO3 etch.

10 μm

Page 437: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 441

tool steels18,19 must be followed. All of the aboveheat treatment paths require that quenchhardening and tempering before and afterCVD coating should be done in vacuum orprotective atmosphere to protect either thesurfaces to be coated or the CVD coatings from

damages like oxidation. Further, preheatingsteps during heating and an equalizing stepduring quenching should be provided, toensure uniform temperature which promotesuniform stress distribution in the tools. Oilquenching, gas quenching or even gas

Fig. 7: Microstructure of D2/TiN, large batch, 10% alcoholic HNO3 etch.

Fig. 8: Microstructure of L3/TiN, 3% alcoholic HNO3 etch.

10 μm

10 μm

Page 438: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler

442

12

00

10

00

80

0

60

0

40

0

20

00

Rough MachiningStress Relieving

Finishing

CVD

Equalizing

Tempering

Tempering

Tim

e

Temperature (°C)12

00

10

00

80

0

60

0

40

0

20

00

Temperature (°C)

Rough MachiningStress Relieving

Finishing

CVD

Equalizing

Tempering

Tempering

Quench Hardening

Tim

e

(a)

Fig

. 9:H

eat treatment paths. (P

lease see Fig. 9d in next page).

(b)

12

00

10

00

80

0

60

0

40

0

20

00

Temperature (°C)

Quench Hardening

Rough Machining

Stress Relieving

Finishing

Equalizing

Tempering

Tempering

Finishing

CVD

Equalizing

Tempering

Tempering

Tim

e(c)

Page 439: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 443

1200

1000

800

600

400

200

0

Tem

pera

ture

(°C

)

Rou

gh M

achi

ning

Str

ess

Rel

ievi

ng

Fin

ishi

ng

Que

nch

Har

deni

ng Equ

aliz

ing

Tem

perin

g

Tem

perin

g

Fin

ishi

ng

CV

D

Que

nch

Har

deni

ng Equ

aliz

ing

Tem

perin

g

Tem

perin

g

Time(d)

Fig. 9: Continued.

quenching with martempering can be used,depending on the tool steel, the tool dimensionsand the available heat treatment equipment. Thenumber and temperatures of tempering stepsdepend on the tool steel. High alloy tool steelssuch as D2 are tempered at secondary hardeningtemperatures, where besides the partialdecomposition of martensite and retainedaustenite, fine alloy carbide precipitationoccurs. These fine alloy carbide precipitatescause a hardness increase (Figure 10).20 Besidesunwanted new martensite is formed duringcooling from the first tempering step.21 Thus,multiple tempering, at least two times, shouldbe performed, to temper this new martensite.Distortion during CVD and heat treatmentshould be minimized by adequate chargingsupport of the tools.

Some characteristics of the different heattreatment paths are given in Table 2. The degreeof complexity rises from heat treatment path Ato D. But the simpler heat treatment paths havesome problems concerning microstructures andproperties of the tool steels and may not besufficient for high quality forming tools. Forlow alloy tool steels, like L3, the hardness willbe too low after heat treatment path A. For highalloy tool steels, like D2, the hardness may behigh after heat treatment path A, but pre-eutecticcarbide precipitation on grain boundaries may

occur during cooling from CVD temperature(see CCT diagram, Figure 4) and significantlyreduce the toughness. Further, CVD temperaturesare usually lower than common austenitizingtemperatures of high alloy steels. This resultsin less dissolved carbon and carbide formingelements (W, Mo, V,) in the austenite andprevents secondary hardening by fine alloycarbide precipitation during tempering(Figure 10). These problems can be solved byheat treatment path B with an additional quenchhardening step after CVD.

Besides obtaining a useful tool steelmicrostructure, controllable distortion isanother requirement of CVD coated formingtools, because the small coating thicknesshinders post machining. Distortion due to heattreatment is influenced by many factors.22, 23 Onefactor is the different specific volume of variousphases in steel (Figure 11).24 The heat treatmentpaths A and B have the following in common.Finishing and CVD coating are done in the softannealed state of the tool steel (ferrite +carbides), whereas the final state of the tool steelis quench hardened and tempered (martensite +retained austenite + carbides). This differencein phases causes a difference in specific volumeand thereby distortion of the tool. Heattreatment paths C and D try to avoid this

Page 440: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler444

distortion by adjusting the desired final toolsteel phases already before finishing and CVD,i.e. by an additional quench hardening andtempering before finishing and CVD. Thisresults in the most complex, but also mostsuccessful heat treatment path D.

Fig. 10: Relationship between tempering temperature and hardness for D2 tool steel.

Fig. 11: Hardness and specific volume of steels in various states vs. cabon content.24

Case Studies

This chapter first describes somecharacteristic properties of CVD coatings andtool steel substrates, such as chemicalcomposition, microstructure, hardness, residual

D2

Air quenched from 1010°C

1040°C

980°C

70

65

60

55

50

45

40

Har

dnes

s, H

RC

200 400 600 800 1000 1200

As-Quenched

Tempering temperature, °F

As-quenched

100 200 300 400 500 600 700

Tempering temperature, °C

900

700

500

300

100

00 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6

C-Content (%)

Vic

kers

Har

dnes

s, H

V

HV Martensite

HV Hardened Steel

V Hardened Steel

V Mild Steel

HV Mild Steel

0.1294

0.1290

0.1286

0.1282

0.1278

0.1274

0.1270

Spe

cific

Vol

ume,

V (

cm3/g

)

Page 441: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 445

stresses, adhesion and distortion. In this sectionthe interaction of these properties in somepractical case studies of CVD coated steelforming tools will be presented.

For the characteristic properties tworepresentative examples are chosen: the CVDTiN coated and post heat treated high alloy toolsteel D2 and the low alloy tool steel L3, bothheat treated following path D. The completeheat treatment parameters are:D2:• Hardening + Tempering:

1050°C, 20 min/oil/3× (500°C 1.5 h)• Finishing• CVD TiN:

1000°C, 2.5 h/Furnace Cooling• Hardening + Tempering:

Vacuum 1050°C, 20 min/oil/3×(Vacuum 500°C, 1.5 h)Respectively:Vacuum 1050°C, 20 min/N

2 6 bar/3×

(Vacuum 500°C, 1.5 h).L3:• Hardening + Tempering:

830°C, 20 min/oil/180°C, 2 h• Finishing• CVD TiN:

1000°C, 2.5 h/Furnace Cooling• Hardening + Tempering:

Vacuum 830°C, 20 min/oil/air 180°C, 2 h.Even austenitizing temperatures above the

CVD temperatures cause almost no changes inthe chemical compositions of the D2 substrateand TiN coating as measured by GDOS (glowdischarge optical spectroscopy), if the post CVD

quench hardening is done in vacuum.Comparing Figures 12a (as coated) and 12b(coated + hardened) reveal no significantdifferences. The TiN coating (thickness ~5 μm)remains unchanged (nearly stoichiometric) andin the interface no significant interdiffusion dueto austenitizing seems to occur. At loweraustenitizing temperatures of L3/TiN invacuum even fewer changes in chemicalcomposition are expected.25-30

Significant, desirable changes are found inthe microstructures of the CVD coated andsubsequently heat treated tool steels. Comparedto the non-optimal martensitic microstructureor the pearlite/bainite microstructure of D2/TiNin Figures 6 and 7, a useful microstructure forhigh alloy tool steels can be achieved bysubsequent quench hardening and tempering.Figure 13a shows the quench hardened state.The D2 substrate consists of martensite, retainedaustenite (20-30 vol.% by x-ray diffraction) andcarbides with a hardness of 800 HV1. Aftersubsequently tempering three times atsecondary hardening temperatures fine, alloycarbide precipitates are observed and thehardness increases (Figure 13b, 750 HV1). TheTiN coating (thickness ~5 μm) remainsunchanged, homogeneous and withoutmicroscopic defects. This is confirmed in SEMinvestigations (scanning electron microscope)of quench hardened and tempered D2/TiN,where a typical CVD coating microstructureconsisting of columnar crystals with prismaticapices, but no pores, cracks or delaminationsare found (Figure 14). Even higher hardness and

HeatTreatment

PathComplexity Hardness

CarbidePrecipitations

on GrainBoundaries

SecondaryHardening

Distortion,SpecificVolume

A Low (-) - - -

B Medium + + + -

C Medium (-) - - (+)

D High + + + +

Table 2. Characteristics of Different Heat Treatment Paths + Good Properties,Possible Poor Properties

Page 442: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler446

microstructure changes can be found in the CVDcoated and subsequently heat treated low alloytool steel L3/TiN. The soft pearliticmicrostructure of the L3 substrate in Figure 8turns into a predominantly martensiticmicrostructure with some retained austenite andcarbides after quench hardening (Figure 15,850 HV1). The TiN coating (thickness ~5 μm)remains unchanged, homogeneous and withoutmicroscopic defects. A further low temperaturetempering step results in a small hardnessdecrease to 810 HV1 with almost no visiblemicrostructure changes.25-30

A fundamental property of wear resistantCVD coatings for forming tools is their hardness.Thus coating hardness should not be reducedby subsequent quench hardening of the tools.Table 3 shows the microhardness measured onpolished surfaces of CVD TiN and forcomparison of PVD (reactive sputtered) TiNcoatings in the as deposited and quenchhardened state. Whereas the hardness of thePVD coating is significantly reduced by quenchhardening, the hardness of the CVD coatingremains almost constant. These results can beexplained by changes in the coating

1009080

70

6050

4030

2010

0

0 2 4 6 8 10 12 14 16Depth [μm]

Con

cent

ratio

n [A

tom

%]

N

Ti

Fe

C

D2 / HT-CVD TiN

(a)

100908070605040302010

0

0 2 4 6 8 10 12 14 16

Depth [μm]

Con

cent

ratio

n [A

tom

%]

N

Ti

Fe

C

D2/HT-CVD TiN / Quench Hardened + Tempered

(b)

Fig. 12: (a) Chemical composition of D2/CVD TiN, measured by GDOS and (b) Chemicalcomposition of D2/CVD TiN/quench hardened + tempered, measured by GDOS.

Page 443: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 447

microstructures, detected by evaluating half-widths (FWHM) of TiN interference lines inx-ray diffraction (Table 3). Large half-widths canbe interpreted as a high density of lattice defectsin the coating crystals, usually in conjunctionwith high hardness. The higher hardness andlarger half-width of PVD TiN coatings compared

to CVD TiN coatings in the as deposited state isattributed to their different growth mechanisms.This higher density of lattice defects in the PVDTiN coatings is relieved during quenchhardening, namely during austenitizing, whichis near the recrystallization temperature of TiN(0.4 T

S ≈ 1000°C). In CVD TiN coatings the

Fig. 13: (a) Microstructure of D2/CVD TiN/quench hardened, LVII 19-2/10, 1000 : 1 and(b) Microstructure of D2/CVD TiZ/quech hardened + tempered, LV 296.4/21.

(a)

10 μm

(b)

10 μm

Page 444: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler448

density of lattice defects is lower and thus notfurther reduced by quench hardening, as can beseen from their half-width and hardness values.

Beside microstructure and hardness, theresidual stresses in coatings and substrates are

of great importance for the practical behaviorof CVD-coated tools. Residual stress depthprofiles, measured by x-ray diffraction (sin2ψmethod) with stepwise sputtering of the surface,are shown in Figure 16. Because of the higher

Fig. 14: SEM micrograph of D2/CVD TiN/quench hardened + tempered, CS VIII 22-3/14.

Fig. 15: Microstructure of L3/CVD TiN/quench hardened, LVII 32-6/33, 1000 : 1.

3 μm

10 μm

Page 445: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 449

Coating Hardness HV0.025(each 9 Measurements,

Coating Thickness ~ 5 μm)

Half-Width (FWHM) of TiN{220} Lines in X-ray

Diffraction with Cr-KαRadiation

4140: HT-CVD TiN

4140: HT-CVD TiN /Quench Hardened

1800 ± 100

2000 ± 100

0.7°

0.7°

4140: PVD TiN

4140: PVD TiN /QuenchHardened

3700 ± 200

2800 ± 300

2.7°

2.0°

Table 3. Coating Hardness

thermal expansion coefficient of the steelsubstrate compared to the TiN-coating, asdeposited CVD TiN coatings on steel substratesusually have high residual stresses of -2000 to-3000 N/mm2. These high compressive residualstresses are beneficial for the practical behaviorof CVD coated tools.

Residual stresses in CVD coatings developduring cooling from coating temperature dueto different thermal contraction of coating andsubstrate as well as volume changes by phasetransformations. Figure 17 shows thecontraction of a CVD TiN-coating and a steel(L3) substrate during cooling from coatingtemperature (1000°C), assuming that coatingand substrate are not bonded. The coatingshrinks steadily according its thermal expansioncoefficient. The substrate first shrinks accordingthe thermal expansion coefficient of austenite.Then austenite transforms to ferrite andcementite and thereby the substrate volumeincreases. Finally the substrate shrinksaccording the thermal expansion coefficient offerrite and cementite. Because coating andsubstrate are bonded, the coating is compressedby the stronger substrate contraction. Assumingthe total substrate transformation at A

1 = 723°C,

the resulting residual coating strain can becalculated by

εcoat, res

= αcoat

(20°C - Tc) – [α

aust (723°C – T

c) +

εtrans

+ αferr

(20°C – 723°C)]

withε

coat, res= Residual coating strain,

Tc

= Coating temperature,α

coat= Thermal expansion coefficient of

the coating,α

aust= Thermal expansion coefficient of

austenite,α

ferr= Thermal expansion coefficient of

ferrite and cementite,ε

trans= Strain by transformation austenite

→ ferrite + cementite.From the residual coating strain, the residual

coating stress can be calculated assuming a two-dimensional stress state in the thin coating.

σcoat, res

= εcoat, res

Ecoat

/ (1 - νcoat

)

withσ

coat, res= Coating residual stress

Ecoat

= Young´s modulus of the coatingν

coat= Poisson's ratio of the coating

In Table 4 the calculated coating residualstresses are compared with values measured byX-ray diffraction. The agreement is quite good,so the described model can be used to predictresidual stresses in CVD coatings.

Post quench hardening and tempering ofD2/TiN does not influence the coating residualstresses significantly (Figure 16a). This is dueto the almost equal specific substrate volumes

Page 446: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler450

of D2 in the CVD-coated and post heat treatedstate. The D2 substrate residual stresses aremoved from slight tension to almost zero. Inthe low alloy tool steel L3/TiN, the coatingresidual stresses are strongly influenced by the

post quench hardening. They decreaseapproximately by 1000 N/mm2 (Figure 16b).This is due to the significant increase in thespecific substrate volume of L3 from the as-deposited (pearlitic) to the quench hardened

2000

1500

1000

500

0

-500

-1000

-1500

-2000

-25000 5 10 15 20 25 30

200

150

100

50

0

-50

-100

-150

-200

-250

Res

idua

l S

tres

s F

e (N

/mm

2 )

Fe: CVD / QuenchHardened + Tempered

Fe: CVD

TiN: CVD

TiN: CVD / Quench Hardened + Tempered

Depth (μm)

Res

idua

l S

tres

s T

iN (

N/m

m2 )

D2 / CVD TiN

2000

1000

0

-1000

-2000

-3000

-4000

0 5 10 15 20 25 30

200

100

0

-100

-200

-300

-400R

esid

ual

Str

ess

Fe

(N/m

m2 )

Res

idua

l S

tres

s T

iN (

N/m

m2 )

Fe: CVD / QuenchHardened + Tempered

TiN: CVD / Quench Hardened + Tempered

TiN: CVD

Depth (μm)

L3 / CVD TiN

Fe: CVD

(a)

(b)

Fig. 16: (a) Residual stresses of D2/CVD TiN and D2/CVD TiN/quench hardened + temperedand (b) Residual stresses of L3/CVD TiN and L3/CVD TiN/quench hardened + tempered,measured by x-ray diffraction (sin2ψ-method).

Page 447: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 451

(martensitic) state. The coating is firmly bondedon the substrate and follows the volume changeby reducing its compressive residual stresses.The L3 substrate residual stresses are moved toslight tension.25-30

Generally, residual stress changes in CVDcoatings after quench hardening are caused bysubstrate volume changes (Figure 11). Fromthese substrate volume changes, a substratestrain can be calculated assuming isotropy

0

-0.002

-0.004

-0.006

-0.008

-0.01

-0.012

-0.014

-0.016

ResidualStress

0 200 400 600 800 1000

Temperature (°C)

Str

ain

(%)

TiN

L3

Fig. 17: Coating and substrate contraction during cooling from CVD temperature.

Substrate/Coating 4140/CVD TiN L3/CVD TiN

Tc °C 1000 1000

αcoat 10-6 1/K 9.4 9.4

αaust 10-6 1/K 22.3 21.9

αferr 10-6 1/K 14.5 14.3

εtrans 10-3 2.8 1.8

εcoat, res 10-3 -4.4 -5.1

Ecoat N/mm2 430000 430000

νcoat - 0.2 0.2

σcoat, res calculated N/mm2 -2300 -2800

σcoat, res measured N/mm2 -1800 -3400

Table 4. Residual Stresses in As-Deposited CVD TiN Coating29

Page 448: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler452

material behavior. This substrate strain istransferred to the coating and causes a changein coating residual strain and thereby in coatingresidual stress.Δε

coat, res= Δε

sub = (v

sub, hard – v

sub, CVD) / 3 v

sub, CVD

Δσcoat, res

= Δεcoat, res

Ecoat

/ (1 - νcoat

)withΔε

coat, res= Change in coating residual strain

vsub, hard

= Specific substrate volume afterquench hardening + tempering

vsub, CVD

= Specific substrate volume after CVDΔσ

coat, res= Change in coating residual stress.

In Table 5 the calculated changes in coatingresidual stresses after quench hardening arecompared with values measured by x-raydiffraction. The agreement is quite good, so thedescribed model can be used to predict residualstresses in CVD coatings after quench hardening.

Another important property for the practicalbehavior of CVD-coated tools is coating

Substrate/Coating L3/CVD TiN D2/CVD TiN

Microstructure after CVD Ferrite + CementiteMartensite + 10% Retained

Austenite + Carbide

Vsub, CVD cm3/g 0.1275 0.1281

Hardening Quench HardeningQuench Hardening +

Tempering 500°C

Microstructure after HardeningMartensite + 20%Retained Austenite

Tempered Microstructure +Carbide

vsub, hard cm3/g 0.1284 0.1281

Δεcoat, res 10-3 2.3 0

Δσcoat, res Calculated N/mm2 1200 0

Δσcoat, res Measured N/mm2 1000 -280

Table 5. Residual Stresses in CVD TiN Coatings After Quench Hardening29

Substrate Hardness HV1(Each 9 Measurements)

Critical loads for cohesivefailure in scratch tests [N]

(each 9 scratch tests)

D2: HT-CVD TiN

D2: HT-CVD TiN/QuenchHardened + tempered

770 ± 13

746 ± 6

50 ± 5

50 ± 6

L3: HT-CVD TiN

L3: HT-CVD TiN/QuenchHardened + Tempered

359 ± 12

814 ± 8

27 ± 4

52 ± 3

Table 6. Critical Loads for Cohesive Failure in Scratch Tests

Page 449: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 453

adhesion. Coating adhesion is difficult tomeasure; attempts have been made using thescratch test. Wherein cohesive failure (cracksin the coating) and adhesive failure (coatingdelaminations) can be distinguished. Becausethe results of scratch tests depend also on thesubstrate deformation, they can be interpretedin terms of substrate load support for the coating.This is shown in Table 6, where critical loadsfor cohesive failure in scratch tests and substratehardness are tabulated for D2/TiN and L3/TiNas-deposited and post quench hardened. In thehigh alloy tool steel D2, substrate hardness andcritical loads are high in both cases, whereas inthe low alloy tool steel L3 the substrate hardnessincrease causes increased critical loads inscratch tests.25-30

The above mentioned differences betweenthe heat treatment paths B and D concerningdistortion are demonstrated in Figure 18. Softannealed and quench hardened + tempered D2microstructures are used as starting state for CVDTiN coating and subsequent heat treatment. Thesoft microstructure (Figure 18 left) leads tosignificant length changes of No.01%,especially after CVD, due to the difference inspecific volumes between starting and final

microstructure. The hardened microstructure(Figure 18 right) leads to smaller length changes,because the specific volumes of starting andfinal microstructure are similar. This result isstill not optimized; by varying the temperingtemperature the tool dimensions can be targetedon the desired values (Figure 19).10

The difference in specific volume is onlyone of many reasons for distortion during heattreatment.22,23 Another important influencingfactor is the quenching medium duringhardening. Fluids or gases can be employed asquenching media. Advantage of fluids is theusually higher cooling power, which isnecessary for low alloy steels and large tooldimensions. High alloy tool steels can profitfrom the main advantage of gases, the possiblylower distortion, due to controllable coolingpower and absence of a re-wetting stage duringcooling. This is proven in Figure 20, whereCVD + hardening with oil quenching of D2/TiN cause significantly greater shape changesthan CVD + hardening with gas quenching(6 bar nitrogen).31,32

Forming tools such as drawing rings, deepdrawing dies or bending tools for sheet metalforming are typical examples of CVD-coated steel

0.04

0.03

0.02

0.01

0

-0.01

-0.02

-0.03

CV

D

Har

dene

d

CV

D +

Har

dene

d

CV

D

Har

dene

d

CV

D+

Har

dene

d

Starting Microstructure: Soft Starting Microstructure: Hardened

Each Bar 6 Specimens: ≈0.004 mm

Leng

th C

hang

es (

mm

),C

oatin

g T

hick

ness

Sub

trac

ted

Cube Specimens 40 mm of D2/TiN

Fig. 18: Length changes of D2/CVD TiN/quench hardened + tempered, heat treatment paths Band D.

Page 450: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler454

0.06

m m

0.04

0.03

0.02

0.01

0

-0.01

-0.02

-0.03

-0.04

-0.05

-0.06

StartingDimensional

200 300 400 450 550

64

HRC

60

58

56

54

Ha

rdn

ess

Tempering (°C)

Dim

en

sio

na

l C

ha

ng

es

Austenitizing 1030°C / Vacuum

Diameter 29 mmLength100 mmHardness

Fig. 19: Tool dimensions depending on tempering temperature.10

12

10

8

6

4

2

0CVD CVD + Hardened (oil) CVD + Hardened (gas)

Cube Specimens 40 mm of D2/TiN

Sha

pe C

hang

es [

μm]

Fig. 20: Shape changes of D2/CVD TiN/quench hardened, oil and gas quenching.

Page 451: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 455

8 16 24 32 40 48 56 60Work pieces

Cos

ts p

er W

ork

piec

e

ø 6

0

ø 1

00

30

Fig. 21: Tool costs for uncoated (dashed line) and CVD coated (solid line) ~D2 drawing rings(schematically).10

ToolWorkpiece,Operation

ToolSteel

ToolTreatment

Without CVD

Tool LifeWithout

CVD

ToolTreatmentWith CVD

Tool Lifewith CVD

DrawingRing

Steel Sheet2.25 mm,Drawing

≈D2

Hardening +Tempering +Electroplating

(Cr)

100 000Pieces

CVD TiC +Hardening +Tempering

1 000 000Pieces≈ 10×

withoutCVD

DrawingRing

Steel Sheet0.8 mm,Drawing

≈ D2Hardening +Tempering +Gas Nitriding

800 PiecesCVD TiC +Hardening +Tempering

100 000Pieces≈ 100×withoutCVD

DeepDrawing

Die

Steel Sheet1 mm,Deep

Drawing

≈D2Hardening +Tempering(60 HRC)

200 000PiecesWith

Reworking

CVD TiC +Hardening +Tempering

2 000 000Pieces≈ 10×

WithoutCVD

BendingTool

Steel Sheet4 mm,

BendingD2

Hardening +Tempering (58 HRC)

1 000PiecesWith

Reworking

CVD TiN +Hardening +Tempering

50 000Pieces≈50×

WithoutCVD

Table 7. Case Studies, Practical Examples9,10

tools. In Table 7 some of these CVD-coated andsubsequently hardened high alloy steel tools arecompared before and after CVD coating. “Before”conditions include nitriding and electroplating.In all cases a significant increase (10× to 100×) in

tool life without reworking can be achieved byCVD-coating and post hardening. The increase intool life overbalances the additional costs forcoating and heat treatment in most cases. This isdemonstrated in Figure 21, where tool costs of

Page 452: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler456

uncoated and CVD-coated drawing rings areschematically plotted against the number ofworkpieces. Even for a relatively small number ofworkpieces, the CVD-coated tool becomesprofitable. Further, machine downtime for toolchange is minimized and workpiece quality isenhanced.10

This highlights the significant potential ofCVD-coating and post hardening for formingtools. Two limitations should be mentioned.1. These examples can not be generalized for

every tool. Each tool with its own wearsystem consisting of wear partners,lubricants, environmental conditions, etc.should be analyzed and a specific tool steel,coating and heat treatment must be chosen.

2. Design, tool steel selection, machining,coating and heat treatment of asuccessfully coated tool should not happenindependently in different divisions of acompany or even different companies, butin cooperation, because many interactionsexist between design, tool steel, machining,coating and heat treatment of tools.

Future Directions

Future developments of CVD-coatedforming tools attempt to improve the qualityand lifetime of tools as well as reducemanufacturing costs. Actual research examplesof these future directions are:• Interdiffusion between coating and

substrate,• Anisotropic distortion, and• Combined processes.

Interdiffusion processes between coatingand substrate during deposition and heattreatment occur due to the high CVD andaustenitizing temperatures. They can stronglyinfluence the chemical composition in theinterface and affect tool quality, e.g. coatingadhesion or load support of the substrate.Carbon diffusion from tool steel substrates intothe coatings is a special problem. Carboncontent in the coating depends on CVDatmosphere, temperature and pressure as wellas on the carbon activity of the substrate andcan be controlled to a certain extent.33,34

Simultaneously, coating elements such astitanium can diffuse from the coating into thesubstrate. The consequences of this change inchemical composition of the substrate interfaceare not well known. Figure 22 (taper section15°) shows a noticeable consequence in themicrostructure of a low pressure (LP) CVD TiN-coated and post quench hardened unalloyedtool steel similar to W110, a soft pearliteinterlayer. In a depth corresponding to thepearlite layer, titanium concentrations of up to0.4 wt.% are measured by EPMA (electron probemicro analysis), whereas the base substratecontains almost no titanium (Figure 23).Titanium has diffused from the coating into thesubstrate. Such titanium concentrations are ofgreat importance for the transformationbehavior of steels. Titanium increases thecritical cooling velocity for martensitetransformation35 resulting in pearlite instead ofmartensite in the substrate interface. Thispearlite layer has a low hardness of ~500 HV 0.025 instead of ~900 HV 0.025 in the coreand degrades load support for the coating. Theseinterdiffusion processes must be understood andcontrolled to optimize coating /substrate-properties.

Distortion of CVD-coated tools duringdeposition and heat treatment stronglyinfluences manufacturing costs, due tore-working of tools or assembling segmentedtools. Here anisotropic dimensional changes ofhigh alloy steels are of special interest, becausethey are very difficult to control. The anisotropicdimensional changes during quenching of ahigh alloy tool steel similar to D3 aredemonstrated in Figure 24. Depending onforging reduction, longitudinal and transverseto the forging direction different dimensionalchanges are observed. At common high forgingreductions, longitudinal dimensional changesare greater than transverse dimensionalchanges. This is explained by the tool steelmicrostructure with stretched carbides arrangedin longitudinal lines.36 Because the reason for thisdistortion behavior lies in the history of the toolbefore CVD and heat treatment, further attemptsmust be made to understand distortion not onlyas a result of heat treatment, but as a result of the

Page 453: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 457

Fig. 22: Microstructure of ~W110/LP-CVD TiN/quench hardened, taper section 15°, 3% alcoholicHNO3 etch.

1

0.8

0.6

0.4

0.2

0

0 40 80 120 160 200

Coating SubstrateTaper Section 15°

Pearlite Martensite, ret. Austenite, Carbide

Depth [μm]

Ti-

Con

cent

ratio

n (w

t.%

)

Fig. 23: Titanium content of ~W110/LP-CVD TiN/quench hardened (EPMA analyzis).

10 μm

Page 454: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler458

whole manufacturing history of the tool, includingdesign, steel selection, casting, forging,machining, coating and heat treatment.22,23

Although CVD coating and subsequenthardening is already a combined process, furtherimprovement in tool quality may be achievedby combinations of CVD with other surfaceengineering technologies, e.g. CVD + inductionhardening or carburizing + CVD.37, 38 CVD +induction hardening offers the possibilities ofselective hardening, reducing distortion andinducing compressive residual stresses in thesubstrate surface. Figure 25 shows an exampleof a CVD TiN-coated and induction hardenedlow alloy tool steel L3. The substrate surfacehardness was ~880 HV1, the hardened substratedepth (80% surface hardness) extended to~1 mm underneath an almost unchanged TiN-coating (thickness ~6 μm) with no

microscopic defects.39,40 From a large numberof CVD + induction hardening processes, awindow of successful process parameters wasdrawn into a continuous TTT-diagram of L3for austenitizing. Figure 26 shows, that thiswindow is relatively small and limited to hightemperatures by coating damage, to lowtemperatures by insufficient hardening, tohigh times by full hardening and to low timesby low load support for the coating. Similardiagrams can be drawn for other steels subjectedto CVD + induction hardening.

Carburizing + CVD offers the possibilitiesof strengthening the substrate surface andinducing compressive residual stresses in thesubstrate surface. Further, it can be donesequentially in one chamber just by changingthe atmosphere from low pressure carburizingto CVD. Figure 27 shows an example of a

0.25

0.20

0.15

0.10

0.05

0

-0.05

-0.10

-0.15

-0.20

-0.25

Longitudinal 950°/Oil

Forging Reduction

Longitudinal 1000°/Oil

Transverse 950°/Oil

Dim

en

sio

na

l C

ha

ng

es

%

As-

cast

0 50 70 80 90 95

Fig. 24: Anisotropic dimensional changes during quench hardening of ~D3.36

Page 455: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 459

Fig. 25: Microstructure of L3/CVD TiN/induction hardened (a) substrate, 3% alcoholic HNO3

etch and (b) surface, 3% alcoholic HNO3 etch.

carburized, CVD TiN-coated and subsequentlyquench hardened (gas quenching 6 barnitrogen) hot working tool steel H13. Thesubstrate surface was carburized up to 0.6 wt.%carbon and a depth of ~1 mm. The substratesurface hardness was ~780 HV1, the core was

~600 HV1; the TiN-coating (thickness ~3 μm)remained almost unchanged with nomicroscopic defects.41 These initial results,which of course must be optimized, highlightthe significant potential of combined processesfor CVD-coated forming tools.

(a)

(b)

10 μm

Page 456: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler460

1200

1100

1000

900

800

700

0.1 1 10 100 1000 10000

Heating Time (s)

TTT-Diagram for Austenitizing

Insufficient Hardening

Low Hardness Depth

Window of Process Parameters Coating Damage

Full Hardening

A

A + C

F + A + C

F + C

Sample D = 20 mmSample D = 50 mm

Tem

pera

ture

(°C

)

L3/CVD TiN/Induction Hardening~200 kHz, 10 kW/Oil

Fig. 26: TTT diagram for austenitizing of L3/CVD TiN/induction hardened.

Fig. 27: Microstructure of H13/carburized/CVD TiN/quench hardened (a) survey, Fe-Cr-etch and(b) surface, Fe-Cr-etch. [Please see (b) in next page].

(a)

200 μm

Page 457: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 461

Summary

For good performance of CVD (e.g. TiN)-coated forming tools, as for almost every coatedcomponent or tool, not only good coatingproperties, but good substrate properties arenecessary. This means for most CVD-coated steeltools, that they must be subsequently quenchhardened and tempered to restore high substratestrength which has been lost during exposureto high coating temperature and slow cooling.Different heat treatment paths with differentcomplexities are discussed. Best substrate/coating-properties and smallest distortion canbe achieved by a complex heat treatment pathin the order quench hardening, tempering, CVD,quench hardening, tempering. During thevarious treatment steps several parameters,specific for CVD-coated tools, must be takeninto account (protective atmospheres,equalizing steps, etc.). The chemicalcomposition, microstructure and hardness ofCVD TiN-coatings are usually not significantlyinfluenced by subsequent quench hardening ofthe tools. Coating residual stresses can stronglybe influenced depending on substrate volumechanges. Coating adhesion is usually enhanceddue to the load support of the hardened tool

steel. When design, tool steel, coating and heattreatment are well coordinated, significantlonger lifetimes can be obtained on CVD-coated tools compared to uncoated tools. In thiscase, the tools are profitable, althoughmanufacturing costs are higher. Furtherimprovements are possible in the future byworking on combined processes.

References

1. R.F. Bunshah and C.V. Deshpandey, HardCoatings, Vacuum, 39(10), 1989,pp.955-965.

2. Ch. Wick, Coatings Improve Tool LifeIncrease Productivity, ManufacturingEngineering, 1986.

3. K. Keller and F. Koch, BeschichteteUmformwerkzeuge für die Blechverar-beitung, Bänder Bleche Rohre, 7, 1991, p.37.

4. R. Hegi, Vergleichende Betrachtung vonPVD/CVD Techniken und Schichten,Fachbroschüre Oberflächentechnik, 3,1985, p.35.

5. G. Ebersbach and E. Mey, Anwendung vonHartstoffschichten zur Leistungssteigerungvon Werkzeugen, Stahlberatung, 16(3),1989, p.18.

6. H.E. Hintermann, Tribological and

Fig. 27: Continued.

(b)

10 μm

Page 458: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Kessler462

Protective Coatings by CVD, Thin SolidFilms, 84, 1981, p.215.

7. A. Oldewurtel, Surface Treatment Methods,Mold-Making Handb. for the PlasticEngineering, Carl Hanser, Munich,Germany, Distributed by Macmillan Publ.Co., New York, NY., 1983, pp.351-372.

8. A. Oldewurtel, Neuentwicklungen bei derOberflächenbehandlung von Kaltumform-werkzeugen, Draht, 31(2), 1980, p.78.

9. A. Oldewurtel and K.R. Eversberg,Mehrleistung mit CVD-BeschichtetenUmformwerkzeugen, wt-Z.ind.Fertig., 75,1985, p.299.

10. A. Oldewurtel and K.R. Eversberg , CVD-Beschichtung von Werkzeugen, Härterei-Technische Mitteilungen, 44(1), 1989, p.25.

11. K. Keller, Beschichtungen vonWerkzeugen im Fahrzeugbau -Voraussetzungen, Herstellung undErfahrung, Umformtechnisches Kolloquium,VDI-Gesellschaft Umform-technik,Düsseldorf, 1988.

12. A. Inzenhofer, Verbesserung des Verschleißund Korrosionsverhaltens MetallischerOberflächen durch Behandlung nach demCVD-Verfahren Teil I, FachberichteHüttenpraxis Metallweiterverarbeitung,22 (4), 1984, p.318.

13. A. Inzenhofer, Verschleißschutz durchCVD-Behandlung Standzeiterhöhung,Industrie-Anzeiger, 11, 1988, p.28.

14. W. Schintlmeister, O. Pacher, W. Wallgram,and J. Kanz, Anwendung und HerstellungVon CVD-Hartstoffschichten für dieSpanende und Spanlose Formgebung,Metallurgical, 34(10), 1980, p.905.

15. E. Broszeit and H.M. Gabriel,Beschichten nach dem CVD-Verfahren,Z. Werkstofftech, 11, 1980, p.31.

16. W. Ruppert, E. Horvath, and A.J. Perry,Werkstoffprobleme Von Stählen bei derChemischen Abscheidung aus der Gasphase,Härterei-Technische-Mit te i lungen ,Vol.36(No.6), 1981, p.306.

17. G. Roberts, R. Cony, Tool Steels, ASM, 1985,p.342.

18. G. Krauss, Steels – Heat Treatment andProcessing Principles, ASM, Metals Park,Ohio, 1990.

19. G.A. Roberts and R.A. Cary, Tool Steels,ASM, Metals Park, Ohio, 1985.

20. Heat Treating, ASM Hand Book, 4, 1994,p.743.

21. A. Kulmburg, E. Kaiser, and F. Korntheuer,Vorgänge beim Anlassen von Warm-undKaltarbeitsstahl, Härterei-TechnischeMitteilungen, 44(2), 1989, p.83.

22. K. Heeß, G. Besserdich, R.B. Damaschek,M. Ehlers, H.J. Grasemann, M. Hoferer,O. Keßler, T. Lübben, A. Majorek,S. Miskiewicz, G. Schmitt, B. Thoden,J. Volkmuth, D. Wiedmann, and H.W. Zoch,Maß und Formänderungen infolgeWärmebehandlung, Expert Verlag,Renningen, 1997.

23. J. Volkmuth, Eigenspannungen und Verzug,Härterei-Technische Mitteilungen, 51(3),1996, pp.145-154.

24. M. Tisza, Physical Metallurgy forEngineers, ASM International and FreundPublishing House, Israel, in Press, p.273.

25. O. Keßler, F. Hoffmann, and P. Mayr,Thermal Treatment of CVD and PVDCoated Steels, Proceedings of ConferenceSurface Engineering, Bremen, Germany,1993, DGM.

26. O. Keßler, F. Hoffmann, and P. Mayr,Nachwärmebehandlung CVD und PVDhartstoffbeschichteter Stähle Teil I,Härterei-Technische Mitteilungen, 49(1),1994, pp.48-57.

27. O. Keßler, F. Hoffmann, and P. Mayr,Nachwärmebehandlung CVD und PVDhartstoffbeschichteter Stähle Teil II,Härterei-Technische Mitteilungen, 49(3),1994, pp.191-197.

28. O. Keßler, F. Hoffmann, and P. Mayr,Residual Stresses in CVD Coated andThermal Treated Steels, SurfaceModification Technologies VIII,T.S. Sudarshan and M. Jeandin, eds., TheInstitute of Materials, Nice, France, 8, 1995,pp.940-943.

29. O. Keßler, Nachwärmebehandlung CVDund PVD hartstoffbeschichteter Stähle,Dissertation University Bremen,Papierflieger, Clausthal-Zellerfeld,Germany, 1995.

30. O. Keßler, F. Hoffmann, and P. Mayr,

Page 459: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Heat Treatment of CVD-Coated Tool Steels 463

Mechanical Properties and Structure ofCVD Coated and Post Heat Treated Steels,Surface and Coatings Technology, 89,1997, pp.299-304.

31. O. Keßler, F. Hoffmann, and P. Mayr, Maßund Formänderungen bei derGasabschreckung des unbeschichteten undCVD-beschichteten WerkzeugstahlsX155CrVMo 12-1, Härterei-TechnischeMitteilungen, 50(6), 1995, pp.337-343.

32. O. Keßler, F. Hoffmann, and P. Mayr,Distortion of CVD Coated and GasQuenched Tool Steels, SecondInternational Conference on Quenchingand the Control of Distortion, (Proceedingsof Conference), Cleveland, Ohio, 1996,pp.171-178.

33. O. Keßler, F. Hoffmann, and P. Mayr,Interdiffusion During CVD Coating ofSteels: Influences on structure andProperties, 11th Congress of theInternational Fed. for Heat Treatment andSurface Engineering/4th ASM HeatTreatment and Surface EngineeringConference in Europe, Florenz,(Proceedings of Conference), D. Fiarro andE.J. Mittemeijer, eds., Associazione Italianadi Metallurgia, 2, 1998.

34. O. Keßler, F. Hoffmann, and P. Mayr,Microstructure and Property ChangesCaused by Diffusion During CVD Coatingof Steels, International Conference onMetallurgical Coatings and Thin Films,(Proceedings of Conference), San Diego,1999, (to be published).

35. E. Houdremont, Handbuch derSonderstahlkunde, Springer, Berlin, 1956.

36. J. Frehser, Anisotrope Maßänderungen beider Wärmebehandlung ledeburitischerChrom-Werkzeugstähle, Arch. Eisenhüt-tenwes., 24(11/12), 1953, p.483.

37. O. Keßler, F. Hoffmann, and P. Mayr,Systematik der Kombinationsverfahren,Härterei-Technische Mitteilungen, 52(.3),1997, pp.150-155.

38. O. Keßler, F. Hoffmann, and P. Mayr,Combinations of Coating and HeatTreating Processes: Establishing a Systemfor Combined Processes and Examples,International Conference on MetallurgicalCoatings and Thin Films, (Proceedings ofConference), San Diego, B.D. Sartwell,J.H. Givens, C. Mitterer, and S.L. Rhode,eds., Elsevier, Amsterdam, 1998, pp.211-216.

39. K. Pantleon, O. Keßler, F. Hoffmann, andP. Mayr, Randschicht und durchgreifendesHärten Von 42CrMo4 nach CVDBeschichtung, Härterei-TechnischeMitteilungen, 54(3), 1999.

40. K. Pantleon, O. Keßler, F. Hoffmann, andP. Mayr, Induction Surface Hardening ofHard Coated Steels, InternationalConference on Metallurgical Coatingsand Thin Films, (Proceedings ofConference), San Diego, 1999, to bepublished.

41. H. Surm, O. Keßler, F. Hoffmann, andP. Mayr, Carburized, CVD Coated and GasQuenched Steels: Carbon Profile,Microstructure and Hardness, EUROMAT,(Proceedings of Conference), Munich,Germany, 1999, to be published.

Page 460: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

3-Hydroxyimino-2-methylbutyl-2-hydroxylamine, 292

1,1,4,7,7-Pentamethyidiethylenetriamine(pmdt), 209

2, 2, 6, 6-Tetramethyl-3, 5-heptandionato, 2922-Amino-pent-2-en-onato or

acetylactoiminato, 2922D Graphitic layer, 3562-Imino-pen-en-4trifluoroacetylacetonato,

2922-Imino-pen-en-onato, 2923,4 Hexanedionedioximato, 292304 Stainless steel, 4034-Fluorostyrenes, 248α-Alumina phase, 123β-Alumina, 93, 120, 123, 128β-C

3N

4 Structure, 366

β-C3N

4 Type of bonding topology, 368

β-Diketone, 290β-Diketonate precursors, 215

Abrasion resistance, 93Abrasive resistance, 360Acaden-tic research, 216Acetylacetonate, 208, 292Acetylene, 333, 340, 351Acetylene oxygen flame, 85Acid rain, 119Acoustic wave filters, 380Activation energy, 31, 153, 158, 161, 183,

186, 193, 200-201, 338Activation overpotential, 153, 160Actuators, 288, 395Adducts, 209, 210, 214Adhesion, 23, 436, 445, 453, 456, 461Adhesive failure, 453Adsoption-oxidation method, 289Al

2O

3 Insulator coatings, 403

Alcenes, 288AlCl

3-SiCl

4-CO

2-H

2 system, 29

Alcohols, 340Aliphatic carbon, 309

Alkoxide precursor, 212Alloy(s), 2, 7, 12, 22, 391, 422

bimetallic alloys, 289Fe-Cr alloys, 422, 425ferrous alloy, 421high silicon aluminum alloys, 391manganese alloys, 391metallic alloys, 427Ni-Pd alloys, 293oxidation resistant alloys, 422oxygen charged vanadium alloy, 412silicon aluminum alloys, 391, 395superalloys, 84TiAl alloy, 84V-alloy, 406, 409vanadium-base alloys, 403, 414V-Cr-Ti alloys, 409, 410

Alternating magnetic flux, 402Alumina crucible, 155Alumina fibers, 92, 93Aluminides, 288Aluminum, 287-289

coatings, 85film, 49oxide, 422oxide scale, 417silicon alloys, 391

Amines, 340Amorphous carbonitride films, 365Amorphous film deposition, 2Anode/solid electrolyte, 144, 147, 149Anodic and cathodic potentials, 165Anthracene, 319Architectural finishes, 84Argon

atmosphere, 70gas, 221, 385ions, 352, 355ion beam, 354plasma, 70

Arrhenius equation, 36Arrhenius plot, 161

Subject Index

465

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 461: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index466

Arrhenius temperature, 185, 247Artificial atoms, 6Asymmetrical gas injection geometry, 219Atomic

force microscopy (AFM), 229halogens, 338hydrogen, 334-337, 381-384layer epitaxy (ALE), 6surface modification process, 23

Attractive friction and wear properties, 379Au-Ge-Ni, 288Auger electron spectroscopy, 366Austenitizing temperatures, 443-456Auxiliary phase, 103, 119-123,Avogadro number, 187, 201

Ba and Sr precursors, 212-215, 222, 224, 228,231, 238

Ba bis-hexafluoroacetylacetonate (Ba(hfa)2),

210Ba(thd)

2 tetraglyme, 209-210, 215, 222-223

Ball-on-disk, 361-362Ball-on-disk wear tests, 361Band gap, 357, 380Barium, 86, 90, 93, 96Barium strontium titanate, 90Ba-Sr-Ti-O-C-H system, 225Battelle salt fog testing, 96Bearings, 379, 390Bending tools, 455Bias nucleation process, 343Bibliographic synthesis, 289Bimetallic alloys, 289Bimodal size distribution, 344Binary diffusivity, 35, 188, 201Binder, 380, 391-392Biocompatibility, 380Biomedical industry, 243, 276Biotechnologies, 45Bismuth ruthenium oxide, 96Blister, 49Blue oxide, 411Bond lengths, 359Bonsanquet interpolation formula, 186Boron doping, 346Boron nitride, 183, 185, 198Boron trichloride, 25, 185, 198-200Boundary layer theory (BLT), 26Breakdown field strength, 91

Brittlefilm, 50, 52, 59, 61, 64fracture energy, 45mode, 68passivation films, 49

Brownian motion, 90BST (barium strontium titanate), 90BST thin films, 206, 207, 215, 217, 221-222,

232, 239Bubbling, 210, 213-214Buckled strips, 68Buckling, 54, 66-69, 77Buckling process, 66Bulk cracking energy, 46, 48Butler-volmer equation, 153

C3N

4 stoichiometry, 365-366

C5H

5 ligand, 308, 310, 324

C5H

8/C

5H

10 ratio, 313-314, 317

Cadmium and chromium plating, 93Calcium vanadate coatings, 412Calcium vanadate films, 409, 411, 417Calcium vanadate phase, 414, 417Candidate materials, 403CaO clusters, 412CaO corrosion behavior, 408CaO film, 405-406Capacitance density, 91Capacitors, 84, 90-91Capacitor coatings, 90Capacitor fabrication, 206Carbon, 380-393

diffusion, 456dimer, 385films, 84matrix, 354monoxide, 340phase diagram, 336radicals, 383

Carbonaceous gas, 334Carbon-carbon bonds, 357, 359Carbon-carbon composites, 391Carbon-free solvents, 87Carbon-hydrogen system, 337Carbonitride coatings, 363Carbonitride films, 365-366Carbonyl process, 3Carburization, 247Carburizing, 458, 459

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 462: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index 467

Carrier gas, 2, 9, 14-17Catalytic applications, 84Catalytic effect, 104Cation concentration, 225-226, 230Cationic composition ratios, 224Cavity formation, 49CCVD reagent solutions, 96Centerline deposition rate, 185, 189-201Centerline reactant fraction, 189, 193, 194, 200Ceramics, 19-20, 345, 355

binders, 380coatings, 69insulators, 405

Cerium oxide, 92Chain propagation, 247, 251Chamber pressure, 222, 230, 235Chamber-and vacuum-based technologies, 83Chapman-enskog theory, 35, 199, 202Charge-transfer reaction, 153Chemical

boundary layer, 218energy, 104engineering, 287equilibrium, 27Inertness, 3, 361kinetics, 3, 17kinetic considerations, 337kinetic rate-limiting mechanisms, 24kinetics calculations, 340reactor, 124redox reaction, 124

Chemical vapor infiltration (CVI), 12, 183Chemiluminescence, 119Chloride powders, 146Chlorosilane, 14, 16, 247C-H-O phase diagram, 339, 340C-H-O-F and C-S-F phase diagrams, 340Chromia ceramic grain-boundary diffusion

data, 423Chromia scale, 423Chromia single crystals, 423Chromium, 333, 341Chromium metal, 423Chromium oxide, 422-423Circuit packaging, 380Cl contamination, 212Classic electrochemical theory, 120Clogging, 215Close-to-edge effects, 90

Cluster beam deposition, 365Cluster size, 87Coalescing, 246Coating(s), 2, 8, 12, 17, 22, 86, 95, 151, 198

Al2O3 Insulator coatings, 403aluminum coatings, 85calcium vanadate coatings, 412capacitor coatings, 90carbonitride coatings, 363ceramic coatings, 69corrosion protection coatings, 401, 421,

427, 431corrosion protection coatings, 421corrosion resistant coating, 95CVD coatings, 401, 417, 435-452CVD thin films, 46, 48CVD TiN coatings, 435, 447, 449CVD diamond, 337-346, 332electrical insulator coatings, 401, 417epitaxial coatings, 90, 92fiber coating, 183-201insulating coatings, 402low temperature coatings, 431micro coating, 81microcrystalline coatings, 382mullite coating, 28, 29, 31, 38nanocrystalline diamond (NCD) coatings,

384nanolaminate ceramic coating, 93nickel coating, 93non-adherent coatings, 26optical coatings, 10PVD coatings, 435, 436resistor coatings, 96rhenium CVD coating, 6SiC coatings, 69-70silicon coatings, 431slurry coating, 142, 151solid thin film coatings, 1synthetic diamond coatings, 381tungsten carbide coating, 28wear resistant CVD coatings, 446

Cobalt, 333Cocktail source, 215Coefficient of binary diffusion, 185-186Coefficient of diffusion, 186Cohesive failure, 453Cold wall reactors, 2, 9, 25Cold wall rotating disk CVD reactor, 9

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 463: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index468

Collision diameter, 35Combustible solvent, 82Combustion chemical vapor deposition

(CCVD), 81, 84Combustion flame, 382, 383Complex bonds, 75Complex geometries, 382, 386Complex surface reactions, 383Concave structure, 233Concentration gradient, 31, 34Concentric outer rings, 85Conduction and valence band, 161Controlling grain size, 379Conventional deposition techniques, 122Cool down mechanism, 221Cooling curves, 436Copper, 287, 289, 294, 296, 301-302, 313,

314, 317, 334, 341-342, 355foil substrates, 91metalization, 212nitrate, 86substrate, 52

Co-precipitation methods, 289Corrosion

oxidation resistance, 25protection coatings, 401, 421, 427, 431resistance, 3, 69, 84, 93, 248, 288resistant coating, 95

Counter electrode, 151, 152, 155-159Coupled microstripline phase shifters (CMPS),

93Covalent, 46Crack density, 52, 56-59, 69Crack propagation, 61, 69, 71-72Cracking, 45-77Cracking rate, 58Critical buckling strain, 66-67

cracking energy, 71-73fracture energy, 68-69loads, 453monomer concentration, 247radius, 36strain, 53, 59, 64, 66-67, 72, 74transverse strain, 66

Crystalline carbonitride composite, 368Crystalline film, 89Crystallization overpotential, 153,

155, 158, 160Crystallographic growth orientations, 386

Crystallographic morphology, 344Crystallographic surfaces, 76Cu metalization, 212Cu substrate, 52Curie temperature, 307Current - overpotential behavior, 159, 163,

165Cutting operations, 380, 389, 391Cutting tools, 84CVD

coated cemented carbide cutting tools, 436coatings, 435-436, 441, 444, 446, 449, 451-

452diamond, 337-346, 332diamond coatings, 391, 395films, 421of polymers, 243, 244, 246phase diagram, 28-31, 236-237precursors, 3, 12, 15, 17,

206, 208, 211, 217, 233, 238-239reaction, 25, 31, 35-36reactors, 8-9, 16, 436remperatures, 443, 445terminology, 2thermodynamics, 24thin films, 46, 48TiN coatings, 435, 447, 449

CVD-diamond-coated tools, 392-393CVI fiber coating process, 185, 191Cyclic voltammogram, 166-167, 171, 175Cyclohexane, 248Cyclopentadiene C

5H

6, 289

Cyclopentadienyl, 292compounds, 293derivatives, 293, 310, 314ligands, 289-290, 309, 313, 320, 323, 325

Cyclopentane C5H

10, 289

Cyclopentene C5H

8, 289

D2/Tin, 445, 449, 453

Dangling bonds, 337, 359Dangling surface bond, 387, 389DC-arc jet, 380DC-bias, 384DE-adhered zones, 67Deadhesion energy, 52Debonding, 45, 54, 66-69, 71-77Decohesion, 50, 69Degree of complexity, 443

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 464: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index 469

Degree of densification, 184, 198Deposition efficiency curves, 30Deposition modulus, 188-201Deposition rate, 87-88Detrimental effect, 297Diamond

clusters, 341films, 3, 84, 331, 379-381, 387, 393-396grains, 354, 384, 390grit, 332, 343nucleation, 334, 341, 343, 383, 385nuclei, 383powders, 380, 382, 386, 390tipped glass cutters, 380to-graphite solid state transformation, 342

Dielectric(s), 90constant, 205-206, 222, 225, 231, 239, 248,

255, 260, 262, 272-282loss, 206-207, 231polarization, 232

Diffusion, 25-26, 32, 34-40barrier, 422, 427barrier layers, 207coefficients, 410flux, 34processes, 425

Diffusive flux, 186-188Diffusivity ratio, 198, 199, 202Diketonates, 12, 15Dimethylcarbonate (C

3H

6O

3), 340

Dimethylethylenediamine, 292Dimethylglyoxime, 292Dislocations, 423-427Displacement reactions, 14Disproportionation reactions, 15Distillation techniques, 3Distortion, 435-436, 443 445, 453, 456, 458,

461DLHC films, 351, 354, 359-361Dome type reactor, 220, 228-229Doping, 423-424Drawing rings, 453, 456Dual ion beam sputtering, 354, 363Dual layer, 70-71Ductile substrate, 59, 61Dupré’s relation, 46Durability, 23Dwell time, 83, 88, 90Dynamic random access memory (DRAM), 92

Eddy currents, 402Eddy current loss, 402Elastic modulus, 23Elasto-plastic behavior, 69Electric polarization, 205, 206, 232Electrical insulator coatings, 401, 417Electrically insulating ceramics, 405Electrochemical

cell, 103-133potential, 109, 110potential gradient, 103, 105, 111, 113,

117, 122reaction, 104-108, 114, 116, 120, 123,

128, 142technique, 120

Electrochemistry, 152, 154Electrocrystallization, 151, 155Electro-crystallization behavior, 151Electrode/electrolyte interfaces, 104, 107, 152Electrodes and diffusion barriers, 233Electrolyte selection, 120Electromagnetic devices, 402Electromagnetic gas discharge, 334Electron

beams, 247beam evaporation techniques, 288cyclotron resonance (ECR), 12, 212diffraction, 337, 357, 359, 366diffraction pattern, 31diffraction techniques, 357energy dispersive-spectroscopy (EDS), 405energy loss spectroscopy, 357spin resonance, 357energy-dispersive and x-ray diffraction , 417and optoelectronic applications, 6, 20

Electronic conductivity, 109, 110, 113, 117, 120, 125, 130, 131

Electroplating, 455Electrostatic chuck, 217Elemental carbon, 87, 88Elemental carbon system, 337Ellipsometry, 93Endothermic reaction, 16, 245, 251Energetic ion, 336, 354EnginesEnthalpy increments, 27Environmental control, 88Epitaxial

barium strontium titanate, 93

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 465: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index470

coatings, 90, 92films, 83, 92film deposition, 2heterostructures, 4, 5SrTiO

3, 92

stresses, 48Epoxy resins, 391Epoxy-amine polymers, 248Equilibrium

deposition efficiency, 26equation, 109partial pressure of oxygen, 410partial pressures, 415, 416partial pressure ratio, 147

Ethanediamine Ou ethylenediamine, 292Ethanol, 87Ethers, 340Ethylene Bis (2,4-pentandion-iminoato), 292Ethylene glycol, 86Eversole’s process, 334Exothermic reaction, 17, 414Experimental adhesion, 46

Fabricating composite materials, 1Fabrication techniques, 104, 142Faraday’s law, 114, 125, 131, 154Fe-Cr alloys, 422, 425Ferroelectric

materials, 84polarization, 205, 206ram (FERAM), 206thin film materials, 205

Ferromagnetic behavior, 306Ferromagnetic nickel, 288, 306Ferrous alloy, 421Fe-Ta system, 74Fiber coating, 183-201Fiber degradation, 93Fiber volume fractions, 185Fiberglass composites, 391Fiber-reinforced plastics, 391Fick’s law, 34Field effect transistors, 346Field emission arrays, 346Film crackings, 58Film morphology, 12, 14, 16Film rotation, 92Film/substrate adhesion, 391Film/substrate system, 46-53, 69

Fine alloy carbide precipitates, 443First-order deposition reaction, 183, 185,

189, 200Flame characteristics, 87, 96Flame pyrometry, 96Flame-to-substrate distance, 96Flammable solvent, 86Flat-panel displays, 380Flat-rolled iron-silicon alloys, 402Fluid-dynamical, 218Fluoropolymers, 248, 270, 272, 273, 274Flushing solvents, 215Fowler-nordheim tunneling, 232Fracture mechanics, 52, 68Fracture thoughness, 63Fracture toughness parameter, 65Free energy, 16, 17Free energy change, 408Free radicals, 248, 251, 262, 270, 271Free-radical polymerization, 246Friction coefficient(s), 358, 380-389, 396Fuel cells, 84, 103, 104, 141Fuel cell applications, 98Fullerenes, 289Fullerene-like carbonitride, 368Fundamental adhesion, 46

Gallium arsenide, 15Galvanic cell, 110, 119, 120, 133Galvanostatic (constant PEVD current)

methods, 125Gas

activation, 334, 338cooled nuclear reactors, 288diffusion boundary layer, 90flow dynamics, 24flow pattern, 25, 31flow rate, 230injectors, 10mass transfer coefficient, 35molar density, 185, 187phase, 244-248, 251phase diffusion, 116, 121, 139, 158quenching, 441, 453, 459velocity, 26, 35

Gaseouschemical reactants, 245oxide sensors, 119, 121, 132phase reactants, 130

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 466: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index 471

precursors, 3species, 25-27

Gas-phasediffusion, 36nucleation, 14, 184products, 185, 199reactions, 14, 16unimolecular decomposition reactions, 14sensing model, 121solid interface, 337solid system, 26tight YSZ thin films, 146

Gem diamonds, 332Gem-quality diamonds, 380Generators, 402, 403Geometric effects, 31Geometric factors, 139Geometric properties, 115, 121, 131, 132Germanium, 351, 355, 361Germanium-doped silica, 85Gibbs free energy, 26, 27, 30, 36, 409Gibbs free energy of formation, 109Gibbs free energy of reaction, 130Glasses, 355Glow-discharge processes, 12Gorham process, 247, 249, 254, 265, 275Grain boundary(s), 423-427, 431

transport, 423transport behavior, 424diffusivity, 423

Graphite, 381, 383, 389, 391, 393, 396Graphite clusters, 359Graphite co-deposition, 334Green ceramic, 391, 392Greenhouse effect, 119Griffith’s energy criterion, 52Grinding wheels, 380Growth termination, 247

Halogen lamp, 216Heat spreaders, 380Heat treatment paths,

435, 440, 441, 443, 453, 461Heteroatoms, 289Heterogeneous chemistry, 14Heterogeneous nucleation, 36, 38Heterogeneous reactions, 26Heterojunctions, 244Hexafluoride, 14

Hexa-fluoroacetylacetonate ligand (hfac), 15Hexafluoroacetylacetonate, 292Hfa ligand, 293Hfac ligands, 15High molecular weight polyethylene (HMPE),

361High pressure high temperature (HPHT) route,

332High refractive index, 380High vapor pressure organometallics, 83High vapor pressure precursors, 82High power electronic devices, 380High pressure/high-temperature (HPHT)

method, 380High silicon aluminum alloys, 391High temperature superconductor research, 86Hirose method, 85Homogeneous

chemistry, 14nucleation, 427reaction, 212, 217solution, 424

Hot filament CVD (HFCVD), 334Hot-filament CVD, 380, 382, 383, 390Hot-wall reactors, 2Hp-Ht synthesis, 381Hydrocarbon gas, 351-354Hydrocarbon gas mixtures, 334Hydrocarbon/hydrogen mixtures, 380Hydrocarbonaceous radicals, 337Hydrogen carrier gas, 14Hydrogen isotopes, 405Hydrogen/hydrocarbon mixtures, 381Hydrogen-oxygen flame, 85, 86Hydrolysis, 247Hydrophilic, 48Hyper-eutectic aluminum-silicon alloys, 391

Ideal gas constant, 186, 201Ideal gas equation, 187, 189i-η behavior, 159, 160ILD (inter layer dielectric), 234Indium-tin oxide film, 361Induction magnetic flux, 403Infiltration techniques, 1Infrared spectroscopy, 357Inorganic CVD, 243, 246, 247, 253Inorganic-organic hybrids, 244Inorganic-organic nanocomposites, 244, 282

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 467: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index472

Insulating coatings, 402Insulating dielectrics, 4Insulator(s), 19, 20Insulator/corrosion protection coatings, 421Integrated circuits, 84Intellectual property, 88Interdiffusion processes, 456Interdiffusion-reaction zone, 77Interface cracking energy, 46, 49Interfacial adhesion, 68Interfacial fracture energy, 52, 66-69, 77Interfacial shear stress, 60, 61Inter-fiber pore size, 187, 193, 201Inter-fiber volume, 187, 188Intermetallic phase, 422Intrinsic component, 48Intrinsic stresses, 48Ion assisted deposition, 351, 354, 355Ion beam deposition, 336, 349, 351-356,Ion beam sputtering, 336, 351, 352, 354, 363Ionic conductivity, 104, 107, 108, 113,

117, 120, 125, 131Ionic radius, 208Ionic reactants, 103, 117Ionized magnetron sputtering, 365IrO

2 electrodes, 232

Iron, 333Irreversible overpotentials, 153Island type nuclei, 246Isolated Li, 406Isopropanol, 87Isopropoxy ligands, 212Isothermal conditions, 198Isotropic stress, 45

Kinetic barrier, 25Kinetic effects, 15Knudsen diffusion, 183, 185, 186, 196, 200Knudsen number, 187, 200, 201Knudsen regimes, 200

L3 substrate, 446, 451L3/Tin, 445, 446, 450, 453LaAlO

3 single-crystal substrate, 92

Lactate dehydrogenase (LDH), 361Lagrange multipliers, 185, 197, 201Laminar gas flow, 25, 35Laminated planar epoxy-glass capacitors, 91Landaw-ginzburg-devonshire theory, 231

Langmuir-hinshelwood mechanism, 299, 325Lanthanum phosphate, 93Lanthanum-strontium manganate (LSM), 99Laser CVD (LCVD), 288Laser plasma deposition, 351Laser polishing, 382, 386Lattice defects, 447, 448Leakage current, 206, 207, 222, 231-235Leonard-jones parameters, 35Liga process, 288Light emitting diodes, 4Linear regression curve, 167Line-of-sight, 3, 82-83, 91Liquid

delivery system, 214-216lithium, 403, 405, 414, 417mass flow controller (LMFC), 214metal coolant, 403metal cooling systems, 402metal-cooled magnetic fusion reactors

(MFRS), 401metal flows, 403precursors, 213, 214precursor solutions, 85, 86rocket motors, 6sodium, 123solution ccvd technology, 82source, 214based precursors, 82delivery technique, 214lithium environment, 403, 405

Low nucleation density, 235Low pressure low temperature (LPLT) route, 332Low pressure vapor synthesis process, 334Low temperature coatings, 431

Machining, 379, 386, 389-395Macro-indentation tests, 77Macro-molecules, 212Magnetic core plates, 402Magnetic flux, 402, 403Magnetic fusion reactor (MFR), 401-403Magnetohydrodynamic (MHD) forces,

402-403Magnetoresistive sensors, 288Magnetron sputtering, 336, 351, 354, 365Mandrels, 288Manganese, 333Manganese alloys, 391

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 468: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index 473

Martensite, 439, 440, 443, 445, 456Martensitic microstructure, 445, 446Mass flux, 35Mass

spectroscopic analysis, 211production compatible process, 206, 216transport, 15, 16, 24, 26, 31, 34, 40transport control, 32, 35, 246transport controlled regime, 247transport effects, 15, 16

Material input ratio, 226Matrix fibers, 93Mean free path, 38, 91Mean-free-path processes, 83Mechanical

adhesion tests, 46equilibrium, 47, 74lapping, 386polishing, 53seals, 379, 382, 386, 390, 392, 395stability, 45, 46, 50, 52, 69, 70-77strength, 69, 379stresses, 45, 46, 48

Metalatoms, 409ceramic interfacial strength, 69chloride precursors, 15cutting operations, 380, 389halide vapor, 85matrix composites, 289nitrogen bondings, 209organic chemical beam epitaxy, MOCBE,

294sponge, 214, 215

Metallic(200) oriented copper polycrystals, 294alloys, 427and ceramic particles, 142and ceramic substrates, 380electrodes, 207films, 287nickel films, 293phase, 142Pt, 147thin films, 287, 290vapor processes, 414

Metallization, 288Metallurgy applications, 289Metal-matrix composites, 391

Metal organicchemical vapor deposition (MOCVD), 2,

25, 205, 206, 288molecules, 12precursor molecules, 221precursors, 3, 14, 208, 225vapor phase epitaxy (MOVPE), 2

Methanation reaction,309, 317, 318, 319, 323

Methanol, 53, 87Methylcyclopentadienyl-pt-trimethyl

(mecpptme)3, 235

Methyltrichlorosilane (MTS), 14, 198MgO single crystal, 92MgO single-crystal substrates, 93Micro coating technologies, 81Microanalysis, 132, 134Microclectromechanical systems (MEMS),

390Microcrystalline coatings, 382Microdrills, 389, 395Microelectromechanical structures, 5Microelectromechanical systems (MEMS),

288, 379, 395Microelectronics, 25, 45-48, 243, 247, 276

applications, 1, 16fabrication, 9industry, 248, 259, 287

Micromechanical experiments, 77Micro-porous films, 48Microwave CVD, 380-384Microwave plasma CVD (MPCVD), 70, 334Mirror-finish surfaces, 386MOCVD bst films, 228, 232, 233Moisture absorption, 248, 277Molar ratio, 185Molar volume, 114, 117, 130Mole fraction, 185, 187, 188, 198-202Molecular beam epitaxy (MBE) conditions,

293Molybdenum emitters, 363Molybdenum high speed steel, 438Molybdenum mandrel, 8Mono-atomic cluster, 38Monomers, 246, 248, 251, 257, 265, 268,

271, 274, 282Mono-valent cation, 108Monte-carlo simulations, 303Motion control, 88

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 469: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index474

Motors, 402Mullite coating, 28, 29, 31, 38Multi-component ferroelectric oxide thin

films, 205Multi-flame CCVD system, 96

N/C ratio, 365, 366N

2O gas stream, 86

Na+-β''-alumina disc, 124Na+-β''-alumina, 122, 124, 126, 128, 130NaF ion membrane, 98NaF-Si-SiO

2, 428

Na-K eutectic, 403Nanocrystalline diamond, 379, 380, 393, 395Nanocrystalline diamond (NCD) coatings,

384Nanocrystalline diamond-coated carbide, 393Nanolaminate ceramic coating, 93Nanosized droplets, 87N-buthyl acetate, 215, 222, 223Negative electron affinity, 380Nernst equation, 110, 151Neutron scattering, 359Nextel 610 alumina fibers, 93Nextel™ 610, 92NH

3 carrier gas, 211

Ni(hfa)2 diglyme, 293

Ni2Si phase, 288

Nickel, 287-325, 333, 366allyl pentamethylcyclopentadienyl, 291bis (2,2,6,6-tetramethyl-3,5

heptandionato), 291bis (1-1' dimethyl cyclopentadienyl, 291bis (2,4 pentanedione) acetylacetonate, 291bis (hexafluoroacetylacetonato) bis

(pyridine), 291bis (hexafluoropentanedionate) N, N, N',

N', tetramethylethylenediamine, 291bis (hexafluoropentanedionate) N, N, N', N'',

N''-, 291bis-(hexafluoropentanedionate)

ethylenediamine, 291biscyclopentadienyl (Ni(C

5H

5)

2

nickelocene, 289biscylopentadienyl, 291bishexafluoropentanedione, 291boride thin films, 288carbide, 293, 306, 309coating, 93gallides, 288

membrane catalysts, 289oxide thin films, 288plating, 84, 288, 382, 386precursor, 293, 294silicides, 288tetracarbonyl Ni(CO)

4, 288-289

Nickelocene, 289, 290, 294,298, 299, 303, 306

Ni-Cp bond, 320, 321Night vision devices, 380Ni-N bonds, 293Ni-Pd alloys, 293Nitriding, 455Nitridization, 247Nitrogen ion beam bombardment of

C60 films, 365Non-adherent coatings, 26Non-cracked ligands, 230Nonferrous metals, 390Non-homogeneous media failure, 46Non-optimal martensitic microstructure, 445Nonthermal gas-phase equilibrium, 383Non-volatile ferroelectric random access

memory (NVFRAM), 92N-type doped SiC, 288Nuclear magnetic resonance, 357Nuclear reactors, 288Nucleation, 116, 118, 130, 334, 338, 340, 341,

343, 344, 381, 383, 424, 427density, 343, 384, 385, 391, 392enhancing buffer layers, 343rate, 38growth processes, 246

Nucleus, 245, 246

O2/Ba ratio, 227

Ohmic insulator, 414Ohmic overpotential, 152Ohmic resistance, 411, 413Oil quenching, 441, 453Oligomerization, 213-215On-chip applications, 90Opthalmological application, 361Optical coatings, 10Optical materials, 355Optical spectroscopy, 357Optical transparency, 380Optics, 84Optimization, 83

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 470: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index 475

Optimization method, 27Optimum pressure, 183, 185, 193-202Optoelectronic applications, 6, 20Organic precursors, 85Organic radicals, 12Organo-metallic chemical vapor deposition

(OMVCD), 2Organometallic vapor phase epitaxy

(OMVPE), 2Oxidation, 247, 262

to fuel (solvent) ratio, 88kinetics, 423resistance, 23, 25resistant alloys, 422

Oxide nuclei, 427Oxide scale, 422, 423, 431Oxygen

activity, 412gas stream, 82, 86Ru(etcp) input ratio, 236active elements, 421, 422charged vanadium alloy, 412

Ozone, 15

P type semiconducting diamond, 346PABS (lead aluminum boron silicates), 90Palladium, 289, 298Paracyclophane dimer (DPX), 247Paraxylylene, 247, 248, 253, 277Partial pressure, 246, 251Pb bis-heptafluorodimethy-loctadione

pb(fod)2, 210

Pearlite/bainite microstructure, 445Pearlite/bainite phases, 440Pearlitic microstructure, 446PECVD films, 52, 69, 77PECVD silicon oxide film, 66Pending patent application, 88Pentamethylethylenetriamine

(CH)3NC

2H

4NCH

3C

2H

4N(CH)

3, 292

PEVD system, 103-135, 151-158Phase diagram, 28-31, 411Phase transformation, 379, 389Phenantrene, 319Phosphor, 5Phosphorus doped silicon oxide, 52Photoelectron spectroscopy, 357Photomultiplier and microwave power

tubes, 380

Photons, 2, 12Physical vapor deposition (PVD), 3, 70,81Physico-chemical process, 25Piezoelectrics, 84Pilot flames, 86, 88Pin-on-disk, 358Planar crystals, 431Plasma(s), 2, 10, 12

chemistry, 248enhanced chemical vapor deposition,

53, 248, 282etching, 386spray, 12spray method, 86enhanced CVD, 380gas interactions, 248jet, 381, 382, 390jet and laser-assisted CVD methods, 383

Plastics, 391deformation, 48, 49, 61, 68flow, 59, 60, 66, 68, 69relaxation, 45

PBLZT (lead lanthanum zirconium titanate),90

Poisson’s coefficient, 46, 48, 66Poisson’s ratio, 449Polarization loss, 141, 142Polarized electrochemical vapor deposition

(PEVD), 103, 104Polishing, 379, 380, 382, 384, 386Poly-amine adduct, 209Polyazomethines, 248, 267-269, 280-281Polycrystalline C

3N

4 films, 366

Polycrystalline diamond (PCD) tools, 345, 380Polycrystalline silicon (polysilicon), 5Polycrystals, 423Polyerystailine Ta

2O

5 film, 222

Poly-fluorohydrocarbons, 248Polyimides, 248, 256-259Polymer chain, 247, 251Polymer synthesis, 243Polymeric substrate, 52Polymerization, 243-282Polynapthalenes, 248, 261, 262, 277, 282Poly-paraxylylenes, 247Poly-perfluorocarbons, 248Polyphenylene vinylenes, 248, 282Poly-p-xylylenes (parylenes), 248Polypyrrole, 248

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 471: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index476

Poly-Si CVD, 206Polysilicon, 288Porous-bed catalysis, 188Post-annealing process, 232Post-annealing temperatures, 225, 232Post-deposition cleaning, 82Post-deposition process, 233Post-deposition treatment, 82Potential corrosion mechanisms, 408Potentiometric sensor, 104, 119-120, 124,

132, 138-140Potentiostat-galvanostat, 158Powder deposition, 105Powder metallurgical, 438Powder spraying, 142Pre-annealing, 232Precursor(s), 3, 12, 15, 17, 206, 208, 211, 217,

232, 238, 239β-diketonate precursors, 215alkoxide precursor, 212Ba and Sr precursors, 212-215, 222, 224,

228, 231, 238concentration, 86gas, 2, 10, 12gas injection, 217gaseous precursors, 3high vapor pressure precursors, 82metal chloride precursors, 15metalorganic precursors, 3, 14, 208, 225nickel precursor, 293, 294organic precursor, 85vaporized precursors, 214

Pre-exponential constant, 186, 201Premixing, 289Primary cracks, 53, 56, 66Primary winding, 402Propylene oxide (C

3H

6O), 340

Protrusions, 229-231Pt thick film, 121, 122, 124, 126, 128, 131,

137, 138, 139Pt-hexafluoroacetylacetonate (Pt(hfa)

2), 235

Pure-element precursors, 12Push pins, 389, 395PVD coated steel cutting tools, 436PVD coatings, 435, 436Pyrolysis, 247, 249-253, 263, 265, 266, 270Pyrolysis reactions, 14Pyrolytic sprayTM process, 85Pyrophoricity, 14

Pyrosol® process, 85

Quadripole mass spectrometer (QMS), 296Quantum dots, 6Quartz, 355, 361Quench hardening, 435-461Quenching, 121, 122Quenching media, 453

Radionucleides, 288Raman spectroscopy, 337, 357Raman spectrum, 337, 358, 359, 385Rate constant, 247, 251Rate controlling factor, 246Rate-limiting chemistry, 14Rate-limiting factors, 31Rate-limiting step, 34, 151, 157, 158, 160Rayleigh number, 297Reactant

fraction, 188-200gases, 221species, 245, 246, 265drops, 35

Reaction chambers, 82Reaction kinetics, 32Reactive elements, 422, 423, 425Recrystallization temperature, 447Redox reactions, 175Reduction, 244, 267, 274, 277Refractive index, 351Refractory carbide, 28Refractory metal filaments, 383Renucleation, 384Residual

coating strain, 449oxygen, 409strain, 452stress effects, 66stresses, 46-53, 69, 70-77

Resistance overpotential, 154-158Resistor coatings, 96Reversible polarization, 153Rf plasma torch, 334Rhenium CVD coating, 6Robust production system, 83Rock drilling operations, 391Rocking curve, 92Root mean square roughness, 229Rough diamond coatings, 386, 393

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 472: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index 477

Run-in period, 386RuO

2 formation, 236

Safe chemical precursors, 83Sapphire substrate, 4, 99Sapphire, 355Scale adhesion, 422, 423-425Scale spallation, 424Scale-forming process, 427Scale-growing mechanisms, 424Scanning electron microscopy (SEM), 414, 422Schmidt number, 35Schottkey diodes, 346Scratch tests, 453Secondary bonds, 46Secondary cracks, 53Secondary winding, 402Self-leveling effect, 105, 117, 131Semiconductors, 19

applications, 1behavior, 414, 417revolution, 3

Sensing model, 121Sensors, 288, 380, 395Shadowing, 83, 91Shear strength, 52Shear stress distribution, 74Short-circuit paths, 423Si substrate, 49Si/Ti/Pt substrate, 91SiC coatings, 69-70SiC/Steel bilayers, 72SiC/steel pair, 77SiC/Ta interface, 74, 76SiC/Ta/steel triple layer system, 71SiC/Ta1.2 µm/steel triple layer interfaces, 74Silica soot, 85Silicon, 85, 341, 344, 346, 355, 360, 361, 368

aluminum alloys, 391, 395carbide, 183, 198, 344carbide SiC whiskers, 289coating, 431films, 14microelectronics applications, 1nitride, 49, 52tetrachloride (SiCl

4), 25

vapor, 428wafer, 5, 8, 82, 93, 385

Silicon-based microelectronics, 3

Sillimanite, 30Single cube texture, 92Single point flame, 89Single-crystal silicon wafers, 385Sink electrochemical reaction, 107, 116SiO

2/Si wafer, 235

Si-Ti-Pt wafers, 91Sliding coefficient of friction, 360Sliding tribological interfaces, 380Sliding-wear applications, 386Slip length, 61Sluggish solid-state diffusion process, 417Slurry coating, 142, 151Slurry-coated cermet anode, 142Small carbonyl (CO) groups, 12Soft carbon-graphite composite, 393Sol-gel process, 85Solid

carbon source, 351, 353delivery technique, 216electrochemical cell, 105-123, 128, 133electrolytes, 104, 119, 120, 141oxide fuel cells, 98, 103, 104, 141potentiometric gaseous oxide, 103state diode lasers, 4state ionic technologies, 103state lighting, 4state potentiometric sensors, 104state transported reactant, 145thin-film coatings, 1gas interface, 341state oxide phases, 410state transport, 105-117, 125, 131

Solution molarity, 87sp2-bonded carbon, 383sp2 bonding, 368sp2 hybrids, 359sp3 carbon, 349, 366sp3 matrix, 359sp3 to sp2 ratio, 352, 360sp3-bonded carbon precursors, 383sp3-carbon, 19Specimen deflection, 71Spheroidal cavities, 368Spray pyrolysis, 82, 84, 85, 89Spray-inductively coupled plasma, 86Spraying needle, 88Sputtered films, 225, 232, 233Sputtering, 3, 84

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 473: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index478

Sr(Thd)2 tetraglyme, 215, 223

Sr4Ti

3O

10 phases, 226

SrRuO3 electrodes, 232, 233

Stabilization of the sp3 bond, 383

Stainless steel, 345, 355Statistical models, 52Steady-state cracking, 61Steady-state potentiostatic method, 163, 166Sticking coefficient, 212, 213, 228, 237Stockholm convention, 110Stoichiometry, 86, 87, 93Stoichiometric composition, 222, 226, 232Straining system, 53Stream velocity, 35Stress distribution, 74Strontium titanate, 90, 93, 96Strontium titanate thin films, 93Structural applications, 23, 25, 39, 40Sub-atmospheric pressures, 25Sublimation, 209, 211Submicron droplets, 82Submillitorr region, 356Subnanometer control, 6Subplantation model, 356Substrate

orientation, 88rotation, 218strain, 451surface, 25, 32, 34, 35, 36, 39systems, 45, 50, 52, 53, 68, 71, 77temperature, 83, 88, 98

Sub-torr total pressures, 2Superalloys, 84Superconductors, 19, 84Supplemental oxygen, 85, 87, 88Surface

diffusion process, 431finish, 381, 382, 384, 386, 387, 395kinetics control, 31, 36reactions, 26reaction steps, 34reaction controlled regime, 247vibrational frequency, 38

Surgical tools, 379Synthesis techniques, 334, 363Synthetic diamond coatings, 381Synthetic diamond powders, 390System pressure, 27

Ta2O

5 thin film, 219, 220

Tafel plots, 163Tantalum layer, 70, 72, 75, 76Ta-Si-C-O and Ta-C systems, 75Teflon™, 84Temperature and concentration gradient, 31Temperature coefficient of resistance, 96Tertiary-butyl groups, 12Tetraethoxysilane (teos), 15Tetraethylenepentamine (tetraen), 209Tetrahedral lattice, 368Tetrahedrally bonded carbon atoms, 381Tetrahedrally coordinated carbon atoms (sp3),

359Tetrahydrofuran, 214Tetramethylethylenediamine

(CH3)

2NC

2H

4N(CH

3)

2, 292

Thermalbarrier, 84conductivity, 3contraction of coating, 449control, 84CVD coatings, 401, 417expansion coefficients, 48, 49expansion coefficient of austenite, 449expansion coefficient of ferrite and

cement, 449expansion coefficient of the coating, 449expansion coefficient of the steel

substrate, 449functions, 27hydraulics, 402management systems, 361plasmas, 12pyrolysis method, 381shock resistance, 23stability, 209, 212stresses, 9

Thermistors, 346Thermocouple wafer, 217Thermodynamic(s), 3, 16, 17

adhesion, 46analysis, 16calculations, 26-30, 40, 225, 237conditions, 333driving force, 175effects, 15equilibrium, 26, 27

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 474: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index 479

Thermoelastic component, 48, 49Thermoelastic stress, 48-49Thermofluid, 221Thermogravimetric microbalance, 429Thermomechanical effects, 49Thermomechanical polishing, 386Thin film vapor deposition technologies, 103Thin-film deposition, 3, 12Three-dimensional anode volume, 142Threshold electron emission, 346Ti precursor, 212-215, 222-231, 238TiAl alloy, 84Ti-isopropoxide, 212Time dependent dielectric breakdown

(TDDB), 207Time dependent resistance degradation

(TDRD), 207Titanate phases, 417Titanium, 85Ti precursor, 212-215, 222-231, 238TiAl alloy, 84Ti-isopropoxide, 212TiN coatings, 435, 447, 449Titanium tetrachloride (TiCl

4), 14, 25

Toluene, 87, 319Toxic fumes, 83Transformation behavior, 436, 437, 456Transformers, 401, 402, 403, 417Transmission electron microscopy (TEM), 422Transverse cracks, 50-59, 65, 66, 71, 72, 74Transverse crack/interface intersections,

66, 69Tribological applications, 45, 331-334,

379-382, 386, 389, 395, 396Tribological effects, 23Tribo-testers, 358Triethylenetetramine (trien), 209Trilayered systems, 72, 74Trimethylgallium (tmg), 15Triple layer systems, 71, 74Triple points, 406, 431Tungsten, 4, 14, 287, 289

carbide, 392carbide coating, 28hexafloride, 25hexafluoride reduction, 14high speed steel, 438

Turbostratic carbon, 294Turbulence flow, 88

Turbulent flow, 25Two-dimensional

geometry, 70nuclei, 38point defect, 427solid electrolyte/anode interface, 142

Ulti-component perovskite thin films, 90Ultra large scale integrated dynamic random

access, 205Ultra-large-scale integrated circuits (ULSI),

248Ultralow friction, 379, 380Ultrasonic treatment, 343Union carbide, 381Universal gas constant, 247Uranium blocks, 288

V-alloy, 406, 409Van der waals bonds, 46Vanadium oxide, 410, 411Vanadium pentoxide (V

2O

5), 414

Vanadium-base alloys, 403, 414Vapor

deposition, 103-105, 114, 121, 142polymerization VDP, 243, 256process, 24, 32techniques, 81

Vapor phaseaxial deposition (VAD), 85polymerization CVP, 243reactants, 105, 122transport, 83

Vaporized precursors, 214Vaporizer, 214, 215, 219, 222Vapor-phase mass transport effects, 16V-Cr-Ti alloys, 409, 410Vertical cavity surface emitting laser

(VCSEL), 5Volatile solvents, 244Volatility, 208-214

Wagner’s electrochemical tarnishing theory, 178Wagner’s scaling tarnishing theory, 103Water gas, 288Water-cooled quartz walls, 9WC-Co-based cemented carbide tool, 391Wear coefficients, 379Wear resistance, 84, 351, 360, 361

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 475: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

Subject Index480

Wear resistant CVD coatings, 446WF

6-H

2-CH

4-Ar system, 28, 29

Wireless communications, 92

Young’s modulus, 46, 48, 60, 66, 71Yttria doped zirconia, 145Yttria stabilized zirconia (YSZ), 93, 103, 142,

146-149Yttrium, 86Yttrium reactants, 145

Zinc selenide, 355Zinc sulfide, 355Zirconium, 85, 90, 145

© 2001 ASM International. All Rights Reserved.Chemical Vapor Deposition (#06682G)

www.asminternational.org

Page 476: Chemical Vapor Deposition (Surface Engineering Series, V. 2)-ASMI

ASM International is the society for materials engineers and scientists, a worldwide network dedicated to advancing industry, technology, and applications of metals and materials. ASM International, Materials Park, Ohio, USA www.asminternational.org

This publication is copyright © ASM International®. All rights reserved.

Publication title Product code Chemical Vapor Deposition #06682G

To order products from ASM International:

Online Visit www.asminternational.org/bookstore

Telephone 1-800-336-5152 (US) or 1-440-338-5151 (Outside US) Fax 1-440-338-4634

Mail Customer Service, ASM International 9639 Kinsman Rd, Materials Park, Ohio 44073-0002, USA

Email [email protected]

In Europe

American Technical Publishers Ltd. 27-29 Knowl Piece, Wilbury Way, Hitchin Hertfordshire SG4 0SX, United Kingdom Telephone: 01462 437933 (account holders), 01462 431525 (credit card) www.ameritech.co.uk

In Japan Neutrino Inc. Takahashi Bldg., 44-3 Fuda 1-chome, Chofu-Shi, Tokyo 182 Japan Telephone: 81 (0) 424 84 5550

Terms of Use. This publication is being made available in PDF format as a benefit to members and customers of ASM International. You may download and print a copy of this publication for your personal use only. Other use and distribution is prohibited without the express written permission of ASM International. No warranties, express or implied, including, without limitation, warranties of merchantability or fitness for a particular purpose, are given in connection with this publication. Although this information is believed to be accurate by ASM, ASM cannot guarantee that favorable results will be obtained from the use of this publication alone. This publication is intended for use by persons having technical skill, at their sole discretion and risk. Since the conditions of product or material use are outside of ASM's control, ASM assumes no liability or obligation in connection with any use of this information. As with any material, evaluation of the material under end-use conditions prior to specification is essential. Therefore, specific testing under actual conditions is recommended. Nothing contained in this publication shall be construed as a grant of any right of manufacture, sale, use, or reproduction, in connection with any method, process, apparatus, product, composition, or system, whether or not covered by letters patent, copyright, or trademark, and nothing contained in this publication shall be construed as a defense against any alleged infringement of letters patent, copyright, or trademark, or as a defense against liability for such infringement.