chapter 9 : overall equipment efficiency oee - unibw · pdf fileicm, 9 - 1 integrated circuit...
TRANSCRIPT
ICM, 9 - 1
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Chapter 9 : Overall Equipment Efficiency OEE
OEE = Theoretical time for effective tools
Total Time
Non Scheduled Time
(Nichtbetriebszeit)
* holidays
* Installation/rebuild/
shutdowns
* training
Operations Time (Betriebszeit)
Down Time (nicht bereit)
Unscheduled
Down Time
(ungeplante
Nichtbereitschaft)
* run out
op.material
* out of specs
* Repair
Scheduled
Down Time
(geplante
Nichtbereitschaft
)
* maintenance
* maintanence delay
* Material refill
* set-ups
Up Time (bereit)
Engineering Time
(...)
* process tests
* software tests
* experiments
Manufacturing Time
(Produktionszeit)
Productive Time
(Produktive Zeit)
* regular production
* work for 3rd party
* rework
* engineering runs
Standby Time
(Wartezeit)
* no operator
* no product
* no support
others
5%cleanroom
7%
building
8%
capital
costs
10%
equipment
70%
ICM, 9 - 2
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
9.1 Introduction
9.2 Equipment Manufacturers and Organisations
9.3 Semi-Standards
9.4 SEMI-E10
9.5 SEMI-E79, Overall Equipment Efficiency OEE
9.6 From OEE to Overall Factory Efficiency OFE
9 Overall Equipment Efficiency OEE
ICM, 9 - 3
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
May 2004: http://www.xbitlabs.com/news/other/display/20040517085938.html,
AMD broke ground on its 300mm manufacturing facility on November 20, 2003. The new facility, named AMD Fab 36, is part of AMD Fab 36 LLC & Co. KG
and is being built in Dresden, Germany, adjacent to AMD Fab 30.
The Fab36 will cost about $2.4 billion in total. AMD gets $1.5 billion as help, which is $500 million as subsidies, grants, etc, $700 million is a loan and remaining
$300 as an equity from 2 partners - Saxony and some European investors. The rest $900 million are expected to come from AMD itself.
AMD projects the building to be completed in late 2004. The company will start the installation of equipment just before the end of 2004 and plans to start qualifications
of the factory in the late 1H 2005. AMD Fab 36 is expected to be in volume production in 2006. The new facility will employ roughly 1000 people.
The Fab 36, as expected, will manufacture chips in 300mm wafers using 65nm technology process. This will allow AMD to cost-effectively make its MPUs and this
seems to be the company’s primary goal for the new foundry. Even though there is 20% to 30% gap between the costs of manufacturing using 200mm wafers and
300mm wafers as well as shrink of fabrication technology to 65nm process also provides some cost benefits, CPUs also get more and more complex, as a result,
there are no breakthroughs in margins or costs expected by the company’s management.
The initial capacity of the fab is 13 000 300mm wafers per month, but the building itself allows AMD to expand the foundry to produce up to 20 000 wafers per month.
Some News and Data about Semiconductor Fabs 2004-2007
Oct 2006: Siltronic, Samsung Team Up to Build Wafer Fab, http://techon.nikkeibp.co.jp/article/HONSHI/20061110/123391/
Siltronic AG and Korean semiconductor company Samsung Electronics have announced a joint venture to construct a 300mm wafer fab in Singapore.
Siltronic is a division of Wacker Chemie AG of Germany, a silicone and polymer chemistry company specializing in fine chemistry, polysilicon production and
semiconductor technologies. Construction of the new wafer fab, which will adjoin Siltronic's existing complex, commenced in August this year.
Both companies expect production to start mid-2008; their aim is to reach 300,000 wafers per month in capacity by 2010, by which time about 800 new employees
should be working at the fab. The fab will be jointly operated by both Samsung and Siltronic; Siltronic will put in place the new CEO and the CTO, while Samsung
will select the new CFO and the COO. The fab is likely to be used solely for production; no major R&D activities are expected to be conducted at the fab.
The venture is expected to benefit both companies. "Samsung has a clear-cut expansion strategy and intends to invest US$33 billion in developing the
semiconductor industry up to 2012," said Park Sung-Hae, public relations manager at Samsung Electronics. "This joint venture is an important part of our plan
to ensure a stable source of supplies for the rapidly growing 300mm market." Locating the new fab near Siltronic's existing 200mm wafer plant in Singapore
is a logical technical and business move. "Geographical proximity of the new 300mm fab should substantially facilitate the transfer of know-how, implementation
of production processes and leveraging of synergies regarding the joint use of existing infrastructures," explained Christof Bachmair, spokesperson for
Wacker and Siltronic. According to Bachmair, benefits of the venture to Siltronic include a significant boost in innovation, time to market and quality.
Secured delivery of the fab's output to Samsung translates into a stable utilization rate, which is critical for profitability. Samsung, meanwhile, will have access to
a constant supply of 300mm wafers of the highest quality specifications.
The following news are from the www and only examples to get some data, also showing historical developments:
April 2007: http://www.fabtech.org/content/view/2760/
Intel Corp. has invested $3 billion in a new 1,000-employee semiconductor fabrication plant, or "fab," that opens Thursday in Chandler.
Fab 32 is the only full-scale semiconductor-production facility in the world to employ the latest 300 millimeter/45 nanometer technology.
Die gesamte Fläche der Anlage beträgt gut 92.000 m², die Reinraumfläche beläuft sich hingegen auf 17.000 m².
Während der Planungs- und Bauzeit wird Intel allein knapp 3.000 Leute beschäftigen; in der Fab 32 werden später gut 1.000 hochqualifizierte Menschen arbeiten.
9. OEE
9.1 Intro
ICM, 9 - 4
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
March 2007: Intel announces new China 300mm wafer fab, http://www.pcpro.co.uk/news/108728/intel-announces-new-china-300mm-wafer-fab.html
Intel is strengthening its ties with China with the announcement of a new 300mm wafer fab in the city of Dalian, in the north-east province of Liaoning.
While Intel has a number of such chip factories around the world - in Israel and Ireland, as well as the US - this is its first in Asia.
In what is described as a $2.5 billion investment, Fab 68 is Intel's first new wafer fab at a new site in 15 years.
'China is our fastest-growing major market and we believe it's critical that we invest in markets that will provide for future growth to better serve our customers,'
said Intel President and CEO Paul Otellini. 'Intel has been involved in China for more than 22 years and over that time we've invested in excess of $1.3 billion
in assembly test facilities and research and development. This new investment will bring our total to just under $4 billion, making Intel one of the largest foreign
investors in China.' 'This is one of the major cooperative projects between China and the United States in the area of integrated circuits manufacturing in recent
years,' said Zhang Xiaoqiang, vice chairman of the National Development and Reform Commission. 'The project will further strengthen Intel's leadership position
in the semiconductor manufacturing in the world.'
Work will start on the new fab later this year and production is scheduled to begin in the first half of 2010.
At that point there will be eight such 300mm plants in Intel's manufacturing network.
The advantage of the larger 300mm wafers - as opposed to previous 200mm sizes - is the manufacturing efficiency that can be achieved by cutting more chips
from a single wafer. Intel states that 300mm manufacturing technology consumes 40 per cent less energy and water per chip than a 200mm wafer factory.
Some News and Data about Semiconductor Fabs
Sep. 2007: Toshiba and SanDisk Inaugurate New 300mm Wafer Fab 4 for NAND Flash Memory at Yokkaichi Operations
Toshiba Corporation and SanDisk® Corporation today celebrated with a traditional ceremony and reception the opening of Fab 4, the latest 300mm wafer fabrication
facility at Toshiba’s Yokkaichi Operations, in Mie Prefecture, Japan.
Responding to continuous rising demand for NAND flash memory used in a wide range of digital applications, including digital media players, mobile phones, PCs
and memory cards, Toshiba started construction of Fab 4 in August 2006. Fab 4 is expected to start mass production in December 2007 and reach a production
capacity of 80,000 wafers a month in the second half of CY2008. The fab has space to expand capacity and further investment could take output to 210,000 wafers
per month, in response to the projected increase in future market demand. Fab 4 will employ cutting-edge 56-nanometer (nm) process technology at start-up,
and plans call for a gradual transition to 43 nm technology, starting from March 2008.
“Toshiba and our partner SanDisk are delighted to celebrate the construction of this new facility,” said Mr. Shozo Saito, Corporate Senior Vice President of Toshiba
Corporation and President & CEO of Toshiba’s Semiconductor Company. “Fab 4 will feature world-class manufacturing capabilities, both in scale and productivity.
It will support us in reinforcing our leadership in the fast growing global market for higher density NAND flash memories, and provide a powerful engine of growth
for both companies.” Dr. Eli Harari, Chief Executive Officer and Chairman of SanDisk Corporation, said, “Fab 4 is testimony to the success of the great partnership
and long-term commitment between Toshiba and SanDisk. The enormous size and technology scope of Fab 4 reflect our confidence and optimism for the future,
and we believe will enable us to competitively meet the growing demand for flash storage from our global customers in the years ahead.”
Outline of Fab 4 at Yokkaichi Operations
- Structure of Building: Steel frame concrete, five stories (two clean room stories)
- Building Area: approx. 35,500m2 (approx. 382,000 in square feet)
- Floor Area: approx. 181,000m2 (approx. 1,948,000 in square feet)
- Start Construction: August 2006
- Completion of Building: July 2007
- Start Mass Production: December 2007(plan)
9. OEE
9.1 Intro
ICM, 9 - 5
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
GlobalFoundries held a groundbreaking ceremony for Fab 2 in Malta, N.Y.
The foundry's goal is to have the first tool move in by October 2011, with commercial production by the second half of 2012.
By David Lammers, News Editor -- Semiconductor International, July 24, 2009
As an Albany, N.Y., taxi driver ferried a visitor to the GlobalFoundries Fab 2 groundbreaking ceremony near the village of Malta, he said,
"For three years they've been talking about this, but I never thought they would actually build it."
Planning began in June 2006, and it was this year on June 19 that GlobalFoundries began clearing portions of its 230-acre site, located ~24 miles from Albany and seven
miles from Saratoga Springs. Fab 2 is expected to be making volume silicon by the second half of 2012, employing 1400 directly and an estimated 5000 indirect workers.
The spinoff of Advanced Micro Devices (AMD, Sunnyvale, Calif.) has room for two additional modules at the site.
That shift was supported by a $6B investment in GlobalFoundries by the Abu Dhabi Investment Co. (ATIC). The money will be spent to build Fab 2 at Malta,
expected to cost $4.2B, as well as to expand and upgrade the GlobalFoundries Module 2 in Dresden, Germany.
The state of New York is providing an estimated $1.2B in subsidies for Fab 2, and is investing additional funding to expand the University at Albany's nearby College
of Nanoscale Science and Engineering (CNSE). AMD contributed its existing manufacturing complex in Dresden as well as other assets, but no cash, providing AMD
with access to a new fab without capital outlays.
Because the site is not space-constrained, Fab 2 will be a two-level building rather than three-level. All of the wafer production will be on one floor, eliminating the need
to move wafers-in-progress (WIP) up and down floors. A "zero footprint storage" approach will put some wafer stockers above the tools, he added.
Implant will be located off of the main waffle slab, reducing construction costs, and maintenance shops will be on the upper production floor to further improve efficiencies.
Though its labor costs in both Dresden and Malta will be higher than at many Asian fabs,
manufacturing innovations will make GlobalFoundries cost-competitive with Taiwan
Semiconductor Manufacturing Co. Ltd. (TSMC, Hsinchu, Taiwan). GlobalFoundries is investing
in the midst of a severe downturn, which also will reduce costs compared with the more
expensive 24/7 construction schedules used when fabs are built in a hurry. Armour said it may
take 18 months to build Fab 2, which is expected to have a 220,000 sq. ft. cleanroom with an
option to expand cleanroom space to 300,000 sq. ft. The goal is to have the first tool move in
by October 2011, with qualification coming in early 2012 and commercial production by the
second half of 2012, a schedule that could be accelerated somewhat "depending on market
conditions," Armour said.
Sonderman said construction begins at a time "of a lot of pent-up demand for advanced foundry
capacity."
Fab 2 will start at 28 nm technology, and then bring up a 22 nm SOI process for CPU
production. GlobalFoundries will support AMD's manufacturing needs with the current 45 nm
production, moving to 32 and 22 nm production. For foundry customers, however, most of the
interest is at the half nodes, including 40 nm bulk technology immediately at Dresden and 28 nm
high-k/metal gate technology late next year when 28 nm customer designs start to be accepted.
Sonderman said GlobalFoundries is accelerating its effort to support 40 nm bulk production,
which he said comes as customers express concerns about yields at TSMC.
"We definitely want to be a counterbalance to TSMC," Sonderman said, outlining plans to offer, by 2013, 600,000 wspy at Dresden and 400,000 wspy at Fab 2.
Some News and Data about Semiconductor Fabs 2009 9. OEE
9.1 Intro
ICM, 9 - 6
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Intel: Neue Chipsatzfabrik Fab 42
Intel: Neue Chipsatzfabrik Fab 42 für 14 Nanometer Fertigung
Bereits ab 2013 möchte Intel die neue Fab 42 für die Fertigung von 14 Nanometer Transistoren in Betrieb nehmen.
Damit vergrößert sich der Campus in Arizona um ein 5 Milliarden US-Dollar Komplex.
von Felix Sold, Sonntag 20.02.2011
Im Zuge des Zusammenkommens von US-Präsident Barak Obama und den Größen der amerikanischen IT-Branche
am 18. Februar 2011 hat Intel CEO Paul Otellini den Bau einer neuen Chipsatz-Fabrik angekündigt.
Die Fab 42 soll ab Mitte 2011 auf Intels Campus in Chandler, Arizona, entstehen.
Ab 2013 soll die Produktion von modernen 14 Nanometer Transistoren anlaufen.
Die späteren Chipsätze sollen auf großen 300 Millimetern Wafern Platz finden.
Nach Otellini wird die neue Fab 42 nach Fertigstellung die modernste Halbleiterfabrik der Welt sein.
Das gesamte Projekt wird Intel nach eigenen Angaben zirka 5 Milliarden US-Dollar kosten,
aber zugleich Tausende Arbeitsplätze in den Vereinigten Staaten schaffen, was unteranderem ein Anliegen von Obama war.
Die Zeitung Arizona Republic steht diesem Vorhaben kritisch gegenüber, da unter Berufung auf das
Greater Phoenix Economic Chouncil (GPEC) nur rund 1000 feste Mitarbeiter eingestellt werden sollen.
Für die geplante dreijährige Bauzeit der Fab 42 werden hingegen 14.000 Mitarbeiter benötigt, die demnach
keine feste Zukunft im Unternehmen haben sollen.
Toshiba und SanDisk eröffnen in Japan gemeinsame Fab 5-Produktionsstätte für 300-mm-NAND-Flash-Speicher
(PresseBox) Yokkaichi, Mie, Japan, 12.07.2011, Toshiba Corporation (TOKYO: 6502) und SanDisk Corporation (NASDAQ: SNDK)
feierten heute die Eröffnung von Fab 5, der dritten 300-mm-Wafer-NAND-Produktionsstätte auf dem Gelände von Toshiba Yokkaichi
Operations in der japanischen Präfektur Mie.
Die Nachfrage nach Smartphones, Tablet-PCs und anderen elektronischen Geräten und der damit verbundene weltweite Bedarf an
NAND-Flash-Speichern steigt rasant an. Der Grundstein für den Bau von Fab 5 wurde im Juli 2010 durch Toshiba gelegt. Der
Herstellungsprozess in dieser neuen Produktionsstätte, die von Toshiba und SanDisk technisch ausgestattet wurde, startet im Juli
2011. Die Produktion in Fab 5 basiert derzeit auf der 24-nm*-Technologie, die ersten Speicher-Chips werden das Werk im August
verlassen. Nach und nach wird in Fab 5 zudem auf noch fortschrittlichere Prozesse umgestellt. So wurde bereits vor kurzem die
Umstellung auf eine 19-nm*-Technologie angekündigt; hierbei handelt es sich um die weltweit kleinste und leistungsstärkste
Fertigungstechnik.
Some News and Data about Semiconductor Fabs (2011) 9. OEE
9.1 Intro
ICM, 9 - 7
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
The investment cost for a semiconductor fab are increasing exponential (~ factor 10 in 15 years)
Equipment must be profitable !
building 8%
capital costs 10%
equipment 70%
cleanroom 7%
others 5%
Investment costs of a ready-to-start semiconductor fab
Split-up of costs:
Equipment costs are about 2/3 of investment costs
Fab Investment Costs
Situation:
9. OEE
9.1 Intro
1965 1970 1975 1980 1985 1990 1995 2000 2005 2010 2015 2020
10
100
1000
10000
AMD, FAB36, Dresden, 300mm, 65nm
INTEL, FAB28, 3. 45nm FA
Toshiba, Japan, 300mm, NAND
GlobalFoundries, 28nm
2012 TSMC, FAB14, 20nm
Intel, Fab42, 450mm, 14nm
Samsung, China, Flash, 10nm
~ Factor 10 in 15 years
=> Factor 1.6 in 3 years
announced in www
Fa
b C
osts
[M
ill. U
S$
]
Year
various
sources
ICM, 9 - 8
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Fab Equipment Costs 9. OEE
9.1 Intro
About every 2 years a new generation ( = smaller dimensions)
of equipment is needed (see change to new color in figure)
Total equipment spending is the sum of node-specific tools and node-independent tools
ICM, 9 - 9
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
CAPEX = capital expenditure = money for invest
Gartner: Semiconductor equipment spending to boost by 15
percent »
Wednesday 9th July 2014
http://www.siliconsemiconductor.net/
Fab Equipment Costs 9. OEE
9.1 Intro
Some numbers to see where the money goes:
ICM, 9 - 10
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Cost Breakdown for a Semiconductor Fab
Due to huge invest and operating costs for tools, minimal improvements result in high cost reduction
Typical Invest for a 200mm Fab ( ~ year 2002 ):
-> Equipment 70% 1´400 Mill.
-> Hook-up 10% 700 Mill.
-> Building 8% 160 Mill.
-> Cleanroom 7% 140 Mill.
-> Utilities 5% 100 Mill.
----------------------------------------------------------------------
~ 2´500 Mill. €
Typical Operational Costs (27k waferstarts per month WSPM):
-> Equipment Depreciation 36% 9,72 million / week
-> Facility Depreciation 6% 1,62 million / week
-> Silicon 15% 4,05 million / week
-> Personnel 6% 1,62 million / week
-> Utilities 10% 2,70 million / week
-> Process chemicals & gases 8% 2,16 million / week
-> Maintenance 7% 1,89 million / week
-> Others 10% 2,70 million / week
--------------------------------------------------------------------------------
27 million € / week = 4 mill.€/day
Semiconductor fabs are not ! personnel
cost intensive !
Equipment must be profitable ! again:
see previous pages
from various company reports
9. OEE
9.1 Intro
ICM, 9 - 11
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
4-Partner Model
Single partner availability
and synchronization
OEE deals with
the increase of productivity
of equipment
The Goal of Overall Equipment Efficiency OEE
Operator, 90%
Tool, 80%
WIP, 85%
Process, 85%
Analysis of the synchronization degree
Increase of availablity of the single partners
Increase of synchronization
Increase of availability of the worst partner
new loop
Optimization strategy:
9. OEE
9.1 Intro
ICM, 9 - 12
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
9 Overall Equipment Efficiency OEE
9.1 Introduction
9.2 Equipment Manufacturers and Organisations
9.3 Semi-Standards
9.4 SEMI-E10
9.5 SEMI-E79, Overall Equipment Efficiency OEE
9.6 From OEE to Overall Factory Efficiency OFE
ICM, 9 - 13
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Leading Equipment Manufacturer
During the years not many changes in the top 10 equipment suppliers
9. OEE
9.2 Tool Manufacturers
ICM, 9 - 14
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Company Value [Mill.€]
(total assets *)
Employees Revenue 2007
[Mill. $US]
EU / US /Asien % Growth %
(1998-2001)
Applied Materials, USA
http://www.appliedmaterials.co
m/investors/fundamentals.html
9´700 14´000 8´400 34 %
All tools (with exception of lithography, cleaning), metrology tools, consulting
Tokyo-Electron, Japan
www.tel.co.jp
4´400 9´500 5´100
coater/developers, furnaces, dry etchers, CVD and PVD systems, wet cleaning systems, and test systems
ASML, NL
www.asml.com
7´100 6´200 4´500 44 %
Equipment for lithography, CVD, oxidation
KLA-Tencor, USA
www.kla-tencor.com
3´600 6´000 2´700 %
Global over 50% of all metrology tools, CMP, etch, lithography
LAM Research, 1´700 2´400 1´600 59 %
Etching Systems
Advantest, Japan
www.advantest.co.jp/
2´800 3´700 1´900
Electron beam lithography, IC-Test systems
Novellus, USA
www.novellus.com
1´800 3´700 1´700 26 %
CVD, PVD,
Most companies values can be found with: http://finance.google.com/
* Assets: counting the value of all existing things (money, area, buildings, cars, inventory, ..)
But because the company is creating money and the development is taken into account and the number of existing stocks
the companies´ market values usually is a factor 2-3 higher than total assets (see numbers on next page)
Leading Equipment Manufacturer
2007
9. OEE
9.2 Tool Manufacturers
ICM, 9 - 15
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Company Value [Mill.€] Employees Revenue 2001
[Mill.€]
EU / US /Asien % Growth %
(1998-2001)
Applied Materials, USA
www.appliedmaterials.com
47´000 17´000 7´340 15 / 29 / 56 22 %
All tools (with exception of lithography, cleaning), metrology tools, consulting
KLA-Tencor, USA
www.kla-tencor.com
14´000 6´400 2´100 19 / 34 / 47 22 %
Global over 50% of all metrology tools, CMP, etch, lithography
ASML, NL
www.asml.com
13´000 7´000 1´800 15 / 28 / 48 33 %
Equipment for lithography, CVD, oxidation
Novellus, USA
www.novellus.com
8´500 3´000 1´300 1 / 79 / 21 37 %
CVD, PVD,
Aixtron, D
www.aixtron.com
1´300 500 240 41 / 16 / 43 63 %
MOCVD (for compound semiconductors)
SEZ, A
www.sez.com
700 450 130 20 / 19 / 61 60 %
wet-chemical cleaning, spin-coater
Semitool, USA
www.semitool.com
340 1´100 250 26 / 36 / 16 12 %
wet-chemical cleaning, Electroplating
Emcore, USA
www.emcore.com
360 870 180 6 / 52 / 42 62 %
tools for compound semiconductors, manufacturer of compound semiconductor devices
source: various company reports 2001
Leading Equipment Manufacturer
2001 Historic values to compare:
9. OEE
9.2 Tool Manufacturers
ICM, 9 - 16
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
International SEMATECH, (SEmiconductor MAnufacturing TECHnology), headquartered in Austin, Texas, USA
is a global consortium of leading semiconductor manufacturers that represent about 50% of the world’s semiconductor production.
(e.g. AMD, Hewlett-Packard, Infineon, IBM, Intel, Motorola, Philips, TSMC, and Texas Instruments).
SEMATECH was founded in 1986 as a cooperation of 14 US semiconductor manufacturers, supported by the U.S. government, to
strengthen the position of U.S. semiconductor industry (Japan, Taiwan, Korea gained market leadership). By cooperation resources and risks
should be shared, industry infrastructure should be improved, and particularly a support of domestic equipment suppliers should be forced.
In 1994 the support of the U.S. government ended, SEMATECH had to look for global funding.
In 1995 SEMATECH founded the so-called "International 300mm-Initiative I300I" together with 6 US companies and 7 non-US companies to
develop tools, standards and specifications.
In 1998 SEMATECH renamed itself in International SEMATECH.
The goal of SEMATECH still remains the same: to coordinate semiconductor manufacturers and equipment suppliers to solve common
challenges and to guaranty the existence and prosperity of semiconductor industry. www.sematech.org/public/index.htm
SEMI (Semiconductor Equipment and Materials International)
represents about 2´500 equipment manufacturers and material suppliers of semiconductor and flat-panel industry.
SEMI was founded in 1970 to strengthen the members by corporate appearance (e.g. at exhibitions like SEMICON)
and concerted action.
The members occupy an annual market volume of about 20´000 Mill.€
(semiconductor manufacturers about 200´000 Mill.€).
Two Leading Organisations
introducing many equipment standards
http://www.semi.org/
http://www.sematech.org/
9. OEE
9.2 Tool Manufacturers
ICM, 9 - 17
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
9 Overall Equipment Efficiency OEE
9.1 Introduction
9.2 Equipment Manufacturers and Organisations
9.3 Semi-Standards
9.4 SEMI-E10
9.5 SEMI-E79, Overall Equipment Efficiency OEE
9.6 From OEE to Overall Factory Efficiency OFE
ICM, 9 - 18
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Around 1995 the semiconductor industry recognized, that further growth could only be achieved by increasing wafer size from
200mm to 300 mm. For this task new process tools had to be developed. At the same time the investment costs of a semi-
conductor fab (mainly due to equipment costs) touched the financial limit of single companies.
To avoid this danger co-operations and consortia (like SEMATECH, SEMI) were founded or boosted,
in addition possibilities to improve productivity (more working chips for less costs) were investigated.
During the investigations for productivity it was found, that a lot of the very expensive fabrication tools of a semiconductor fab
were only used less than 50% of time for production of sellable chips. For the improvement of this low productive time
a measurement standard had to be developed, which defines the status of each tool and also could be controlled automatically.
Initiated by SEMI these standards and specifications were developed and defined in so-called E(quipment)-Standards.
1997 1998 1999 2000
Definition of tool status to calculate
availability and reliability
Definition of data interface tool and computer (RS-232, TCP/IP)
1995
Definition of control commands between tool and computers
E35 Cost of Ownership
Definitions and calculations for tool productivity
E10 E58
E4, E5
E30
Tool status
management
SEMI-Standards for Measurement of Tool Productivity
year
2001 2002
E116
Automated Equipment Performance Tracking
(= data exchange with computer and software) E79
Tool productivity and
cost management
Tool communication
management
9. OEE
9.3 SEMI-Standards
ICM, 9 - 19
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
operator
(assist)
material (waiting)
load locks
Example for Equipment Status
Typical process flow:
1 wafer input
2 wafer loading on stage
3 process (implantation)
4 wafer unload from stage
5 wafer output
Typical semiconductor equipment: implantation tool
process control
for these basic processes several status commands must be controlled
tool
signals:
red/white = tool breakdown
yellow = tool stand-by
green = tool working
9. OEE
9.3 SEMI-Standards
ICM, 9 - 20
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Standby:
Waiting for operation
Example for the definition of technical conditions within a tool:
For the calculation of productivity first must be done:
1. within the tool all possible statuses must be defined
2. these statuses must be reported to external user (data interface, operator, computer)
Because standards were defined in 2000 still tools exist without these requirements
operator loads
wafer by hand
stand-by
ready for loading
wafers loaded in load lock
wafers on transport disk load
implant implant in hold
disk stop waiting for unload
disk unload
disk unloaded wafers in load lock
assist in
disk loaded
Example for Equipment Status
beam adjust
assist out
9. OEE
9.3 SEMI-Standards
ICM, 9 - 21
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Source: Sematech, I300Iand J300 E Global Joint Guidance Document, 1997
Need for Standards in a 300 mm Fab
Due to wafer transport automation a lot of new standards had to be defined for new 300 mm fabs
9. OEE
9.3 SEMI-Standards
ICM, 9 - 22
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
9 Overall Equipment Efficiency OEE
9.1 Introduction
9.2 Equipment Manufacturers and Organisations
9.3 Semi-Standards
9.4 SEMI-E10
9.5 SEMI-E79, Overall Equipment Efficiency OEE
9.6 From OEE to Overall Factory Efficiency OFE
ICM, 9 - 23
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
The task of the SEMI-E10 Standard is the definition of the various operating statuses as a time model
Non Scheduled Time
(Nichtbetriebszeit)
* holidays
* Installation/rebuild/
shutdowns
* training
Operations Time (Betriebszeit)
Down Time (nicht bereit)
Unscheduled
Down Time
(ungeplante
Nichtbereitschaft)
* run out
op.material
* out of specs
* Repair
Scheduled
Down Time
(geplante
Nichtbereitschaft)
* maintenance
* maintanence delay
* Material refill
* set-ups
Up Time (bereit)
Engineering Time
(...)
* process tests
* software tests
* experiments
Manufacturing Time
(Produktionszeit)
Productive Time
(Produktive Zeit)
* regular production
* work for 3rd party
* rework
* engineering runs
Standby Time
(Wartezeit)
* no operator
* no product
* no support
Machine works
(=productive time)
Family in holidays
(non scheduled time)
Machine empty
(=stand-by)
New washing powder
(=engineering)
Refill salt
(maintenance)
Switch defect
(unscheduled)
The SEMI-E10 Standard 9. OEE
9.4 SEMI-E10
ICM, 9 - 24
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Manufacturing Execution
System (MES)
(knows a lot:
where is which lot,
what is about the support,...)
Using the known informations in E10 status will be defined,
which describe the production status of the tool
-> 6 main statuses with sub-states
with this informations calculations of productivity can be performed (E79)
the tool informs about its status
the computer system adds additional informations
From SEMI-E10 to SEMI-E79 (OEE)
Non Scheduled Time
(Nichtbetriebszeit)
* holidays
* Installation/rebuild/
shutdowns
* training
Operations Time (Betriebszeit)
Down Time (nicht bereit)
Unscheduled
Down Time
(ungeplante
Nichtbereitschaft)
* run out
op.material
* out of specs
* Repair
Scheduled
Down Time
(geplante
Nichtbereitschaft)
* maintenance
* maintanence delay
* Material refill
* set-ups
Up Time (bereit)
Engineering Time
(...)
* process tests
* software tests
* experiments
Manufacturing Time
(Produktionszeit)
Productive Time
(Produktive Zeit)
* regular production
* work for 3rd party
* rework
* engineering runs
Standby Time
(Wartezeit)
* no operator
* no product
* no support
9. OEE
9.4 SEMI-E10
ICM, 9 - 25
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
9 Overall Equipment Efficiency OEE
9.1 Introduction
9.2 Equipment Manufacturers and Organisations
9.3 Semi-Standards
9.4 SEMI-E10
9.5 SEMI-E79, Overall Equipment Efficiency OEE
9.6 From OEE to Overall Factory Efficiency OFE
ICM, 9 - 26
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Using the E10-states the productive time as a parameter of productivity or efficiency can be determined.
But for an absolute calculation the time value efficiency is not sufficient, because it may be possible, that the tool is working
for production, but much too slow. For this reason with an additional E79-standard efficiency losses are defined.
SEMI E79 :
* uses E10 as a base for time recording
* defines efficiency losses on a time base
* defines with this efficiency losses an Overall Equipment Efficiency (OEE)
* is applicable also for complexe equipment (Cluster-tools)
Productive Time
Standby
Engineering
Unscheduled Down Time
Scheduled Down Time
Non Scheduled Down Time Availability Efficiency Losses
(Verfügbarkeitsverluste)
Operational Efficiency Losses
(Betriebsverluste)
Rate Efficiency Losses
(Durchsatzverluste)
Quality Efficiency Losses
(Qualitätsverluste)
Theoretical productive time
for effective tools
Performance
Efficiency
Losses
(Leistungs-
verluste)
E10 time states E79 efficiency losses
To
tal T
ime
up
tim
e
Overall Equipment
Efficiency, OEE
*time based
(zeitbasierend)
Overall Equipment
Efficiency, OEE
* loss based
(verlustbasierend)
The SEMI-E79 Standard (Overall Equipment Efficiency OEE) 9. OEE
9.5 SEMI-E79
ICM, 9 - 27
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
timetotal
uptimeAEEfficiencytyAvailabili
timeproductionactual
unitsactualfortimeproductionltheoreticaREEfficiencyRate
unitsactualfortimeproductionltheoretica
unitseffectivefortimeproductionltheoreticaRQQualityofRate
Salt must be refilled
-> E10: scheduled down
but no salt available -> maintenace delay
-> E79: availability loss
cleaning time too long,
because temperature
sensor defect
-> E10: productive
-> E79: throughput loss
Machine filled, but forgotten
to start
-> E10: standby
-> E79: operational loss
Machine worked,
but dishes not clean,
cannot be used
-> E10: productive
-> E79: quality loss
Definitions for Equipment Efficiency 9. OEE
9.5 SEMI-E79
timeup
timeproductionOEefficiencylOperationa
ICM, 9 - 28
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Overall Equipment Efficiency
Definition following SEMI - E 79
OEE = Availability
Efficiency
Operational
Efficiency
Rate
Efficiency
Rate of
Quality x x x
OEE = Availability
Efficiency
Performance
Efficiency
Rate
Efficiency x x
OEE = Theoretical time for effective tools
Total Time
Definitions of Overall Equipment Efficiency OEE 9. OEE
9.5 SEMI-E79
ICM, 9 - 29
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Example:
In a cluster-tool for metal deposition two processes A,B are performed.
For calculation of OEE using E10 the following times (and processed wafers) are recorded:
Load
lock
A
B
Non Scheduled Time
(Nichtbetriebszeit)
* holidays
* Installation/rebuild/
shutdowns
* training
Operations Time (Betriebszeit)
Down Time (nicht bereit)
Unscheduled
Down Time
(ungeplante
Nichtbereitschaft)
* run out
op.material
* out of specs
* Repair
Scheduled
Down Time
(geplante
Nichtbereitschaft)
* maintenance
* maintenance delay
* Material refill
* Setups
Up Time (bereit)
Total Time 1 week = 7 days = 168 h
0 h
10h
4h
2h
12h
8h
Engineering Time
(...)
* process tests
* software tests
* experiments
Manufacturing Time
(Produktionszeit)
Productive Time
(Produktive Zeit)
* regular production
* rework
* engineering runs
Standby Time
(Wartezeit)
* no operator
* no product
* no support
5h
24,6h
20,4h 427 w A
68,7h 1033 w B
3h 47 w A
5,6h 65+3w B
4,7h 97+2w A
0h 0w B
The theoretical process times are due to the equipment supplier: A: 2.5min/wafer und B: 3.3 min/wafer
68 wafer processed,
but 3 are scrap
99 wafer processed,
but 2 are scrap
Example for Calculation of OEE
cluster tool
of all wafers = 1´674
h: hours
w: wafers
9. OEE
9.5 SEMI-E79
ICM, 9 - 30
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
timetotal
uptimetyAvailabili %,
h
h
h
h,h,h
timetotal
uptimetyAvailabili 678
168
132
168
62441025
%,,min
min
hmin/hh,h,h,hh,
waferwafermin/.waferwafermin/.REEfficiencyRate 4828240
6144
5065
60065768743420
068103333994742752
n
processesall
n
n
processesall
nn
timeproduction
wafersofnumberRCT
timeproductionactual
timeproductionltheoreticaREEfficiencyRate
processesall
n
processesall
n
timeproductiondbytanstime.eng
timeproduction
timeup
timeproductionOEefficiencylOperationa
%,,
h,h,h,h
h,h,OEEfficiencylOperationa 6777760
3741286245
374128
ocessedPrWafersTotal
scrapreworkedocessedPrWafersTotalQualityofRate
%,.QualityofRate 89292801674
3652471674
OEE = Availability
Efficiency
Operational
Efficiency
Rate
Efficiency
Rate of
Quality x x x
OEE = 0,78 * 0,82 * 0.77 *0.93 = 0.46 = 46% !!
Example for Calculation of OEE 9. OEE
9.5 SEMI-E79
ICM, 9 - 31
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Computer Assisted Manufacturing CAM for OEE
From the reported tool states and the information from the computer system (MES) the productivity
can be calculated for single tools and for complete tool groups by software.
9. OEE
9.5 SEMI-E79
ICM, 9 - 32
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
9 Overall Equipment Efficiency OEE
9.1 Introduction
9.2 Equipment Manufacturers and Organisations
9.3 Semi-Standards
9.4 SEMI-E10
9.5 SEMI-E79, Overall Equipment Efficiency OEE
9.6 From OEE to Overall Factory Efficiency OFE
ICM, 9 - 33
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
E124
FAB productivity and
cost management
From SEMI-E79 (OEE) to SEMI-E?? OFE
With SEMI-E79 the productivity of the equipment can be calculated.
But in a semiconductor fab the tools are not working isolated, they must be supported (infrastructure = electricity, clean water,
clean air, computer system, ...).
Disposals are created, which must be handled, and also additional equipment (support = transport system, metrology and
analysis instruments) exists.
All these supporting systems consume money, can break down and reduce productivity of the fabrication tools.
It suggests itself using the Overall Equipment Efficiency OEE to develop an Overall Factory Efficiency OFE.
1997 1998 1999 2000
Definition of tool status to calculate
availability and reliability
Definition of data interface tool and computer (RS-232, TCP/IP)
1995
Definition of control commands between tool and computers
E35 Cost of Ownership
Definitions and calculations for tool productivity
E10 E58
E4, E5
E30
Tool status
management
year
2001 2002
E79
Tool productivity and
cost management
Tool communication
management
Provisional Guide 2003
9. OEE
9.6 OEE to OFE
ICM, 9 - 34
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Bottom Up Approach - Facilities and Production Model:
Equipment
States idle
prod
down
Process
Equipment
Process
Area
Diffusion
Wet Etch CMP
Ion Implant
Production Supply Disposal
Fab
Method for Overall Factory Efficiency Development
T. Vonderstrass, E. Mueller ( M+W Zander)
9. OEE
9.6 OEE to OFE
ICM, 9 - 35
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
EP-400-3P
UPW supplyPCW supply
IPA
cleanroom air
CDA
HP-N2acid exhaust
wafer out
wafer in
UHP-O2
H2O2
HCl
HF
NH4OH
city water
EP-208-3P
cleanroom air
general exhaust
solvent exhaust
UPW return
PCW return
service
cleanroom air
CDA
UHP-O2
HP-N2
H2O2
HCl
HF
IPA
NH4OH
PCW supply
UPW supply
city water
EP-208-3P
EP-400-3P
cleanroom air
acid exhaust
general exhaust
solvent exhaust
PCW return
service
0.00 $
0.00 $
0.00 $ 0.12 $
48.44 $
0.00 $
212.24 $
0.00 $
411.09 $
97.46 $
0.00 $
2116.20 $
403.72 $
515.16 $
438.79 $
0.70 $
13.67 $
10.72 $
0.00 $
0.00 $
0.00 $
19.64 $
0.00 $
0.00 $
23.00 $
21.81 $
46.67 $
57.82 $
0.00 $
0.00 $
0.00 $
0.00 $
18.36 $
191.30 $
8.19 $
41.47 $
49.77 $
8.80 $
1.87 $
22.24 $
0.28 $
16.13 $
0.00 $
Standby
Production
E4 Technologies
disposal chemicals IPA
disposal chemicals IPA
PWW-HF-SO4
0.00 $
48.97 $
853.42 $
PWW-HF-SO4491.01 $
UPW hot supply 163.82 $
Wet Bench W 3.1 - W 3.9
UPW hot supply 211.22 $
Cost input for one special tool:
Different costs
for stand-by and production
Method for Overall Factory Efficiency Development
T. Vonderstrass, E. Mueller ( M+W Zander)
9. OEE
9.6 OEE to OFE
ICM, 9 - 36
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
PCW supply
cleanroom air
IPA
EG
HF
UPW supply
EKC
H2O2
NH4OH-2
UPW hot supply
EP-400-3P
H2SO4
wafer in
CDA
HP-N2
disposal chemicals H2SO4
UPW hot return
acid exhaust
caustic exhaust
solvent exhaust
PWW-HF-SO4 free
PCW return
UPW return
PWW-UPW-recycling
disposal chemicals EKC
disposal chemicals IPA
disposal chemicals EG
wafer out
cleanroom air
PWW-As-acid
general exhaust
UHP-O2
HCl
city water
CO2
0.00 $
205.79 $
198.01 $
141.42 $
10813.08 $
1664.69 $
2708.16 $
296.01 $
557.35 $
1210.92 $
66.21 $
1740.00 $
505.50 $
260.86 $
0.00 $
377.83 $
5.13 $
0.28 $
309.51 $
301.57 $
51.39 $
79.30 $
0.00 $
787.82 $
1524.33 $
0.00 $
0.00 $ 494.56 $
260.57 $
40.02 $
0.02 $
79.16 $
52.08 $
499.06 $
model parameter
EP-220-1P
EP-208-3P
disposal chemicals HF-NH4F
1.12 $
8.89 $
127.55 $
6.58 $
0.00 $
0.00 $
BHF
BOE
328.57 $
328.57 $
UHP-N238.97 $
H3PO4
disposal chemicals H3PO4
400.52 $
10.82 $
process waste water- final rinse0.00 $
PWW-HF-SO4
Wet Processes
Cost input for several tools in a process modul:
Method for Overall Factory Efficiency Development
T. Vonderstrass, E. Mueller ( M+W Zander)
9. OEE
9.6 OEE to OFE
ICM, 9 - 37
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Die Attach and Wire Bonding
PCW supply
cleanroom air
IPA
EG
HF
UPW supply
EKC
H2O2
NH4OH-2
UPW hot supply
EP-400-3P
H2SO4
wafer in
CDA
HP-N2
disposal chemicals H2SO4
UPW hot return
acid exhaust
caustic exhaust
solvent exhaust
PWW-HF-SO4 free
PCW return
UPW return
PWW-UPW-recycling
disposal chemicals EKC
disposal chemicals IPA
disposal chemicals EG
wafer out
cleanroom air
CDA
EP-208-3P
wafer in
wafer out
cleanroom air
cleanroom air
general exhaust
cleanroom air
wafer out
wafer in
PCW return
CDA
cleanroom air
SF6
HP-N2
P-N2
UHP-Ar
PCW supply
EP-400-3P
EP-208-3P
wafer in
wafer out
CDA
cleanroom air
UPW supply
PCW supply
EP-208-3P
general exhaust
PWW-UPW-recycling
PCW return
wafer in
wafer out
cleanroom air
PWW-As-acid
HCl (gas)
EP-220-1P
C2F6
general exhaust
UHP-O2
HCl
UHP-N2
cleanroom air
HP-N2
city water
cleanroom air
CDA
slurry B
slurry A
UPW supply
PCW supply
EP-208-3P
NH4OH-1
EP-400-3P
wafer in
caustic exhaust
PWW/CMP inorg
PWW/CMP org
UPW return
PCW return
cleanroom air
wafer out EP-220-1P
cleanroom air
HP-N2
UHP-N2
UHP-O2
UHP-Ar
UHP-H2
AsH3-H2
HCl (gas)
NH3
SiH2Cl2
PCW supply
EP-208-3P
acid exhaust
general exhaust
cleanroom air
PCW return
wafer in
wafer out
PCW return
general exhaust
cleanroom air
wafer in
wafer out PCW supply
CDA
UHP-N2
HP-N2
P-N2
UHP-O2
UHP-Ar
UHP-H2
BCl3
C4F8
CH4
CHF3
Cl2
CO
CO2
HBr
HCl (gas)
He/O2
N2O
N2O
NF3
NH3 SF6
EP-208-3P
cleanroom air
UHP-He
EP-220-1P
HP-N2
F2-Kr-Ne
Kr-Ne
PGMEA
TMAH-2
TMAH-1
UHP-He
disposal chemicals PR
cleanroom air
PWW TMAH
0.00 $
205.79 $
198.01 $
141.42 $
10813.08 $
1664.69 $
2708.16 $
296.01 $
557.35 $
1210.92 $
66.21 $
1740.00 $
505.50 $
260.86 $
0.00 $
377.83 $
5.13 $
0.28 $
309.51 $
301.57 $
51.39 $
79.30 $
0.00 $
787.82 $
1524.33 $
0.00 $
0.00 $
0.00 $ 13.90 $
138.49 $
1.55 $ 0.00 $
46.33 $
0.00 $
0.00 $
2.48 $
19.62 $
2.44 $
494.56 $
260.57 $
0.00 $
0.00 $
64.93 $
124.10 $
68.95 $
217.59 $
32.77 $
478.58 $
119.06 $
0.00 $
158.21 $
0.00 $
0.00 $
40.02 $
0.02 $
79.16 $
52.08 $
499.06 $
20.25 $
31.00 $
12.00 $
9.25 $
236.22 $
49.75 $
29.48 $
1017.02 $
7.16 $
91.80 $
8.34 $
0.00 $ 9.54 $
30.61 $
0.00 $
12.95 $
56.09 $
193.96 $
0.00 $
0.00 $
273.11 $
1953.80 $
44.03 $
46.36 $
2.65 $
10.38 $
4.13 $
0.82 $
54.05 $
17.11 $
460.32 $
415.46 $
809.35 $
144.31 $
0.00 $
0.00 $
0.60 $
286.46 $
143.68 $
399.47 $
53.98 $
4.64 $
0.00 $
0.00 $
36.63 $
9.28 $
76.93 $
107.90 $
6.78 $
516.91 $
484.23 $
8588.40 $
34.24 $
508.78 $
815.14 $
54.04 $
29.15 $
24.91 $
1.90 $
25.30 $
192.13 $
15.58 $
49.34 $
PWW-HF-SO4
general exhaust
CF4
cleanroom air
H2-N2
P-N2
CDA
UHP-N2
UHP-O2
PCW supply
EP-208-3P
cleanroom air
general exhaust
PCW return
wafer in
wafer out
IPA
UPS-240-1P
EP-220-1P
PCW return
UHP-Ar
UHP-N2
CDA
HP-N2
PCW supply
EP-208-3P
model parameter
model parameter
model parameter
model parameter
HP-N2
P-N2
UHP-Ar
UHP-He
PCW supply
EP-400-3P
PCW return
general exhaust
model parameter
SiH2Cl2
WF6
B2H6-N2
PH3-H2 SiH4
SiH4
model parameter
model parameter
model parameter
UHP-He
UHP-O2
CF4
UPW supply
NF3
CH3F
SiF4
model parameter
EP-220-1P
EP-208-3P
disposal chemicals HF-NH4F
solvent exhaust
UPW return
81.60 $
18.13 $
3.67 $
3.05 $
316.97 $
0.08 $
7.25 $
2.41 $
1.54 $
92.01 $
127.68 $
0.00 $
0.00 $
12.31 $
3.70 $
50.21 $
5936.27 $
0.58 $
4.43 $
56.68 $
1.92 $
1.10 $
0.01 $
0.42 $
155.02 $
203.47 $
80.01 $
0.00 $
0.00 $
0.00 $
0.00 $
0.04 $
14.37 $
29.83 $
0.00 $
0.00 $
387.08 $
478.78 $
138.93 $
169.78 $
0.00 $
759.66 $
531.36 $
57.70 $
3.04 $
306.45 $
1712.17 $
252.12 $
50.07 $
0.00 $
78.62 $
0.00 $
48.06 $
5.69 $
0.30 $
1.13 $
4.81 $
10.37 $
62.91 $
29.70 $
306.19 $
68.86 $
257.49 $
0.25 $
51.71 $
23.49 $
3.65 $
39.56 $
0.67 $
0.16 $
156.34 $
4012.38 $
23.67 $
1.38 $
391.45 $
0.07 $
202.22 $
3.87 $
3.84 $
1.12 $
8.89 $
127.55 $
6.58 $
0.00 $
0.00 $
125.35 $
4986.70 $
2066.12 $
7.15 $
28.52 $
0.00 $
0.00 $
BHF
BOE
disposal chemicals poly
EP-220-1P
PCW supply
cleanroom air
PCW return
model parameter
cleanroom air
general exhaust
model parameter
cleanroom air
CDA
EP-208-3P
EP-400-3P
328.57 $
328.57 $
0.00 $
2.91 $
6.06 $
65.49 $
30.40 $
2.99 $
0.00 $
1288.66 $
toxic exhaust
TEOS
TEAS
toxic exhaust
2.63 $
135.88 $
2207.79 $
56.95 $
poly
QZ
UHP-N2
PR-1
PR-2
PR-3
PR-4
PR-5
PR-DUV-1
PGMEA (DUV)
PR-DUV-2
PR-DUV-3
AsH3
BF3
PH3
C6H15BO3
TEPO
TEOS
toxic exhaust
2929.08 $
25026.28 $
38.97 $
1586.42 $
1155.33 $
355.07 $
1666.29 $
918.59 $
6660.87 $
953.58 $
347.43 $
6025.12 $
20.21 $
1420.24 $
869.19 $
395.13 $
300.94 $
27.49 $
1985.99 $
H3PO4
disposal chemicals H3PO4
Al-Cu targets
Ti-targets solid waste Ti targets
toxic exhaust
400.52 $
10.82 $
2262.88 $
3269.39 $ 0.00 $
1409.28 $
general exhaust
UPW return
48.04 $
0.00 $
process waste water- final rinse 0.00 $
PCW return
PCW supply
UPW supply
P-N2
P-N2
PWW grinding
PWW dicing
2.75 $
1.79 $
31.96 $
15.01 $
22.12 $
22.02 $
L-N2
PCW supply
EP-208-3P
EP-400-3P
cleanroom air
PCW return
model parameter
CDA
EP-208-3P
cleanroom air
model parameter
EP-400-3P
PCW supply
cleanroom air
PCW return
model parameter
UPW supply
UPW hot supply
PWW-UPW-recycling
PWW-HF-SO4
PWW-HF-SO4
81.84 $
274.49 $
103.85 $
191.23 $
580.61 $
238.73 $
223.33 $
0.00 $
25.00 $
590.42 $ 0.00 $
49.33 $
13.04 $
14.36 $
32.60 $
1.57 $
13.68 $
31.58 $
0.00 $
0.00 $
PCW return PCW supply 182.61 $
170.84 $
209.97 $
Production Support
Backend
slurry C 1278.40 $
Diffusion Implant PECVD
Wet Processes Lithography
CMP Dry Etch
Asher Sputter
Transport System
Parts Cleaning
Final testing
Wafer Test
Die Separation (Dicer/Grinder)
Packaging
cleanroom air
CDA
EP-400-3P
leadframe
cleanroom air
bonding wire
chip
model parameter
cleanroom air
EP-400-3P
cleanroom air
chip
model parameter
CDA
molding press paste
general exhaust
solid waste molding press paste
grinding disc solid waste grinding disc
solid waste sawing blades sawing blades
EP-220-1P
cleanroom air cleanroom air
model parameter
405.53 $
2.67 $
0.00 $
6.91 $
6.66 $
107.52 $
0.00 $
0.00 $
0.85 $
2.25 $
2048.20 $
16.36 $
2.04 $
0.88 $
0.00 $
0.00 $
0.00 $
319.14 $ 0.00 $
33.99 $ 0.00 $
73.73 $
0.00 $ 99.55 $
0.00 $
EP-208-3P 29.49 $
solid waste Al-Cul targets
0.84 $
0.00 $
Metrology
1.36 $
74.37 $
68.86 $
1.31 $
0.00 $
CDA
wafer in
wafer out
0.00 $
0.00 $
wafer in
wafer out
0.00 $
0.00 $
wafer in
wafer out
0.00 $
0.00 $
die in
die out
0.00 $
0.00 $
die in
die out
die in
die out
0.00 $
0.00 $
0.00 $
0.00 $
Cost input for all process modules in a FAB
Method for Overall Factory Efficiency Development
T. Vonderstrass, E. Mueller ( M+W Zander)
9. OEE
9.6 OEE to OFE
ICM, 9 - 38
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
0.00 $ 0.00 $
0.00 $
1.30 $ 1.30 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
supply air
Process Cooling Water System
(PCW)
Process Vacuum System
Compressed Dry Air System
(CDA)
Make-up Air System Recirculation Air System
UHP-O2 and P-O2
HP-N2 and P-N2 UHP-N2
UHP-Ar
UHP-He
UHP-H2
Pretreatment
UPW hot
Heating System
UPS
Polishing City Water
Ultrapure Water System
(UPW)
Electrical Supply
Air Handling
Bulk Gas Supply System
electricity 20kV
electricity 20kV
city water
natural gas
outside air
L-H2
He
L-Ar
L-O2
L-N2
raw water
P-O2 (facilities)
EF-400V
EF-400V
EF-400V
EF-400V
EF-400V
EF-400V
EF-400V
EF-400V EF-400V
EF-400V
EF-400V
EF-400V
EF-400V
EF-400V
EF-400V
PCW supply
PCW supply
heating 55°C - supply system 55/25
heating 25°C -
return system 55/25
heat recovery N2 generation
supply air
PCW return
PCW return
HP-N2
HP-N2
PCW supply
PCW return
supply air
heating 55°C -
supply system 55/25 heating 25°C -
return system 55/25
UPW to UPW hot
P-N2
P-N2
heating 55°C - supply system 55/25
heating 25°C - return system 55/25
EF-400V
P-N2
exhaust
exhaust
exhaust
exhaust
exhaust
exhaust
exhaust
exhaust
exhaust
PCW return
exhaust
exhaust
heat recovery PCW to make-up air system
heat recovery PCW of make up air system
heat recovery PCW
to make-up air system heat recovery PCW
of make up air system
exhaust
UPW to UPW polishing
EF-220V
EF-220V
EF-6.6kV
EF-6.6kV
exhaust
Chiller System and
Cooling Towers
cold water 18°C return system 12/18
cold water 11°C - return 5/11
recooling 31°C return system 31/25
CW return system (heat recovery)
cold water 12°C supply system 12/18
cold water 5°C supply system 5/11
recooling 25° C - supply 31/25
cold water 12°C supply system 12/18
cold water 18°C return system 12/18
cold water 12°C supply system 12/18
cold water 12°C
supply system 12/18 cold water 18°C
return system 12/18 cold water 18°C
return system 12/18
cold water 12°C
supply system 12/18
CW return system (heat recovery)
recooling 31°C return system 31/25
recooling 31°C return system 31/25
recooling 25° C - supply 31/25
cold water 5°C
supply system 5/11 cold water 11°C -
return 5/11
process vacuum to general exhaust
Process Waste Water Treatment
(PWW)
exhaust
PWW/HF-SO4
waste water
PWW/HF-SO4 free
UPW recycling
UPW recycling
UPW recycling
DI water supply
General Exhaust
Acid Exhaust (EXA)
Caustic Exhaust (EXC)
Solvent Exhaust (EXS)
Toxic Exhaust (EXT)
P-O2 (facilities)
natural gas
DI water supply PWW/HF-SO4
waste water
general exhaust (heat)
general exhaust (heat)
general exhaust (mass)
DI water supply
DI water supply
general exhaust (heat)
general exhaust (mass)
exhaust
process vacuum
to general exhaust
recooling 31°C return system 31/25
recooling 25° C -
supply 31/25
recooling 25° C - supply 31/25
recooling 25° C -
supply 31/25
recooling 31°C return system 31/25
heat recovery - CDA
heat recovery N2 generation
heat recovery - CDA
heat recovery CDA (heat difference)
heat recovery
N2 generation
(heat difference)
heat recovery
N2 generation
(heat difference)
heat recovery CDA (heat difference)
waste water
heating 80°C - supply system 80/55
heating 80°C - supply system 80/55
heating 55°C - return system 80/55
heating 55°C -
return system 80/55
PWW/HF-SO4 free
PWW/HF-SO4 free
Light and Transport
CDA
cleanroom air
to production
P-N2 to production
HP-N2 to production
UHP-N2 to production
UHP-O2 to production
UHP-Ar
UHP-He to production
UHP-H2 to production
EP-208V-3P
EP-220V-1P
EP-400V-3P
UPS-220V-1P
PCW supply to production
UPW supply
UPW hot supply
city water
gases
in cleanroom air
EXA
EXC
general exhaust
EXS
EXT
PCW return from production
UPW return
UPW hot return
PWW/As
PWW /TMAH
PWW/HF-SO4 free
PWW/HF-SO4
PWW/UPW recycling
PWW/CMP org
PWW/CMP inorg
process waste water final rinse
EF-400V
EF-400V
EF-400V
EF-400V
EF-400V
EF-400V
EF-400V
EF-400V
PCW return
PCW supply
PCW supply
DI water supply
PWW/HF-SO4
PWW/HF-SO4
waste water to cooling towers
waste water to cooling towers
divergency
waste water
heating 55°C - supply system 55/25
heating 25°C -
return system 55/25
heat
heat and water
difference
in outside air
heat difference raw water
excess heat and
water in outside air
Support
UPS-400V
UPS-400V
city water
city water UHP-O2 (facilities)
UHP-O2 (facilities)
H2 (facilities)
H2 (facilities)
EXA (heat)
EXS facilities
EXA (mass)
EXA (mass)
PWW/dicing
PWW/grinding
DI water supply
22919.30 $
21640.18 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
472.76 $
0.00 $
0.00 $ 0.00 $
0.00 $
4734.58 $
842.32 $
842.32 $
0.00 $
0.00 $
39.82 $
4815.04 $
0.00 $
1287.97 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
109.33 $
0.00 $
19.64 $
89.68 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.14 $
0.00 $
0.00 $
0.00 $
380.39 $
0.00 $
0.00 $
0.00 $ 0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $ 0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $ 0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $ 0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $ 0.00 $
0.00 $ 0.00 $
0.00 $
0.00 $
9280.53 $
0.00 $
0.00 $
0.00 $
0.00 $
69.35 $
0.00 $
0.00 $
0.00 $
0.00 $
1.73 $
1194.17 $
0.00 $
0.00 $ 0.00 $
0.00 $
0.00 $
0.00 $
1329.73 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $ 0.00 $
0.00 $ 0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
3883.67 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
28.82 $
0.00 $ 0.00 $
0.00 $
0.00 $
0.00 $
3858.43 $
1349.13 $
5005.80 $
904.31 $
15336.64 $
1803.86 $
548.98 $
303.38 $
11451.67 $
12380.49 $
1013.79 $
0.00 $
0.00 $
0.00 $
1329.73 $
576.19 $
3883.67 $
6789.08 $
1454.08 $
9149.14 $
0.08 $
76.54 $
6708.71 $
4000.91 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
380.25 $ 0.00 $
0.00 $
0.00 $
0.00 $
0.00 $ 4537.25 $
0.00 $
29526.69 $
0.00 $ 0.00 $
3184.12 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
3430.31 $
0.00 $
0.00 $ 0.00 $
0.00 $ 0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $ 730.25 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
1803.22 $
0.00 $
109.74 $
108.73 $
0.00 $
0.00 $ 0.00 $
45.35 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
waste heat (waste water)
0.00 $
0.00 $
waste water
exhaust
125.29 $
0.00 $
cleanroom air
to vacuum
cleanroom air to vacuum
967.36 $
1.30 $
1.30 $ 1.30 $
exhaust
exhaust
exhaust
Air Handling Facilities
exhaust
cold water 12°C
supply system 12/18
heating 55°C -
supply system 55/25
excess heat and
water in outside air
heat and water
difference
in outside air
outside air
EF-400V
EF-400V
heating 25°C -
return system 55/25
cold water 18°C return system 12/18
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
exhaust 0.00 $
0.00 $
circulation air
to support
circulation air return
from support
cleanroom air
to support
202.50 $
0.00 $
waste heat
waste heat
0.00 $ 0.00 $
0.00 $
Air Handling Support
circulation air
to production
circulation air
to production
general exhaust (mass)
EXA (mass)
EXC (mass)
EXT (mass)
EXS facilities circulation air to support
cleanroom air to support
104.24 $
0.00 $
0.00 $
0.00 $
617.97 $
0.00 $
1803.22 $
202.50 $
0.00 $
0.00 $
waste water 2443.80 $
N2 0.00 $
0.00 $
0.00 $
Exhaust
0.00 $
0.00 $
0.00 $
Electricity
Production (EP)
Electricity
Facilities (EF)
cold water 18°C return system 12/18
Facilities
general exhaust (mass)
circulation air return
from support
circulation air return
from production
circulation air return
from production
0.00 $
0.00 $
Cost input for all facilities
Method for Overall Factory Efficiency Development
T. Vonderstrass, E. Mueller ( M+W Zander)
9. OEE
9.6 OEE to OFE
ICM, 9 - 39
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
PCW supply
PCW return
acid exhaust
caustic exhaust
general exhaust
solvent exhaust
PWW/As
PWW/TMAH
PWW/UPW recycling
PWW/HF-SO4 free
PWW/HF-SO4
PWW/CMP org
PWW/CMP inorg
BHF
EKC EG
H2O2
H2SO4
HCl HF IPA
NH4OH-2 NH4OH-1
PGMEA
slurry B
slurry A
BOE
TMAH-2
TMAH-1
disposal chemicals EKC
disposal chemicals EG
disposal chemicals H2SO4
disposal chemicals IPA
disposal chemicals PR
UPW cold supply
UPW return
UPW hot supply
UPW hot return
compressed dry air
UHP-N2
HP-N2
P-N2
UHP-O2
UHP-Ar
UHP-He
UHP-H2
city water
AsH3/H2
BCl3
C2F6
C4H2F8
CF4 CH4
CHF3
Cl
CO
CO2
F2/Kr/Ne
HBr HCl
He/O2 Kr/Ne
H2/N2
NO
NF3
NH3
PH3/H2 SF6
SiH2Cl2 SiH4
C6H15BO3
TEPO
WF6
cleanroom air
cleanroom air
wafer in wafer out
Specialty Gases
Gases/Air Return
Chemicals
Chemicals and Solid Waste
Water
Water Return
Energy
Production BF3
AsH3
PH3
Al-Cu targets
Ti targets
solid waste Ti targets
PR-DUV-3
448.25 $
125.99 $
2166.57 $
205.82 $
210.51 $
10.87 $
709.88 $
68.07 $
4.13 $
29.70 $
306.19 $
68.86 $
574.45 $ 0.25 $
51.71 $
23.49 $
3.65 $
1.12 $
378.43 $
18.08 $
753.86 $
7.43 $
1022.98 $
309.51 $
301.57 $
51.39 $
260.57 $
1.90 $
39.56 $
532.85 $
0.16 $ 25.30 $
7.25 $
501.33 $
8744.74 $
88.29 $
3.04 $ 4012.38 $
766.77 $
2127.63 $
50.07 $
895.38 $
28.52 $
1736.00 $
0.02 $
15.58 $
0.00 $
787.82 $
1637.50 $
56.09 $
193.96 $
0.00 $
0.00 $
10813.08 $
1664.69 $
2708.16 $ 296.01 $
499.06 $ 557.35 $
1211.50 $
494.56 $ 8.34 $
125.35 $
5936.27 $
1017.02 $
4986.70 $
2066.12 $
3138.72 $
2090.35 $
518.55 $
8.89 $
2984.29 $
244.38 $
2760.42 $
model parameter
B2H6/N2
CH3F
SiF4
disposal chemicals HF/NH4F
759.66 $
23.67 $
1.38 $
0.00 $
0.00 $
disposal chemicals poly
4.43 $
328.57 $
328.57 $
0.00 $
toxic exhaust
TEOS
TEAS
3454.85 $
531.02 $
2207.79 $
poly
QZ
PR-1 PR-2 PR-3 PR-4 PR-5
PR-DUV-1
PR-DUV-2
PGMEA-DUV
H3PO4
disposal chemicals H3PO4
25026.28 $
2929.08 $
1586.42 $ 1155.33 $
355.07 $
1666.29 $
918.59 $
6660.87 $
347.43 $
953.58 $
6025.12 $
1420.24 $
20.21 $
869.19 $
300.94 $
27.49 $
400.52 $
10.82 $
2262.88 $
3269.39 $
0.00 $
Facilities
PWW/final rinse
electricity 20kV
city water
raw water
L-N2
L-O2
L-Ar
He
H2
outside air
natural gas
waste water
exhaust
Gases/Air
heat difference in raw water
heat and water difference in outside air
heat and water
excess (air)
PWW/dicing
PWW/grinding
L-HP-N2
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
22.12 $
22.02 $
103.85 $
waste heat in waste water 0.00 $
UPS-240V-1P
EP-400V-3P
EP-220V-1P
EP-208V-3P
N2 (air) 0.00 $
additional transition
Exhaust and Waste Water
Disposal
Supply
Basic Supply Facilities
Other Material
0.00 $
slurry C 1278.40 $
leadframe
bonding wire
chip
molding press
solid waste molding press paste
grinding disc solid waste grinding disc
sawing blades
solid waste sawing blades
solid waste Al-Cu targets
Disposal Facilities
Supply Production
Disposal Production
>
<
Bulk Gases
Water
Energy
405.53 $
107.52 $
2048.20 $
0.00 $
319.14 $ 0.00 $
0.00 $
33.99 $
0.00 $ 0.00 $ 0.00 $
Facilities and Production
Cost input for the complete production and support
Method for Overall Factory Efficiency Development
T. Vonderstrass, E. Mueller ( M+W Zander)
9. OEE
9.6 OEE to OFE
ICM, 9 - 40
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
outside air
heat and water difference (air)
heat and water excess
in outside air
L-N2
L-O2
L-Ar
He
H2
city water
raw water
heat difference in raw water
natural gas
E-20kV
AsH3/H2
BCl3
C2F6
C4F8
CF4
CH4
CHF3
Cl2
CO
CO2
F2-Kr-Ne
HBr
HCl
He-O2
Kr-Ne
H2-N2
NO
NF3
NH3
PH3-H2
SF6
SiH2Cl2
SiH4
WF6
B2H6/N2
CH3F
SiF4
BF3
AsH3
PH3
C6H15BO3
TEOS
TEPO
TEAS
EKC
EG
H2O2
H3PO4
H2SO4
HCl
HF
PR-DUV-1
PR-DUV-2
PGMEA-DUV
PR-DUV-3
PR-1
PR-2
PR-3
PR-4
PR-5
IPA
NH4OH-2
NH4OH-1
Al-Cu targets
Ti targets
PGMEA
slurry A
slurry B
slurry C
TMAH-2
TMAH-1
BHF
BOE
poly
QZ3501
L-HP-N2
exhaust
waste water
waste heat (waste water)
disposal chemical EKC
disposal chemicals EG
disposal chemicals H2SO4
disposal chemicals H3PO3
disposal chemicals IPA
disposal chemicals PR
disposal chemicals poly
disposal chemicals HF/NH4F
solid waste Ti targets
model parameter
wafer in
wafer out
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
4.13 $
29.70 $ 306.19 $ 68.86 $
103.85 $
574.45 $
0.25 $ 51.71 $ 23.49 $ 3.65 $ 1.12 $ 1.90 $
39.56 $ 499.06 $ 0.16 $ 25.30 $
7.25 $
501.33 $ 8744.74 $ 88.29 $
3.04 $ 4012.38 $
766.77 $ 2127.63 $
50.07 $
759.66 $
23.67 $
1.38 $
20.21 $
1420.24 $
869.19 $
300.94 $
531.02 $
27.49 $
2207.79 $
10813.08 $ 1664.69 $
2708.16 $
400.52 $
296.01 $
532.85 $
557.35 $
6660.87 $ 953.58 $
347.43 $
6025.12 $
1586.42 $ 1155.33 $ 355.07 $ 1666.29 $ 918.59 $
1211.50 $
494.56 $ 8.34 $
2262.88 $
3269.39 $
125.35 $
1017.02 $ 5936.27 $
4986.70 $
2066.12 $
328.57 $ 328.57 $
25026.28 $
2929.08 $
0.00 $
0.00 $
0.00 $
309.51 $ 301.57 $
51.39 $
10.82 $
260.57 $
28.52 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
N2 (air) 0.00 $
E4 Technologies
Specialty Gases
Chemicals
Chemicals and Solid Waste
Basic Supply Facilities
Exhaust and Waste Water
Other Material
1278.40 $
leadframe
bonding wire
molding press paste
solid waste molding press paste
grinding disc
solid waste grinding disc
sawing blades
solid waste sawing blades
Bulk Gases
Water
Energy
Supply Production Disposal Facilities
Disposal Production
solid waste Al-Cu targets
General View
0.00 $
0.00 $
405.53 $
107.52 $
2048.20 $
319.14 $
0.00 $
33.99 $
0.00 $
Cost input for the complete production and support
Method for Overall Factory Efficiency Development
T. Vonderstrass, E. Mueller ( M+W Zander)
9. OEE
9.6 OEE to OFE
ICM, 9 - 41
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
COO – cost of ownership
Output (standby)
Output (production)
Input (standby)
Input (production)
Yield costs
Whole Wafers
Defect Dies
Fixed costs
Equipment
Depreciation
Transport
Custom
Installation
Training
Floor Space
Interest
Lifetime Throughput Composite yield Utilization
COO = F$ + O$ + Y$
L x T x Y x U Cost per good wafer
Operational costs
Input Output Gases/Air
Chemicals
Water
Energy
Wafer
Energy, Heat
Exhaust (air, gases)
Wastewater
Solid waste
Wafer
Process
Module
Maintenance
Personnel
Testwafer
Material
Method for Overall Factory Efficiency Development 9. OEE
9.6 OEE to OFE
ICM, 9 - 42
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober Integrated Circuit Manufacturing Modul 1278
Chapter 9 : Overall Equipment Efficiency OEE