bibliography - shodhganga : a reservoir of indian theses...

16
240 [1] International technology roadmap for semiconductors (ITRS) - 2011 http://www.itrs.net/Links/2011ITRS/Home2011.htm , Year 2011 [2] “A Brief History of Microprocessors” , Microelectronics Industrial Centre, Northumbria University, 2002, http://mic.unn.ac.uk/miclearning/modules/micros/ch1/micro01hist.html [3]Short, Kenneth L.. Microprocessors and Programmed Logic. Prentice-Hall, Inc. (New Jersey: Englewood Cliffs, 1987). [4]Noyce, Robert N. and Marcian E. Hoff, Jnr. c1981. A History of Microprocessor Development at Intel. IEEE Micro, pg. 822. [5]Krutz, Ronald L. Microprocessors and Logic Design. John Wiley & Sons.(New York: NY, 1980) [5]Heath, Steve. Microprocessor Architectures and Systems: RISC, CISC and DSP. (Newnes: UK, 1991) [6]Heffer, D.E., King, G.A., and Keith, D.C. (c1986). Basic Principles and Practice of Microprocessors [7]Miller J. Michael. Microprocessors March On. PC Magazine (e-journal) December 1998. Available on line: www.howstuffworks.com/microprocessor5.htm (accessed May 2001) [8] Gursharan Singh Tatla ,[email protected] ,www.eazynotes.com [9] Website page http://en.wikipedia.org/wiki/Microprocessor [10] Hasan Krad and Aws Yousif A1-Taie , “The new trends for CISC and RISC architectures” [11] David A. Patterson, “Reduced Instruction Set Computers”, Commun. ACM 28, 1 (Jan. 1985),Pages 8 - 21 [12] Comparison between CISC and RISC , Yi Gao, Shilang Tang, Zhongli Ding [13] W. Knight, “Two Heads Are Better Than One”, IEEE Review, September 2005 [14] R. Merritt, “CPU Designers Debate Multi-core Future”, EETimes Online, February 2008, http://www.eetimes.com/showArticle.jhtml?articleID=206105179 [15] P. Frost Gorder, “Multicore Processors for Science and Engineering”, IEEE CS, Bibliography

Upload: truongminh

Post on 20-May-2018

216 views

Category:

Documents


0 download

TRANSCRIPT

240

[1] International technology roadmap for semiconductors (ITRS) - 2011

http://www.itrs.net/Links/2011ITRS/Home2011.htm , Year 2011

[2] “A Brief History of Microprocessors” ,

Microelectronics Industrial Centre, Northumbria University, 2002,

http://mic.unn.ac.uk/miclearning/modules/micros/ch1/micro01hist.html

[3]Short, Kenneth L.. Microprocessors and Programmed Logic. Prentice-Hall, Inc.

(New Jersey: Englewood Cliffs, 1987).

[4]Noyce, Robert N. and Marcian E. Hoff, Jnr. c1981. A History of Microprocessor

Development at Intel. IEEE Micro, pg. 8–22.

[5]Krutz, Ronald L. Microprocessors and Logic Design. John Wiley & Sons.(New

York: NY, 1980)

[5]Heath, Steve. Microprocessor Architectures and Systems: RISC, CISC and DSP.

(Newnes: UK, 1991)

[6]Heffer, D.E., King, G.A., and Keith, D.C. (c1986). Basic Principles and Practice

of Microprocessors

[7]Miller J. Michael. Microprocessors March On. PC Magazine (e-journal)

December 1998. Available on line: www.howstuffworks.com/microprocessor5.htm

(accessed May 2001)

[8] Gursharan Singh Tatla ,[email protected] ,www.eazynotes.com

[9] Website page http://en.wikipedia.org/wiki/Microprocessor

[10] Hasan Krad and Aws Yousif A1-Taie , “The new trends for CISC and RISC

architectures”

[11] David A. Patterson, “Reduced Instruction Set Computers”, Commun.

ACM 28, 1 (Jan. 1985),Pages 8 - 21

[12] Comparison between CISC and RISC , Yi Gao, Shilang Tang, Zhongli Ding

[13] W. Knight, “Two Heads Are Better Than One”, IEEE Review, September 2005

[14] R. Merritt, “CPU Designers Debate Multi-core Future”, EETimes Online,

February 2008, http://www.eetimes.com/showArticle.jhtml?articleID=206105179

[15] P. Frost Gorder, “Multicore Processors for Science and Engineering”, IEEE CS,

Bibliography

241

March/April 2007

[16] D. Geer, “Chip Makers Turn to Multicore Processors”, Computer, IEEE

Computer Society, May 2005

[17] L. Peng et al, “Memory Performance and Scalability of Intel‟s and AMD‟s

Dual-Core Processors: A Case Study”, IEEE, 2007

[18] D. Pham et al, “The Design and Implementation of a First-Generation CELL

Processor”, ISSCC

[19] J. Kahle, “The Cell Processor Architecture”, MICRO-38 Keynote, 2005

[20] D. Stasiak et al, “Cell Processor Low-Power Design Methodology”, IEEE

MICRO, 2005

[21] D. Pham et al, “Overview of the Architecture, Circuit Design, and Physical

Implementation of a First-Generation Cell Processor”, IEEE Journal of Solid-State

Circuits, Vol. 41, No. 1, January 2006

[22] D. Geer, “For Programmers, Multicore Chips Mean Multiple Challenges”,

Computer, September 2007

[23] M. Creeger , “Multicore CPUs for the Masses”, QUEUE, September 2005

[24] R. Merritt, “Multicore Puts Screws to Parallel-Programming Models”, EETimes

Online, February 2008,

http://www.eetimes.com/news/latest/showArticle.jtml?articleID=206504466

[25] P. Muthana et al, “Packaging of Multi-Core Microprocessors: Tradeoffs and

Potential Solutions”, 2005 Electronic Components and Technology Conference,

2005

[26] S. Balakrishnan et al, “The Impact of Performance Asymmetry in Emergng

Multicore Architectures”, Proceedings of the 32nd

International Symposium on

Computer Architecture, 2005

[27] “A Brief History of Microprocessors”, Microelectronics Industrial Centre,

Northumbria University, 2002,

http://mic.unn.ac.uk/miclearning/modules/micros/ch1/micro01hist.html

[28] B. Brey, “The Intel Microprocessors”, Sixth Edition, Prentice Hall, 2003

[29] Video Transcript, “Excerpts from a Conversation with Gordon Moore: Moore‟s

Law”, Intel Corporation, 2005

242

[30] S. Balakrishnan et al, “The Impact of Performance Asymmetry in Emergng

Multicore Architectures”, Proceedings of the 32nd

International Symposium on

Computer Architecture, 2005

[31] B. Brey, “The Intel Microprocessors”, Sixth Edition, Prentice Hall, 2003

[32] Video Transcript, “Excerpts from a Conversation with Gordon Moore: Moore‟s

Law”, Intel Corporation, 2005

[33] Wikipedia, “Moore‟s Law”,

http://upload.wikimedia.org/wikipedia/commons/0/06/Moore_Law_diagram_(2004).

[34] Intel, “World‟s First 2-Billion Transistor Microprocessor”,

http://www.Intel.com/technology/architecture-silicon/2billion.htm? id=tech_mooreslaw+rhc_2b

[35] M. Franklin, “Notes from ENEE759M: Microarchitecture”, Spring 2008

[36] U. Nawathe , “An 8-core, 64-thread, 64-bit, power efficient SPARC SoC

(Niagara 2)”, ISSCC, http://www.opensparc.net/pubs/preszo/07/n2isscc.pdf

[37] J. Dowdeck, “Inside Intel Core Microarchitecture and Smart Memory Access”,

Intel, 2006, http://download.Intel.com/technology/architecture/sma.pdf

[38] Tilera, “Tile 64 Product Brief”, Tilera, 2008,

http://www.tilera.com/pdf/ProductBrief_Tile64_Web_v3.pdf

[39] D. Wentzlaff et al, “On-Chip Interconnection Architecture of the Tile

Processor”, IEEE Micro, 2007

[40]Tilera,“TILE64 Processor Family”,www.tilera.com/products/processors.php

[41] D. Olson, “Intel Announces Plan for up to 8-core Processor”, Slippery Brick,

March-2008, http://www.slipperybrick.com/2008/03/Intel-dunnington-nehalem-

processor-chips/

[42] K. Shi and D. Howard, “Sleep Transistor Design and Implementation – Simple

Concepts Yet Challenges To Be Optimum”, Synopsys,

http://www.synopsys.com/sps/pdf/optimum_sleep_transistor_vlsi_dat06.pdf

[43] W. Huang et al, “An Improved Block-Based Thermal Model in HotSpot 4.0

with Granularity Considerations”, University of Virginia, April 2007

[44] S. Mukherjee and M. Hill, “Using Prediction to Accelerate Coherence

Protocols”, Proceedings of the 25th

Annual International Symposium on Computer

Architecture (ISCA), 1998

[45] R. Alderman, “Multicore Disparities”, VME Now, December 2007,

http://vmenow.com/c/index.php?option=com_content&task=view&id=105&Itemid=46

243

[46] R. Kumar et al, “Single-ISA Heterogeneous Multi-core Architectures with

Multithreaded Workload Performance”, Proceedings of the 31st Annual

International Symposium on Computer Architecture, June 2004

[47] R. Goering, “Panel Confronts Multicore Pros and Cons”,

http://www.eetimes.com/news/design/showArticle.jhtml?articleID=183702416

[48] G. E. Suh, L. Rudolph, and S. Devadas. Dynamic partitioning of shared cache

memory. J. Supercomput., 28(1):7–26, April 2004.

[49] G. Edward Suh, Srinivas Devadas, and Larry Rudolph. A new memory

monitoring scheme for memory-aware scheduling and partitioning. In Proceedings

of the 8th International Symposium on High-Performance Computer Architecture,

HPCA ’02, pages 117–,Washington, DC, USA, 2002. IEEE Computer Society.

[50] Derek Chiou, Srinivas Devadas, Larry Rudolph, and Boon S. Ang. Dynamic

cache partitioning via columnization. In Proceedings of Design Automation

Conference, 2000.

[51] Moinuddin K. Qureshi and Yale N. Patt. Utility-based cache partitioning:

A low-overhead, high-performance, runtime mechanism to partition shared

caches. In Proceedings of the 39th

Annual IEEE/ ACM International Symposium

on Microarchitecture, MICRO 39, pages 423–432, Washington, DC, USA,

2006. IEEE Computer Society.

[52] R. L. Mattson, J. Gecsei, D. R. Slutz, and I. L. Traiger. Evaluation techniques

for storage hierarchies. IBM Syst. J., 9(2):78–117, June 1970.

[53] Yuejian Xie and Gabriel H. Loh. Pipp: promotion/insertion pseudo-partitioning

Of multi-core shared caches. In Proceedings of the 36th

annual international

symposium on Computer architecture, ISCA ’09, pages 174–183, New York,

NY, USA, 2009. ACM.

[54] Aamer Jaleel, Kevin B. Theobald, Simon C. Steely, Jr., and Joel Emer. High

Performance cache replacement using re-reference interval prediction (RRIP).

In Proceedings of the 37th annual international symposium on Computer

architecture, ISCA ’10, pages 60–71, New York, NY, USA, 2010. ACM.

[55] Jichuan Chang and Gurindar S. Sohi. Cooperative cache partitioning for chip

multiprocessors. In Proceedings of the 21st annual international conference on

Supercomputing, ICS ’07, pages 242–252, New York, NY, USA, 2007. ACM.

244

[56] Jichuan Chang and Gurindar S. Sohi. Cooperative caching for chip

multiprocessors. In Proceedings of the 33rd annual international symposium on

Computer Architecture, ISCA ’06, pages 264–276, Washington, DC, USA, 2006.

IEEE Computer Society.

[57] Enric Herrero, Jos´e Gonz´alez, and Ramon Canal. Distributed cooperative

caching. In Proceedings of the 17th international conference on Parallel architectures

and compilation techniques, PACT ’08, pages 134–143, New York, NY, USA, 2008.

ACM.

[58] Enric Herrero, Jos´e Gonz´alez, and Ramon Canal. Elastic cooperative caching:

an autonomous dynamically adaptive memory hierarchy for chip multiprocessors.

In Proceedings of the 37th

annual international symposium on Computer

architecture, ISCA ’10, pages 419–428, New York, NY, USA, 2010. ACM.

[59] Yuejian Xie and Gabriel H. Loh. Scalable shared-cache management by

containing thrashing workloads. In Proceedings of the 5th international conference

on High Performance Embedded Architectures and Compilers, HiPEAC’10, pages

262–276, Berlin, Heidelberg, 2010. Springer-Verlag.

[60] Daniel Sanchez and Christos Kozyrakis. Vantage: scalable and efficient

finegrain cache partitioning. In Proceedings of the 38th annual international

symposium on Computer architecture, ISCA ’11, pages 57–68, New York, NY,

USA, 2011. ACM.

[61] A. M. Molnos, S. D. Cotofana, M. J. M. Heijligers, and J. T. J. van Eijndhoven.

Static cache partitioning robustness analysis for embedded on-chip multi-processors.

In Proceedings of the 3rd conference on Computing frontiers, CF ’06, pages 353–

360, New York, NY, USA, 2006. ACM.

[62] A. M. Molnos, M. J. M. Heijligers, S. D. Cotofana, and J. T. J. van Eijndhoven.

Compositional, efficient caches for a chip multi-processor. In Proceedings of the

conference on Design, automation and test in Europe: Proceedings, DATE ’06,

pages 345–350, 3001 Leuven, Belgium, Belgium, 2006. European Design and

Automation Association.

[63] Anca M. Molnos, Sorin D. Cotofana, Marc J.M. Heijligers, and Jos T.J. van

Eijndhoven. Throughput optimization via cache partitioning for embedded

multiprocessors. In Embedded Computer Systems: Architectures, Modeling and

Simulation, 2006. IC-SAMOS 2006. International Conference on, pages 185 –191,

245

july 2006.

[64] Parthasarathy Ranganathan, Sarita Adve, and Norman P. Jouppi.

Reconfigurable

caches and their application to media processing. In Proceedings of the 27th

annual

international symposium on Computer architecture, ISCA ’00, pages 214–224, New

York, NY, USA, 2000. ACM.

[65] Jaekyu Lee and Hyesoon Kim. Tap: A tlp-aware cache management policy for

a cpu-gpu heterogeneous architecture. In High Performance Computer Architecture

(HPCA), 2012 IEEE 18th International Symposium on, pages 1 –12, feb. 2012.

[66] Keshavan Varadarajan, S. K. Nandy, Vishal Sharda, Amrutur Bharadwaj, Ravi

Iyer, Srihari Makineni, and Donald Newell. Molecular caches: A caching structure

for dynamic creation of application-specific heterogeneous cache regions.In

Proceedings of the 39th Annual IEEE/ACM International Symposium on

Microarchitecture, MICRO 39, pages 433–442, Washington, DC, USA, 2006. IEEE

Computer Society.

[67] Derek Chiou, Srinivas Devadas, Larry Rudolph, and Boon S. Ang. Dynamic

cache partitioning via columnization. In Proceedings of Design Automation

Conference, 2000.

[68] Lisa R. Hsu, Steven K. Reinhardt, Ravishankar Iyer, and Srihari

Makineni.Communist, utilitarian, and capitalist cache policies on cmps: caches as a

shared resource. In Proceedings of the 15th international conference on Parallel

architectures and compilation techniques, PACT ’06, pages 13–22, New York, NY,

USA, 2006. ACM.

[69] Harold S. Stone, John Turek, and Joel L. Wolf. Optimal partitioning of cache

memory. IEEE Trans. Comput., 41(9):1054–1068, September 1992.

[70] Dhruba Chandra, Fei Guo, Seongbeom Kim, and Yan Solihin. Predicting

interthread cache contention on a chip multi-processor architecture. In Proceedings

of the 11th International Symposium on High- Performance Computer Architecture,

HPCA ’05, pages 340–351,Washington, DC, USA, 2005. IEEE Computer Society.

[71] G. Edward Suh, Srinivas Devadas, and Larry Rudolph. A new memory

monitoring scheme for memory-aware scheduling and partitioning. In Proceedings

of

246

the 8th International Symposium on High-Performance Computer Architecture,

HPCA ’02, pages 117–,Washington, DC, USA, 2002. IEEE Computer Society

[72] G. E. Suh, L. Rudolph, and S. Devadas. Dynamic partitioning of shared cache

memory. J. Supercomput., 28(1):7–26, April 2004.

[73] Enric Herrero, Jos´e Gonz´alez, and Ramon Canal. Distributed

cooperative caching. In Proceedings of the 17th international conference on Parallel

architectures and compilation techniques, PACT ’08, pages 134–143, New York,

NY, USA, 2008. ACM.

[74] Enric Herrero, Jos´e Gonz´alez, and Ramon Canal. Elastic cooperative caching:

an autonomous dynamically adaptive memory hierarchy for chip multiprocessors.

In Proceedings of the 37th annual international symposium on Computer

architecture, ISCA ’10, pages 419–428, New York, NY, USA, 2010. ACM.

[75] Michael Powell, Se-Hyun Yang, Babak Falsafi, Kaushik Roy, and T. N.

Vijaykumar. Gated-vdd: a circuit technique to reduce leakage in deep-submicron

cache memories. In Proceedings of the 2000 international symposium on Low power

electronics and design, ISLPED ’00, pages 90–95, New York, NY, USA,

2000.ACM.

[76] Yan Meng, Timothy Sherwood, and Ryan Kastner. On the limits of

leakage power reduction in caches. In Proceedings of the 11th

International

Symposium on High-Performance Computer Architecture, HPCA ’05, pages 154–

165, Washington, DC, USA, 2005. IEEE Computer Society.

[77] Kamil Kedzierski, Francisco J. Cazorla, Roberto Gioiosa, Alper

Buyuktosunoglu, and Mateo Valero. Power and performance aware reconfigurable

cache for cmps. In Proceedings of the Second International Forum on Next-

Generation Multicore/Manycore Technologies, IFMT ’10, pages 1:1–1:12, New

York, NY, USA, 2010. ACM.

[78] Kriszti´an Flautner, Nam Sung Kim, Steve Martin, David Blaauw, and

Trevor Mudge. Drowsy caches: simple techniques for reducing leakage power. In

Proceedings of the 29th

annual international symposium on Computer architecture,

ISCA ’02, pages 148–157, Washington, DC, USA, 2002. IEEE Computer Society.

[79] Jaume Abella and Antonio Gonz´alez. Power efficient data cache designs. In

Proceedings of the 21st International Conference on Computer Design, ICCD ’03,

pages 8–, Washington, DC, USA, 2003. IEEE Computer Society.

247

[80] Rakesh Reddy and Peter Petrov. Cache partitioning for energy- efficient and

interference-free embedded multitasking. ACM Trans. Embed. Comput.

Syst.9(3):16:1–16:35, March 2010.

[81] David H. Albonesi. Selective cache ways: on-demand cache resource allocation.

In Proceedings of the 32nd

annual ACM/ IEEE international symposium on

Microarchitecture, MICRO 32, pages 248–259, Washington, DC, USA,

1999.IEEE Computer Society.

[82] Blas A. Cuesta, Alberto Ros, Mar´ıa E. G´omez, Antonio Robles, and Jos´e

F.Duato. Increasing the effectiveness of directory caches by deactivating

coherence for private memory blocks. In Proceedings of the 38th annual

international symposium on Computer architecture, ISCA ’11, pages 93–104, New

York, NY, USA, 2011. ACM.

[83] Zeshan Chishti, Michael D. Powell, and T. N. Vijaykumar. Distance

associativity for high-performance energy-efficient non-uniform cache architectures.

In Proceedings of the 36th annual IEEE/ACM International Symposium on

Microarchitecture, MICRO 36, pages 55–, Washington, DC, USA, 2003. IEEE

Computer Society.

[84] Nikos Hardavellas, Michael Ferdman, Babak Falsafi, and Anastasia

Ailamaki. Reactive NUCA: near-optimal block placement and replication in

distributed caches. In Proceedings of the 36th annual international symposium on

Computer architecture, ISCA ’09, pages 184–195, New York, NY, USA, 2009.

ACM.

[85] Changkyu Kim, Doug Burger, and Stephen W. Keckler. An adaptive, non

uniform cache structure for wire-delay dominated on-chip caches. In Proceedings

of the 10th international conference on Architectural support for programming

languages and operating systems, ASPLOS-X, pages 211–222, New York, NY,

USA, 2002. ACM.

[86]Stealing in TBB. In Proc. of Parallel and Distributed Processing, 2008.

[87]Kurd, N., Douglas, J., Mosalikanti, P., Kumar, R., “Next generation Intel®

microarchitecture (Nehalem) clocking architecture”, VLSI Circuits, 2008 IEEE

Symposium on 18-20 June 2008 Page(s):62 – 63.

248

[88]R. Kumar et al, “Single-ISA Heterogeneous Multi-core Architectures with

Multithreaded Workload Performance”, Proceedings of the 31st Annual International

Symposium on Computer Architecture, June 2004

[89]D. Burger and J.R. Goodman, “Billion-Transistor Architectures,” Computer,

Sept. 1997, pp. 46-49.

[90]B. Brey, “The Intel Microprocessors”, 6th Edition,Prentice Hall, 2003

[91]Kai Hwang, Advanced Computer Architecture: Parallelism, Scalability,

programmability

[92]Stallings W., "Computer Organization and Architecture", fifth edition, Prentice-

Hall, 2000. McGraw-Hill Book Company, 1993.

[93]International Technology Roadmap for Semiconductors,

Semiconductor Industry Assoc., San Jose, Calif., 2000; http://www.semichips.org.

[94]Bin-feng Qian, Li-min Yan, “The research of the inclusive cache used in multi-

core processor”, Electronic Packaging Technology & High Density Packaging, 2008.

[95]Y. Yorozu, M. Hirano, K. Oka, and Y. Tagawa, “Electron spectroscopy studies

on magneto-optical media and plastic substrate interface,” IEEE Transl. J. Magn.

Japan, vol. 2, pp. 740–741, August 1987 [Digests 9th Annual Conf. Magnetics

Japan, p. 301, 1982]. Tilera,“Tile64 product Brief”, Tilera, 2008,

http://www.tilera.com/pdf/ProductBrief_Tile64_Web_v3.pdf

[96]S. Mukherjee and M. Hill, “Using Prediction to Accelerate Coherence

Protocols”, Proceedings of the 25th Annual International Symposium on Computer

Architecture (ISCA)

[97] A.P. Chandrakasan, S. Sheng, and R.W. Brodersen, “Low-power CMOS Digital

Design,” IEEE Journal of Solid-State Circuits, vol.27, no.4, pp.473–484, Apr 1992.

[98] G.E. Moore, “Cramming More Components onto Integrated Circuits,”

Electronics, vol.38, no.8, pp.114–117, April 1965.

[99]R. Gonzalez and M. Horowitz, “Energy Dissipation in General Purpose

Microprocessors,” IEEE Journal of Solid-State Circuits, vol.31, no.9, pp.1277–1284,

Sept. 1996.

[100] P. Bai, C. Auth, S. Balakrishnan, M. Bost, R. Brain, V. Chikarmane, R.

Heussner,M. Hussein, J. Hwang, D. Ingerly, R. James, J. Jeong, C. Kenyon, E. Lee,

S.H. Lee, N. Lindert, M. Liu, Z. Ma, T. Marieb, A. Murthy, R. Nagisetty,

S. Natarajan, J. Neirynck, A. Ott, C. Parker, J. Sebastian, R. Shaheed, S. Sivakumar,

249

J. Steigerwald, S. Tyagi, C. Weber, B. Woolery, A. Yeoh, K. Zhang, and

M. Bohr, “A 65nm Logic Technology Featuring 35nm Gate Lengths, Enhanced

Channel Strain, 8 Cu Interconnect Layers, Low-k ILD and 0.57 /spl mu/m/sup

2/ SRAM Cell,” 2004 IEEE International Electron Device Meeting Technical

Digest, pp.657–660, Dec. 2004.

[101] Intel Corporation, “Intel Pentium M Processor on 90nm Process with 2MB L2

Cache Datasheet,” 2006.

[102] T.D. Burd and R.W. Brodersen, “Energy Efficient CMOS Microprocessor

Design,”HICSS ’95: Proceedings of the 28th Hawaii International Conference on

System Sciences (HICSS’95), Hawaii, USA, p.288, IEEE Computer Society, 1995.

[103] T.D. Burd and R.W. Brodersen, “Design Issues for Dynamic Voltage Scaling,”

ISLPED ’00: Proceedings of the 2000 international symposium on Low power

electronics and design, Rapallo, Italy, pp.9–14, ACM, 2000.

[104] G. Semeraro, G. Magklis, R. Balasubramonian, D.H. Albonesi, S. Dwarkadas,

and M.L. Scott, “Energy-Efficient Processor Design Using Multiple Clock Domains

with Dynamic Voltage and Frequency Scaling,” HPCA’02: Proceedings of the 8th

International Symposium on High-Performance Computer Architecture, Boston,

Massachusettes, USA, pp.29–40, IEEE Computer Society, Feb. 2002.

[105] K. Choi, R. Soma, and M. Pedram, “Off-Chip Latency-Driven Dynamic

Voltage and Frequency Scaling for an MPEG Decoding,” DAC ’04: Proceedings of

the 41st annual conference on Design automation, San Diego, California, USA,

pp.544–549, ACM, 2004.

[106] G. Magklis, M.L. Scott, G. Semeraro, D.H. Albonesi, and S. Dropsho,

“Profile-Based Dynamic Voltage and Frequency Scaling for a Multiple Clock

Domain Microprocessor,” ISCA ’03: Proceedings of the 30th annual international

symposium on Computer architecture, San Diego, California, USA, pp.14–27, ACM,

2003.

[107] K. Choi, K. Kim, and M. Pedram, “Energy-aware MPEG-4 FGS streaming,”

Proceedings of the 40th Design Automation Conference (DAC 2003), Anaheim,

CA, USA, pp.912–915, ACM, June 2003.

[108] H. Li, C.Y. Cher, K. Roy, and T.N. Vijaykumar, “Combined Circuit and

Architectural Level Variable Supply-Voltage Scaling for Low Power,” IEEE

Transactions on VLSI Systems, vol.13, no.5, pp.564–576, May 2005.

250

[109] C. Isci, G. Contreras, and M. Martonosi, “Live, Runtime Phase Monitoring

andPrediction on Real Systems with Application to Dy namic Power

Management,” Micro, pp.359–370, 2006.

[110] G. Qu S.N. Pamnani., D.N. Agarwal. and D.Yeung. Low Power System

Design with Performance Enhancement Techniques. Circuits, Systems, and

Computers,16(5):745–767, 2007.

[111] Duller, A., Panesar, G., and Towner, D., \Parallel processing-the picochip

way," Communicating Processing Architectures, pp. 125{138, 2003.

[112] Tilera Corporation, \TILE64 Processor Family."

http://www.tilera.com/products/processors.php Date accessed: March

[113] Austin Blanca L. Bowman. Keith A Bhavnagarwala.,Azeez J. and James D

Meindl. A minimum total power methodology for projecting limits on CMOS GSI.

IEEE Trans. Very Large Scale Integr. Syst., 8(3):235–251, 2000.

[114] Koufopavlou O. Bisdounis L., Gouvetas D. A comparative study of CMOS

circuit design styles for lowpower high-speed VLSI circuits. International Journal of

Electronics, 84(6):599–613, 1998.

[115] David Chinnery and Kurt Keutzer. Closing the Gap Between ASIC & Custom:

Tools and Techniques for High-Performance ASIC Design., 2002.

[116] Montanaro A 160-MHz, 32-b, 0.5-W CMOS RISC microprocessor. Digital

Tech. J., 9(1):49–62,1997.

[117] Anantha P. Chandrakasan and Robert W.Brodersen. Minimizing Power

Consumption in Digital CMOS Circuits.Proceedings of the IEEE, 83(4):498–523,

1995.

[118] M.S. Hrishikesh, Jouppi Norman P., Doug Burger Keith I. Farkas, and Stephen

W.Keckler. The Optimal Logic Depth Per Pipeline Stage is 6 to 8 FO4 Inverter

Delays. Proceedings of the Annual International Symposium on Computer

Architecture, 2002.

[119] Intel-Tera Scale Computing Research

[120] Flynn, M.J.; Hung, P.; , ”Microprocessor design issues:thoughts on the road

ahead,” Micro, IEEE , vol.25, no.3, pp.

[121] Tadahiro Kuroda. Low-Power, High-Speed CMOS VLSI Design. Computer

Design, International Conference on, 0:310, 2002.

251

[122] Dongwoo Lee. and David Blaauw. Static leakage reduction through

simultaneous threshold voltage and state assignment. In DAC ’03: Proceedings of

the 40th

annual Design Automation Conference, pages 191– 194, New York, NY,

USA, 2003. ACM.

[123] Richardson N. The iCORETM 520MHz Synthesizable CPU Core: Chapter 16

of Closing the Gap Between ASIC and Custom., 2002.

[124] Yasuyuki Matsuya. Hideki Fukuda. Takao Kaneko Shin’ichiro Mutoh.,

Satoshi Sigematsu. and Junzo Yamada. 1-V Power Supply High-Speed Digital

Circuit Technology with Multithreshold-Voltage CMOS. IEEE Journal of Solid

State Circuits, 31(11):1795– 1802, 1996.

[125] Pollawat Thanarungroj and Chen Liu ,Department of Electrical and Computer

Engineering Florida International University , Power consumption analysis

[125] S. R. Kunkel and J. E. Smith. “Optimal pipelining in supercomputers”, Proc.

of the 13th Annual InternationalSymposium on Computer Architectures, pp. 404 -

411, 1986.

[126] P. Dubey and M. Flynn. “Optimal pipelining”, J. of Parallel and Distributed

Computing 8, 10 - 19, 1990.

[127] V. Agarwal, M. S. Hrishikesh, S. W. Keckler and D. Burger. “Clock Rate

versus IPC: The End of the Road for Conventional Microarchitectures”, Proc. of the

27th Annual International Symposium on Computer Architectures, pp. 248 - 259,

2000.

[128] P. G. Emma and E. S. Davidson. “Characterization of Branch and Data

Dependencies in Programs for Evaluating Pipeline Performance”, IEEE Transactions

on Computers C-36, 859 -875, 1987.

[129] M. Horowitz, T. Indermaur, and R. Gonzalez, “Low-power digital design,” in

Symp. Low Power Electr., Oct. 1994, pp. 8–11.

[130] Z. Chen, J. Shott, J. Burr, and J. D. Plummer, “CMOS technology scaling for

low voltage low power applications,” in Symp. Low Power

Electr., Oct. 1994, pp. 56–57.

[131] Hammond, L., Nayfeh, B. A., and Olukotun, K., \A Single-Chip Multi-

processor," vol. 30, no. 9, pp. 9{85, 1997.

[132] Hill, M. and Marty, M., \Amdahl's Law in the Multicore Era," Computer, vol.

41, no. 7, pp. 33{38, 2008.

252

[133] Pollack, F. J., \New Microarchitecture Challenges in the Coming Genera-tions

of CMOS Process Technologies (Keynote address)," 1999.[134] Hofstee, H. P.,

\Power E_cient Processor Architecture and The Cell Processor," in Proceedings of

the International Symposium on High Performance Computer Architecture, 2005.

[135] Andrew Liang and Ping Chang. VLSI Datapath Choices: Cell-Based Versus

Full-Custom, 1998.

[136] S. Manne, A. Klauser, and D. Grunwald, “Pipeline Gating: Speculation

Control for Energy Reduction,” International Symposium on Computer Architecture,

Barcelona, Spain, June 1998.

[137] Computer architecture and Parallel processing , By:Kai Hwang

[138] The architectures of pipelined Computers , By:kogge

[139]M. Annavaram, E. Grochowski, J. Shen. “Mitigating Amdahl's Law through

EPI Throttling.” In Proceedings of the 32nd International Symposium on Computer

Architecture, pages 298-309, June 2010

[140] “Reduced LSI Power Consumption to 1/3 of Previous Level Using SOI

Technology”, Fumio Ichikawa, Nikkei Electronics, March 8, 1999 (No. 738).

[141] T. Ichimori, N. Hirashita, and J. Kanamori, “Advanced Co Salicide

Technology For Sub- -SOI Devices”, IC-SSDM, (JSAP, Sendai, 2000).

[142] “Electrostatic Damage Phenomenon of Semiconductor Devices”, Yasuhiro

Fukuda, Reliability Engineering Association of Japan Newsletter, Jan., 2000

(Vol.22/No. 1).

[143] Silicon Spinitronics , Ron Jansen (2014 IEEE Magnetics Society

Distinguished Lecturer)

[144] I. Appelbaum and D. J. Monsma, Appl. Phys. Lett., 90, 262501 (2007).

[145] I.Appelbaum, B, Huang and D. J. Monsma, Nature (London), 447, 295 (2007).

[146]R. P. Cowburn and M. E. Welland, Science, 287, 1466 (2000).

[147] A. Trivedi, S. Bandyopadhyay and M. Cahay, IET Circuits, Devices and Syst.,

1, 395 (2007).

[148] S. Bandyopadhyay and M. Cahay, Appl. Phys. Lett., 85, 1433 (2004).

[149] S. Subramaniam, S. Bandyopadhyay and W. Porod, J. Appl. Phys., 68, 4861 (1

[150] Suman Datta, private communication (2006).

253

1) Many Core Architecture a Road Ahead to future processors , Dilip H. Ahir

and Dr.Nikesh A. Shah at International conference , ISBN 978-81-906377-

9-4 in Year 2011

2) Parallelism- Misconception and its elimination for Cloud Computing , Dilip

H. Ahir and Dr.Nikesh A. Shah National Journal of system and Information

Technology , ISSN :0974-3308 in Year 2012

3) Power consumption reduction using microarchitecture techniques for modern

multicore microprocessors , Dilip H. Ahir and Dr.Nikesh A. Shah, STM

Journals , Current trends in information technology ,Vol-3,No.3 , ISSN :

2348-7895,eISSN : 2249-4707 ,Year 2013

4) Comparative study of future processor design models for energy efficiency ,

Dilip H. Ahir and Dr.Nikesh A. Shah , STM Journals ,Current trends in

signal processings , Vol-4, No.1, ISSN : 2321-4252 , eISSN : 2277-7176,

year 2014

5) Performance Enhancement by Implementation of Advance architecture

Techniques , Dilip H. Ahir , National Level seminar Tele-Tech-05Organized

by IETE , Year 2005

6) Microcontroller based Automatic tracking System , National Level seminar

SPIN-2003 Organised by CTE- Gandhinagar on 10th

January 2003.

List of Publications in Journal

254

Workshop attended on Computer Architecture

1) AICTE recognized Workshop attended on subject Computer Architecture ,

Dt:21-12-2009 to Dt:25-12-2009 , One week , Saradar Vallabhbhai National

Institute of Technology – SURAT .

List of Workshops delivered

1) One 3- Hour Session delivered on Microprocessor Basics & Comparative

study of Advance Microprocessors, UGC:ASC short term course-16 , Date

:25-03-2014 , Saurashtra University – Rajkot

2) Total 9 UGC:ASC sessions delivered on various area like ICT in Education

, Making classrooms effective using technology and Contributive

personality development at Short term Course, Refresher course &

Orientation programme held at Saurashtra University- Rajkot

Workshops attended & delivered

255

1) Recipient of Prof.Dolararai Mankad Award for Excellence in research for

published paper in the year 2011-12 by Internal Quality Assurance

Cell(IQAC)- Saurashtra University-Rajkot

2) Recipient of Pedagogical Innovation Award from GTU Innovation

Council in the year 2013 for outstanding contribution in the field of

innovative academic practices as a faculty.

3) Recipient of Pedagogical Innovation Award from GTU Innovation

Council in the year 2014 for outstanding contributions in academics.

List of Awards

List of Awards