chapter 6 photolithography - ntut fabrication_ga/ic... · photolithography is : ... polymer...

Post on 15-Feb-2018

240 Views

Category:

Documents

1 Downloads

Preview:

Click to see full reader

TRANSCRIPT

2005/10/18 1

Chapter 6

Photolithography

2005/10/18 2

Objectives•List the four components of the photoresist•Describe the difference between +PR and PR•Describe a photolithography process sequence•List four alignment and exposure systems•Describe the wafer movement in a track-stepper

integrated system.•Explain relationships of resolution and depth of

focus to wavelength and numerical aperture.

2005/10/18 3

IntroductionPhotolithography is :•Temporarily coat photoresist on wafer and

Transfers designed pattern to photoresist•Most important process in IC fabrication•To consume 40 to 50% total wafer process

time•Determines the minimum feature size, e.g.

0.18um technology in 2000, 70nm technology in2004

2005/10/18 4

Applications of Photolithography

•Main application: IC patterning process•Other applications: Printed electronic board,

nameplate, printer plate, and et al.

2005/10/18 5

IC Fabrication Flow

e-Beam or Photo

EDA PR Chip

Photolithography

Ion ImplantMask orReticle Etch

EDA: Electronic Design Automation

PR: Photoresist

2005/10/18 6

Photolithography Requirements

•High Resolution•High PR Sensitivity•Precision Alignment, say within 10% of

minimum feature size

•Precise Process Parameters Control•Low Defect Density

2005/10/18 7

Photoresist

•Photo sensitive material, sensitive to ultraviolet(UV) but to visible light

•It’s why we use yellow light to illuminate and call“yellow room”

•Transfer design image on it through exposure anddevelopment

•Very similar to the photo sensitive coating on thefilm for camera

•Positive and negative types

2005/10/18 8

PhotoresistNegative Photoresist

•Becomes insolubleafter exposure

•When developed,the unexposed partsdissolved.

•Cheaper with poorresolution

Positive Photoresist

•Becomes solubleafter exposure,(photosolubilization)

•When developed, theexposed partsdissolved

•Expensive with betterresolution

2005/10/18 9

Mask/reticle

Exposure

AfterDevelopment

NegativePhotoresist

UV light

PositivePhotoresist

Substrate

Substrate

Substrate

Photoresist

Negative and Positive Photoresists

SubstratePhotoresist

2005/10/18 10

Photoresist Composition

•Polymer•Solvents•Sensitizers•Additives

2005/10/18 11

Polymer

•Solid organic material•Transfers designed pattern to wafer surface•Changes solubility due to photochemical

reaction when exposed to UV light.•Positive PR: from insoluble to soluble•Negative PR: from soluble to insoluble

2005/10/18 12

Solvent•Dissolves polymers into liquid•Allow application of thin PR layers by spinning•75% of PR before spin coating•Acetate-type solvent for positive PR; xylene

(C8H10) for negative PR

2005/10/18 13

Sensitizers•Controls and/or modifies photochemical

reaction of resist during exposure.•Determines exposure time and intensity

Additives•Various added chemical to achieve desired

process results, such as dyes to reducereflection.

2005/10/18 14

Negative Resist

•Most negative PR are polyisoprene type•Exposed PR becomes cross-linked polymer•Cross-linked polymer has higher chemical

etch resistance.•Unexposed part will be dissolved in

development solution.

2005/10/18 15

Negative Photoresist

Mask

Expose

Development

NegativePhotoresist

2005/10/18 16

Negative Photoresist

Disadvantages•Polymer absorbs the development solvent•Poor resolution due to PR swelling•Environmental and safety issues due to the

main solvents xylene.

2005/10/18 17

Comparison of Photoresists

PR

Film+ PR

Film

Substrate Substrate

2005/10/18 18

Positive Photoresist

•Exposed part dissolve in developer solution•Image the same that on the mask•Higher resolution•Commonly used in advanced IC fabs

2005/10/18 19

Question

•Positive photoresist can achieve much higherresolution than negative photoresist, whydidn’t people use it before the 1980s?

•Positive photoresist is much more expensivetherefore negative photoresist was used untilit had to be replaced when the minimumfeature size was shrunk to smaller than 3 m.

2005/10/18 20

Chemically Amplified Photoresists

•To pattern a small feature, a shorter wavelengthlight source is required

•For deep ultraviolet (DUV), 248 nm or 193 nm•Light source: excimer lasers•Light intensity is lower than I-line (365 nm) or G-

line (436 nm) from high-pressure mercury lamp•Need different kind of photoresist

2005/10/18 21

Chemically Amplified Photoresists

•Catalysis effect is used to increase the effectivesensitivity of the photoresist

•A photo-acid is created in PR when it exposes toDUV light

•During PEB, head-induced acid diffusion causesamplification in a catalytic reaction

•Acid removes protection groups•Exposed part will be removed by developer

2005/10/18 22

Requirement of Photoresist•High resolution

–Thinner PR film has higher the resolution–Thinner PR film, the lower the etching and ion

implantation resistance

•High etch resistance•Good adhesion•Wider process latitude

–Higher tolerance to process conditions like spinrate, baking temperature and exposure flux

2005/10/18 23

Photoresist Physical Properties

•Photoresist must be able to withstandprocess conditions•Coating, spinning, baking, developing.•Etch resistance•Ion implantation blocking

2005/10/18 24

Photoresist Performance Factors :•Resolution•Adhesion•Expose rate, Sensitivity and Exposure Source•Process latitude•Pinholes•Particle and Contamination Levels•Step Coverage•Thermal Flow

2005/10/18 25

Resolution Capability

•The smallest opening or space that canproduced in a photoresist layer.

•Related to particular processes including exposesource and developing process.

•Thinner layer has better resolution.•Etch and implantation barrier and pinhole-free

require thicker layer•Positive resist has better resolution due to the

smaller size of polymer.

2005/10/18 26

Photoresist CharacteristicsSummary

Parameter Negative Positive

Polymer Polyisoprene Novolac Resin

Photo-reaction Polymerization Photo-solubilization

SensitizerProvide free radicalsfor polymer cross-link

Changes filmto base soluble

Additives Dyes Dyes

2005/10/18 27

Photolithography Process

2005/10/18 28

Basic Steps of Photolithography

1. Photoresist coating2. Alignment and exposure3. Development

2005/10/18 29

Basic Steps, Old Technology

•Wafer clean•Dehydration bake•Spin coating primer and PR•Soft bake•Alignment and exposure•Development•Pattern inspection•Hard bake

PR coating

Development

2005/10/18 30

Basic Steps, Advanced Technology

•Wafer clean•Pre-bake and primer coating•Photoresist spin coating•Soft bake•Alignment and exposure•Post exposure bake•Development•Hard bake•Pattern inspection

PR coating

Development

Track-stepperintegratedsystem

2005/10/18 31

Wafer Clean

P-Well

USGSTIPolysilicon

Gate Oxide

2005/10/18 32

Pre-bake and Primer Vapor

P-Well

USGSTIPolysilicon

Primer

2005/10/18 33

Photoresist Coating

P-Well

USGSTIPolysilicon

Photoresist

Primer

2005/10/18 34

Soft Bake

P-Well

USGSTIPolysilicon

Photoresist

2005/10/18 35

Alignment and Exposure

P-Well

USGSTIPolysilicon

Photoresist

Gate Mask

2005/10/18 36

Alignment and ExposureGate Mask

P-Well

USGSTIPolysilicon

Photoresist

2005/10/18 37

Post Exposure Bake

P-Well

USGSTIPolysilicon

Photoresist

2005/10/18 38

Development

P-Well

USGSTIPolysilicon

PR

2005/10/18 39

Hard Bake

P-Well

USGSTIPolysilicon

PR

2005/10/18 40

Pattern Inspection

P-Well

USGSTIPolysilicon

PR

2005/10/18 41

Wafer Clean

•Remove contaminants•Remove particulate•Reduce pinholes and other defects•Improve photoresist adhesion•Basic steps

–Chemical clean–Rinse–Dry

2005/10/18 42

•Older ways–High-pressure nitrogen blow-off–Rotating brush scrubber–High-pressure water stream

Photolithography Process, Clean

2005/10/18 43

DryDryChemical Clean Rinse

Wafer Clean Process

2005/10/18 44

•Dehydration bake•Remove moisture from wafer surface•Promote adhesion between PR and surface•Usually around 100 °C•Integration with primer coating

Photolithography Process, Prebake

2005/10/18 45

•Promotes adhesion of PR to wafer surface•Wildly used: Hexamethyldisilazane (HMDS)•HMDS vapor coating prior to PR spin coating•Usually performed in-situ with pre-bake•Chill plate to cool down wafer before PR coating

Photolithography Process, Primer

2005/10/18 46

Primer Vapor CoatingDehydration Bake

Wafer

Prep Chamber Primer Layer

Pre-bake and Primer Vapor Coating

Wafer

Hot Plate Hot Plate

HMDSVapor

2005/10/18 47

Wafer Cooling

•Wafer need to cool down•Water-cooled chill plate•Temperature can affect PR viscosity

–Affect PR spin coating thickness

2005/10/18 48

Spin Coating

•Wafer sit on a vacuum chuck•Rotate at high speed•Liquid photoresist applied at center of

wafer•Photoresist spread by centrifugal force•Evenly coat on wafer surface

2005/10/18 49

Photoresist Spin Coater

Vacuum

PR

EBR

Wafer

Chuck

WaterSleeve

Drain Exhaust

2005/10/18 50

Viscosity

•Fluids stick on the solid surface

•Affect PR thickness in spin coating

•Related to PR type and temperature

•Need high spin rate for uniform coating

2005/10/18 51

Relationship of Photoresist Thicknessto Spin Rate and Viscosity

Thi

ckne

ss(m

m)

Spin Rate (rpm)

0 7k2k 3k 4k 5k 6k

0.5

1.0

1.5

2.0

2.5

3.0

3.5100 cst

50 cst

27 cst20 cst

10 cst

5 cst

2005/10/18 52

Dynamic Spin Rate

Time

Spin

r at e

2005/10/18 53

PR Spin Coater

Photoresist spread on spinning wafer surface

Wafer held on a vacuum chuck

Slow spin ~ 500 rpm

Ramp up to ~ 3000 - 7000 rpm

2005/10/18 54

Photoresist Applying

Spindle

PR dispensernozzle

Chuck

Wafer

To vacuumpump

2005/10/18 55

Photoresist Suck Back

Spindle

To vacuumpump

PR dispensernozzle

Chuck

PR suck backWafer

2005/10/18 56

Photoresist Spin Coating

Spindle

To vacuumpump

PR dispensernozzle

Chuck

PR suck backWafer

2005/10/18 57

Photoresist Spin Coating

Spindle

To vacuumpump

PR dispensernozzle

Chuck

PR suck backWafer

2005/10/18 58

Edge Bead Removal (EBR)

•PR spread to the edges and backside•PR could flakes off during mechanical

handling and causes particles•Front and back chemical EBR

2005/10/18 59

Edge Bead Removal

Spindle

To vacuumpump

Chuck

WaferSolvent

2005/10/18 60

Optical Edge Bead Removal

•After alignment and exposure•Front-side wafer edge expose (WEE)•Exposed photoresist at edge dissolves

during development

2005/10/18 61

Optical Edge Bead Removal

Spindle

Chuck

Wafer

Photoresist

2005/10/18 62

Spindle

To vacuumpump

Chuck

Wafer

Patterned photoresist

Developer Spin Off

Edge PR removed

2005/10/18 63

Soft Bake•Evaporating most of solvent (> 80%) in PR•Solvents help to make a thin PR but absorb radiation

and affect adhesion•Soft baking time and temperature are determined by PR

types and specific process•90~110°C for 30 min. in oven; 10~15 min. for hotplate•Over bake: polymerized, less photo-sensitivity•Under bake: affect adhesion and exposure

2005/10/18 64

Baking Tools

Heater

Vacuum

Wafer

Heater

Heated N2

Wafers

MW Source

VacuumWafer

Photoresist

Chuck

Hot plate Convection oven Microwave oven

2005/10/18 65

Hot Plates

•Widely used in theindustry

•Back side heating, nosurface “crust”

•In-line track systemHeater

Wafer

2005/10/18 66

Wafer Cooling•Need to cool down to ambient temperature

after baking•Water-cooled chill plate•Silicon thermal expansion rate: 2.5106/C•For 8 inch (200 mm) wafer, 1C thermal

change causes 0.5 m difference indiameter

2005/10/18 67

Alignment and Exposure

•Most critical process for IC fabrication•Most expensive tool (stepper) in an IC fab.•Most challenging technology•Determines the minimum feature size•Currently 0.18 m and pushing to 0.13 m

2005/10/18 68

Alignment and Exposure Tools

Contact printerProximity printerProjection printerStepper

2005/10/18 69

Contact Printer•Simple equipment. Widely used before mid-

70s•Resolution: capable for sub-micron•Use of UV light source•Image ratio 1:1•Direct mask-wafer contact, limited mask

lifetime•Particle contamination issue

2005/10/18 70

Contact Printer

Light Source

Lenses

Mask

PhotoresistWafer

2005/10/18 71

Proximity Printer

•10 ~ 20 m distance from wafersurface. No direct contact

•Use of UV light•Image ratio 1:1•Less particles and longer mask

lifetime•Resolution: > 2 m

2005/10/18 72

Proximity PrinterLight Source

Lenses

Mask

PhotoresistWafer

~10 m

2005/10/18 73

Projection Printer•Works like an overhead projector•Mask to wafer ratio, 1:1•Resolution to reach at 1 m•The scanning projection exposure system

- the mask and wafer stage move synchronously, allowing UVlight source scanning across the mask to refocus and exposePR across the wafer

2005/10/18 74

Light Source

Lenses

Mask

PhotoresistWafer

Projection System

2005/10/18 75

Light Source

Lens

Mask

Photoresist

Wafer

Scanning Projection System

Synchronizedmask and wafermovement

Slit

Lens

2005/10/18 76

Stepper•Most popular used photolithography tool in the

advanced IC fabs•Reduction of wafer image gives high resolution•Use of deep UV light•Reticle-to-wafer ratio ~ 10:1•A reticle with 1.25 m min. feature size say can achieve

0.125 m min. feature size on wafer•Very expensive ! (extremely complicated and precise)

2005/10/18 77

Q & A

Q : Why does the 5:1 shrink ratio is morepopular than the 10:1 shrink ratio?

A : 10:1 image shrink has better resolutionthan 5:1 image shrink. However, it onlyexposes a quarter of the area, which meanstotal exposure time will be quadrupled. Atrade-off between resolution andthroughput.

2005/10/18 78

Step-&-Repeat Alignment/Exposure

Wafer Stage

ProjectionLens

LightSource

Reticle

Wafer

ProjectionLens

2005/10/18 79

Step&Repeat Alignment System

Wafer Stage

InterferometerMirror Set

Alignment Laser

Projection Lens

Wafer

InterferometerLaser

X

Y

Reticle Stage

Reference MarkLight Source

Reticle

2005/10/18 80

Exposure Light Source

Should have :–Short wavelength–High intensity–Stability

Includes :High-pressure mercury lampExcimer laser

2005/10/18 81

Spectrum of the Mercury Lamp

G-line(436)

H-line(405)

I-line(365)

300 400 500 600Wavelength (nm)

Inte

nsity

(a.u

)

Deep UV(<260)

2005/10/18 82

Photolithography Light SourcesName Wavelength (nm) Application feature

size (m)

G-line 436 0.50

Mercury Lamp H-line 405

I-line 365 0.35 to 0.25

XeF 351

XeCl 308

Excimer Laser KrF (DUV) 248 0.25 to 0.15

ArF 193 0.18 to 0.13

Fluorine Laser F2 157 0.13 to 0.1

2005/10/18 83

Exposure Control•Exposure light flux is controlled by production

of light intensity and exposure time•Very similar to the exposure of a camera•Intensity controlled by electrical power•Adjustable light intensity•Routine light intensity calibration is required.

Intensity, I, measured in mW/cm2

2005/10/18 84

Standing Wave Effect

•Interference of the incident and reflection lights•Due to constructive and destructive interferenceat different depth•Periodically overexposure and underexposure•Affects photolithography resolution.

2005/10/18 85

Standing Wave IntensityL

ight

Inte

nsity

Surfacethe of PR

Surface ofthe substrate/nPR

ConstructiveInterference,Overexpose

AverageIntensity

DestructiveInterference,Underexpose

2005/10/18 86

Standing Wave Effect on Photoresist

Photoresist

/nPR

Substrate

OverexposureUnderexposure

2005/10/18 87

Post Exposure Bake (PEB)

•Photoresist’s glass transition temperature, Tg

•Baking temperature is higher than Tg

•Induce thermal movement of photoresistmolecules

•Rearrangement of the overexposed andunderexposed PR molecules

•Average out standing wave effect,•Smooth PR sidewall and improve resolution

2005/10/18 88

PEB (cont.)

•For DUV chemical amplified photoresist, PEBprovides the heat needed for acid diffusionand amplification.

•After the PEB process, the images of theexposed areas appear on the photoresist, dueto the significant chemical change after theacid amplification

2005/10/18 89

Post Exposure Bake Steps

•PEB normally uses hot plate at 110 to 130 Cfor about 1 minute.

•For the same kind of PR, PEB usually requiresa higher temperature than soft bake.

•Insufficient PEB will not completely eliminatethe standing wave pattern,

•Overbaking will cause polymerization andaffects photoresist development

2005/10/18 90

Development

•Developer solvent dissolves the softenedpart of photoresist

•Transfer the pattern from mask or reticle tophotoresist

•Three basic steps:–Development–Rinse–Dry

2005/10/18 91

Development: Immersion

Spin DrySpin DryDevelop Rinse

2005/10/18 92

Development –to make etch orimplantation perfect

PR

PR PR

PR

Substrate Substrate

Substrate Substrate

Film Film

FilmFilm

Mask

Exposure

DevelopmentEtching

PR Coating

2005/10/18 93

Development Profiles

PR PR

Substrate Substrate

PR

Substrate

PR

Substrate

Normal Development

Under Development Over Development

Incomplete Development

2005/10/18 94

Developer Solutions

Positive PR Negative PR

Developer TMAH Xylene

Rinse DI Water n-Butylacetate

2005/10/18 95

Hard Bake

•Evaporating all solvents in PR•Improving etch and implantation resistance•Improve PR adhesion with surface•Polymerize and stabilize photoresist•PR flow to fill pinhole

2005/10/18 96

PR Pinhole Fill by Thermal Flow

PR

Substrate Substrate

PR

Pinhole

2005/10/18 97

Hard Bake (cont.)

•Hot plate is commonly used•Can be performed in a oven after inspection•Hard bake temperature: 100 to 130 C•Baking time is about 1 to 2 minutes•Hard bake temperature normally is higher than

the soft bake temperature for the same kind ofphotoresist

2005/10/18 98

Improper Hard Bake

•Under-bake–Photoresist is not filly polymerized–High photoresist etch rate–Poor adhesion

•Over-baking–PR flow and bad resolution

2005/10/18 99

Photoresist Flow

PR

Substrate Substrate

Normal Baking Over Baking

•Over-baking can causes too much PR flow,which affects photolithography resolution.

PR

2005/10/18 100

Pattern Inspection

•Inspection, stripped PR and rework–Photoresist pattern is temporary–Etch or ion implantation pattern is permanent.

•Photolithography process can rework•Can’t rework after etch or implantation.•Scanning electron microscope (SEM) for

small feature size (< 0.5 um)•Optical microscope for large feature size

2005/10/18 101

Q & A

•Why can’t optical microscope be used forthe 0.25 m feature inspection?

•Because the feature size (0.25 m = 2500Å) is smaller than the wavelength of thevisible light, which is from 3900 Å (violet)to 7500 Å (red)..

2005/10/18 102

Pattern Inspection

•Overlay or alignment–run-out, run-in, reticle rotation, wafer rotation,

misplacement in X-direction, and misplacementin Y-direction

•Critical dimension (CD) loss•Surface irregularities such as scratches, pin

holes, stains, contamination, etc.

2005/10/18 103

Misalignment Cases

Run-out

Run-in

Reticle rotationWafer rotation

Misplacement in x-direction

Misplacement in y-direction

Run-out

Run-in

Reticle rotationWafer rotation

Misplacement in x-direction

Misplacement in y-direction

2005/10/18 104

Critical Dimension

Good CD CD Loss Sloped Edge

PR PR

Substrate

PR

Substrate Substrate

2005/10/18 105

Track-Stepper System or Photo Cell

•Integrated process system of photoresistcoating, exposure and development

•Center track robot•Higher throughput•Improves process yield

2005/10/18 106

Wafer In

Hot PlateDeveloperdispenser

Track

Hot Plate Spin Station

Stepper

Track Robot

2005/10/18 107

Stacked Track System

Hot PlatesChill Plates

Prep Chamber

Developers

SpinCoaters

2005/10/18 108

Future Trends

•Smaller feature size•Higher resolution•Reducing wavelength•Phase-shift mask

2005/10/18 109

Optical Lithography

•Optics•Light diffraction•Resolution•Depth of focus (DOF)

2005/10/18 110

Light Diffraction Without Lens

Diffracted light Mask

Intensity of theprojected light

2005/10/18 111

Diffraction Reduction

•Short wavelength waves have less diffraction•Optical lens can collect diffracted light and

enhance the image

2005/10/18 112

Light Diffraction With Lens

Diffracted lightcollected by thelens

Strayedrefracted light

Lens

Ideal lightIntensity pattern

Less diffraction afterfocused by the lens

Mask

ro

D

2005/10/18 113

Numerical Aperture

•NA is the ability of a lens to collect diffractedlight

•NA = 2 r0 / D–r0 : radius of the lens–D : the distance of the object from the lens

•Lens with larger NA can capture higher orderof diffracted light and generate sharper image.

2005/10/18 114

(Optical) Resolution•The achievable, repeatable minimum

feature size•Determined by the wavelength of the light

and the numerical aperture of the system.The resolution can be expressed as

NAK

R1

K1 : the system constant, is the wavelength of the light,NA = 2 ro/D, the numerical aperture

2005/10/18 115

Exercise 1, K1 = 0.6

RG-line 436 nm 0.60 ___ mI-line 365 nm 0.60 ___ mDUV 248 nm 0.60 ___ m

193 nm 0.60 ___ m

NAK

R1

2005/10/18 116

To Improve Resolution•Increase NA

–Larger lens, could be too expensive and unpractical–Reduce DOF and cause fabrication difficulties

•Reduce wavelength–Need to develop light source, PR and equipment–Limitation for reducing wavelength–From UV to DUV, to EUV, and to X-Ray

•Reduce K1

–Phase shift mask (PSM)

2005/10/18 117

Wavelength and Frequency ofElectromagnetic Wave

RF MW IR UV X-ray

Visible

104 106 108 1010 1012 1014 1016 1018 f (Hz)

104 102 100 102 104 106 108 1010 (meter)

-ray

1012

1020

RF: Radio frequency; MW: Microwave; IR: infrared; and UV: ultraviolet

2005/10/18 118

Depth of focus

•The range that light is in focus and canachieve good resolution of projected image

•Depth of focus can be expressed as:

22

)(2 NAK

DOF

2005/10/18 119

Depth of Focus

)(22

NAK

DOF

2

Focus

2005/10/18 120

Depth of Focus•Smaller numerical aperture, larger DOF

–Disposable cameras with very small lenses–Almost everything is in focus–But, with bad resolution

•Prefer to reduce wavelength than increaseNA to improve resolution

•High resolution, small DOF•Focus at the middle plane of PR layer

2005/10/18 121

Focus on the Mid-Plain toOptimize the Resolution

PhotoresistSubstrate

Depth of focusCenter of focus

2005/10/18 122

Surface Planarization Requirement

•Higher resolution requires

–Shorter

–Larger NA.

•Both reduces DOF

•Wafer surface must be highly planarized.•That’s why CMP is significantly required for

0.25 m feature patterning.

2005/10/18 123

I-line and DUV•Mercury i-line, 365 nm

–Commonly used in 0.35 m lithography

•DUV KrF excimer laser, 248 nm–0.25 m, 0.18 m and 0.13 m lithography

•ArF excimer laser,193 nm–Application: < 0.13 m

•F2 excimer laser 157 nm–Still in R&D, < 0.10 m application

2005/10/18 124

Silica and DUV

•SiO2 strongly absorbs UV when < 180 nm•Silica lenses and masks can’t be used•157 nm F2 laser photolithography

–Fused silica with low OH concentration, fluorinedoped silica, and calcium fluoride (CaF2),

–With phase-shift mask, even 0.035 m is possible

•Further delay next generation lithography

2005/10/18 125

Future Trends

1.5

1.0

0.8

0.50.35

0.250.18 0.13

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

84 88 90 93 95 98 01 04Year

Feat

ure

Size

(mm

)Fe

atur

eSi

ze(m

m)

07 10

0.10 0.07

Photolithography

Next GenerationLithography

Maybe photo-lithography

14

2005/10/18 126

Phase Shift Mask

Quartz substrate

Chrome patternPellicle Phase shift coating

d

nf

d(nf 1) = /2

nf : Refractive index of phase shift coating

2005/10/18 127

Phase Shift Mask

Quartz substrate

Chrome patternPellicle

d

Phase-shifting etch

ng

d(ng 1) = /2

ng: refractive index of the quartz substrate

2005/10/18 128

Phase Shift Mask Patterning

SubstratePR

SubstratePR

Total LightIntensity

Final Pattern

Designed Pattern

SubstratePR

Designed Pattern

SubstratePR

Final Pattern

Total LightIntensity

Phase shiftcoating

Normal Mask Phase Shift Mask

DestructiveInterference

ConstructiveInterference

2005/10/18 129

Next Generation Lithography (NGL)

Extreme UV (EUV) lithographyX-Ray lithographyMaskless lithography - electron beam or ion beam Immersion lithography

2005/10/18 130

EUV•= 10 to 14 nm•Short wavelength and reduced NA•Mirror basis due to strong absorption at

short wavelength•Use a mask with Pd/C and Mo/Si

multilayer coatings•For 0.1 m technology and beyond•Still in development (support from Intel)

2005/10/18 131

EUV Lithography

Mask

Mirror 2 Mirror 1Wafer

2005/10/18 132

X-ray lithography

•Similar to proximity printer•Difficult to find pure X-ray source

(synchrotron radiation facility)•Challenge on mask making (1:1)•Very expensive! unlikely will be used in

production

2005/10/18 133

X-ray Printing

Photoresist

Substrate

X-rayBeryllium

Gold

2005/10/18 134

Optical Mask and X-ray Mask

X-ray Mask

Beryllium

Gold

Glass

Chromium

Photo Mask

Aspect ratio < 1:5 Aspect ratio > 1:1

2005/10/18 135

E-Beam•Used for making mask and reticles•Smallest geometry achieved : 0.014 m•Direct print possible, no mask is required

–Low throughput

•Scattering exposure system (SCALPEL) lookspromising–Tool development–Reticle making–Resist development–Very similar to stepper lithography

2005/10/18 136

Electron Beam Lithography System

Wafer

Blanking Plate

Lens

Lens

Lens

Electron Gun

DeflectionCoils

Stigmator

2005/10/18 137

SCALPEL

2005/10/18 138

Ion Beam Lithography•Can achieve higher resolution

–Direct writing and projection resist exposing–Direct ion implantation and ion beam sputtering

patterned etch, save some process steps

•Serial writing, low throughput•Unlikely will be used in the mass production•Appropriate for mask and reticle repairing•IC device defect detection and repairing

2005/10/18 139

Immersion Lithography•Fill DI water between light source and wafer•Reach higher DOF

Kw : refractive index of water (1.43)

•Applied in 193 nm or 248 nm systems•Likely to push further to 90 or beyond if

refractive index increased•TSMC proved result in 90 nm product with

ASML

2)(2 NAK

DOF w

top related