altera software installation and licensing · altera software installation and licensing 2014.12.15...

38
Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing The Altera Software Installation and Licensing manual provides comprehensive information for installing and licensing Altera ® software, including the Quartus ® II software, ModelSim-Altera Edition software, Nios ® II Embedded Design Suite, and related software on Windows and Linux operating systems. You can obtain Altera software from the Download Center of the Altera website. The Altera Complete Design Suite DVDs are available by request via the Altera IP and Software DVD Request Form website. Related Information Download Center Altera IP and Software DVD Request Form Altera Software You can choose from one of three installation methods (combined, individual, or ISO files) to download the following software and device support files, which you can then install on your local machine. Altera software: Quartus II Subscription Edition software v14.1 (includes the Nios II EDS and Altera IP Library) Quartus II Web Edition software v14.1 (includes the Nios II EDS and Altera IP Library) Quartus II Help ModelSim-Altera Edition software v10.3c for Quartus II software v14.1 (32-bit) DSP Builder FLEXlm license server software JNEye Stand-alone Quartus II Programmer and Tools SoC Embedded Design Suite (EDS) Altera SDK for OpenCL Device support for Arria ® , Cyclone ® , MAX ® , or Stratix ® device families must be installed as part of the Quartus II installation. © 2016 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered www.altera.com 101 Innovation Drive, San Jose, CA 95134

Upload: others

Post on 25-May-2020

47 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Altera Software Installation and Licensing2014.12.15

MNL-1065 Subscribe Send Feedback

About Altera Software Installation and LicensingThe Altera Software Installation and Licensing manual provides comprehensive information for installingand licensing Altera® software, including the Quartus® II software, ModelSim-Altera Edition software,Nios® II Embedded Design Suite, and related software on Windows and Linux operating systems.

You can obtain Altera software from the Download Center of the Altera website. The Altera CompleteDesign Suite DVDs are available by request via the Altera IP and Software DVD Request Form website.

Related Information

• Download Center• Altera IP and Software DVD Request Form

Altera SoftwareYou can choose from one of three installation methods (combined, individual, or ISO files) to downloadthe following software and device support files, which you can then install on your local machine.

• Altera software:

• Quartus II Subscription Edition software v14.1 (includes the Nios II EDS and Altera IP Library)

• Quartus II Web Edition software v14.1 (includes the Nios II EDS and Altera IP Library)• Quartus II Help• ModelSim-Altera Edition software v10.3c for Quartus II software v14.1 (32-bit)• DSP Builder• FLEXlm license server software• JNEye• Stand-alone Quartus II Programmer and Tools• SoC Embedded Design Suite (EDS)• Altera SDK for OpenCL

• Device support for Arria®, Cyclone®, MAX®, or Stratix® device families must be installed as part ofthe Quartus II installation.

© 2016 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos aretrademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified astrademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performanceof its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to anyproducts and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information,product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of devicespecifications before relying on any published information and before placing orders for products or services.

ISO9001:2008Registered

www.altera.com101 Innovation Drive, San Jose, CA 95134

Page 2: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

The available Altera Complete Design Suite Subscription Package v14.1 DVDs include:

• Quartus II Subscription Edition software for Windows DVD• Quartus II Subscription Edition software for Linux DVD• Devices DVD 1 (contains all devices except Arria series)• Devices DVD 2 (contains Arria series devices)• Quartus II Web Edition software DVD (contains Windows and Linux software, and devices available

with the Quartus II Web Edition software)

Related InformationOperating System Support

Altera Download CenterThe following items are available from the Download Center of the Altera website:

• Software and components• Programming software• Board layout and test software• Altera legacy design software• License daemons

Related Information

• Altera Software on page 1• Download Center

Installation OptionsAltera provides several options for downloading and installing Altera software products. When youdownload Altera software, you can choose between three options:

• Combined files—Download Quartus II software and device support packages as multiple .tar files orone large .tar file.

• Individual files—Download Altera software and device family support for the Quartus II software asindividual executable files.

• ISO files—Download DVD files that you can burn to a disc.

Install software on multiple systems using the same set of installation files to reduce overall downloadtime.

You can turn on the TalkBack feature by turning on Turn on the Quartus II software TalkBack featurein the TalkBack Options dialog box. This dialog box appears at the end of the installation process if theoption is not already turned on.

Note: The TalkBack feature helps Altera to understand how customers use the Quartus II software anddevices, so that Altera can focus on improving features most important to users.

Related Information

• Altera Software on page 1• Download and Installation Prerequisites on page 3

2 Altera Download CenterMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 3: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

• Downloading and Installing Altera Software with Combined Files on page 4• Downloading and Installing Altera Software Updates on page 5• Accessing Quartus II Internet Resources on the Web

System RequirementsTo successfully install and run Altera software your system must meet the following minimumrequirements.

Minimum Hardware RequirementsInstallation requires the following minimum hardware:

• Windows PC or Linux workstation. Refer to the Operating System Support page of the Altera websitefor the most up-to-date Altera software operating system requirements

• A monitor capable of at least 768x1024 display resolution• Adequate disk space as described in the Quartus II Software Device Support Release Notes• DVD drive (DVD installation only).

Related Information

• Operating System Support• Quartus II Software Device Support Release Notes

Cable and Port RequirementsInstallation requires the following minimum cables and ports:

• USB port for connecting a USB-Blaster™ or USB-Blaster II™ download cable• 10/100 Mb Ethernet connection for connecting a EthernetBlaster or EthernetBlaster II download cable

Software RequirementsInstallation requires the following minimum software:

• Mozilla Firefox version 3.6 or later, Microsoft Internet Explorer version 8.0 or later, or Google Chromewith an internet connection for Quartus II software internet resources

• Adobe Flash Player version 7.0 or later, for the Quartus II Getting Started Tutorial• The KDE or GNOME window manager (version included with your Linux operating system installa‐

tion)• The native XServer software for Linux

About Downloading and Installing Altera SoftwareThe following are prerequisites and instructions for downloading and installing Altera software.

Download and Installation PrerequisitesRead the following information before you download and install Altera software:

MNL-10652014.12.15 System Requirements 3

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 4: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

• Ensure there is at least 20 GB of free disk space to contain copies of uncompressed version installationfiles. Disk space requirements for individual components are described on the Download Center of theAltera website.

• The following RPM packages are required to run the Altera Complete Design Suite (ACDS) onsystems running Red Hat Linux Enterprise 6 and 7:

• X Window libraries: libX11.i686, libXau.i686, libXdmcp.i686, libXext.i686, libXft-devel.i686,libXft.i686, libXrender.i686, libXt.i686, and libXtst.i686.

• GIMP toolkit: GTK+2.• For more information about RPM packages, visit the Red Hat website.

• The following additional RPM packages are required to run the ModelSim-Altera Edition software andSoC EDS on systems running Red Hat Linux Enterprise 6 and 7:

• 32-bit libraries: unixODBC-libs, unixODBC, ncurses, ncurses-libs, libzmq3, libXext, alsa-lib,libXtst, libXft, libxml2, libedit, libX11, libXi.

• The Microsoft Visual C++ 2008 Redistributable Package (x86) is required to run the ModelSim-AlteraEdition software on Windows systems.

• When you specify the installation directory, use only alphanumeric characters and do not type anyspecial characters or symbols, such as !$%^&*<>, or non-English characters. Including specialcharacters may invalidate the installation location.

• By default, Altera installs software in the <drive> :\altera\ <version number> system directory forWindows, and the <home directory> /altera/ <version number> directory for Linux, where <homedirectory> is the default directory of your Linux workstation, or as set by your system administrator. Ifyou use a different directory name, substitute the appropriate name for <system directory> in theinstallation steps.

• You can install multiple copies of the same version of Altera software on the same computer.• If you want to preserve project compilation databases from an earlier version of the Quartus II

software before installing a newer version, Altera recommends that you open the design in the earlierversion of the software and export a version-compatible database for the design.

• To run the Quartus II software using a network (multiuser) license, make sure to set up the licenseserver option to use a license server.

• If you are running the Bitdefender antivirus software, you should temporarily disable the softwarewhen downloading and installing the Quartus II software.

Related Information

• Setting Up a Floating Network License on page 18• Managing Quartus II Projects• Microsoft Visual C++ 2008 Redistributable Package (x86)

Downloading and Installing Altera Software with Combined FilesFollow these steps to download and install Altera software with combined files:

4 Downloading and Installing Altera Software with Combined FilesMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 5: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

1. On the Download Center of the Altera website, select Subscription Edition or Web Edition.2. Verify that you have sufficient free disk space to contain copies of uncompressed versions of the

software files.3. In the Combined Files tab, download the software and device support packages.4. Extract the files into the same temporary directory.5. Run the setup.bat file for Windows, or setup.sh for Linux to launch the installation wizard. The

installation wizard guides you through the download and installation process.

Related InformationAdditional Installation Procedures on page 6

Downloading and Installing Altera Software UpdatesFollow these steps to download updates to the Altera software when updates are available.

1. Ensure that the current version of the Altera software is installed, as described in Downloading andInstalling Altera Software with Combined Files on page 4.

2. On the Download Center of the Altera website in the Updates tab, select the latest software and IPupdates.

3. Download the software update file(s) into a temporary directory.4. Run the executable file to launch the installation wizard. The installation wizard guides you through

the installation process.

Note: For information about the latest updates, refer to the update release notes on the Altera website.

Installing Support for Device Families and Other Altera SoftwareAfter you install the Quartus II software, you can download and then install device support and otherAltera software, including Quartus II Help, DSP Builder, and ModelSim-Altera Edition software.

You can download the device family support and other Altera software from the Individual Files andAdditional Software tabs on the version-specific download page accessed from the Download Center ofthe Altera website. You must install the other Altera software in the same directory as the Quartus IIsoftware. You must download the device family support to the same directory as the Quartus II software,and then install it through the Quartus II software.

Installing Device Family SupportTo install device family support:

1. To begin, use one of the following methods:

• In the Quartus II software click Tools > Install Devices.• For Microsoft Windows, on the Windows Start menu, point to All Programs > Altera <version

number>.2. Point to <software name> <version number> > <software name> <version number> Device Install.

The installation wizard appears and guides you through the process to add device family support.Install the device family support to the same location as the Quartus II software.

MNL-10652014.12.15 Downloading and Installing Altera Software Updates 5

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 6: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Uninstalling Altera SoftwareTo uninstall Altera software:

Uninstalling on Microsoft Windows1. On the Windows Start menu, point to All Programs > Altera <version number>.2. Point to <software name> <version number> > Uninstall <software name> <version number>.

The installation wizard appears and guides you through the uninstallation process.

Uninstalling on Linux• Make sure that none of your project files are contained in the Altera software directory, and then

delete the Altera software directory (default name is altera<version number>).

Additional Installation ProceduresIn addition to the procedures described in this manual, you can perform the following installationprocedures for Altera software:

• Installing software at the command line—you can install Altera software at the command line with acustom installation script using command-line arguments.

• Mounting and unmounting the Altera Complete Design Suite DVDs—you can mount and unmountthe Altera Complete Design Suite DVDs on Linux workstations if your Linux operating system doesnot automatically mount DVDs inserted into your DVD drive.

• Setting up the Quartus II software with a JTAG server on a network—you can set up the Quartus IIsoftware to allow the JTAG server to run JTAG services, which is necessary if you install the Quartus IIsoftware for Windows on a network drive and have a JTAG server.

Related InformationAbout Installing Altera Software

Configuring the Linux User EnvironmentPerform the following steps for each user before starting the Quartus II software for Linux for the firsttime:

1. Update each user’s PATH environment variable in the .cshrc file or .bashrc file, which is located in thehome directory, to include the bin directory in the Quartus II system directory. The bin directory isusually <home directory> /altera/ <version number> /quartus/bin directory for Linux, where <homedirectory> is the default directory of your Linux workstation or as set by your system administrator. Toupdate the PATH environment variable, type the following command at a command prompt:

• C shell:

setenv PATH ${PATH}:/<Quartus II system directory>/bin

6 Uninstalling Altera SoftwareMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 7: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

• Bash shell:

export PATH=${PATH}:/<Quartus II system directory>/bin

If you run the Quartus II software for Linux with a script, you can run the latest installed version of theQuartus II software with the quartus executable either by specifying the latest version of the Quartus IIsoftware, or the full path for the quartus executable in the PATH environment variable.

2. Review and update as appropriate, each user’s QUARTUS_ROOTDIR_OVERRIDE environment variable,which specifies the name of the Quartus II system directory, and each user’s Quartus II generalenvironment variables.

3. If you are using the LM_LICENSE_FILE environment variable to specify your license file or licenseserver address, modify the value of LM_LICENSE_FILE.

4. Save the changes to the .cshrc file or .bashrc file, and then type the following at a command prompt:

• C shell:

cdsource .cshrc

• Bash shell:

cd. ~/.bashrc

Related Information

• Quartus II General Environment Variables on page 26• Setting the LM_LICENSE_FILE Environment Variable in the Linux Workstation C Shell Resource

File (.cshrc) on page 19

About Starting the Quartus II SoftwareThis topic describes starting and licensing the Quartus II software. The Quartus II software prompts forlicense information if undetected on startup.

Related InformationEvaluating the Quartus II Software on page 10

Starting the Quartus II Software on WindowsTo start the Quartus II software:

1. To start the Quartus II software on Windows, complete one of the following steps:

• On the Windows Start menu, point to All Programs > Altera > Quartus II <version number>(64-bit)

• Type the following command at a command prompt: <drive>:\<Quartus II system directory>\bin64\quartus

Starting the Quartus II Software on LinuxTo start the Quartus II software, type the following command at the command prompt:<path to quartus installation>\quartus\bin\quartus

MNL-10652014.12.15 About Starting the Quartus II Software 7

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 8: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Make sure you do not run the quartus command in the /<Quartus II system directory>/linux directory. Ifyou start the Quartus II software in a platform-specific directory, the software may not function correctly.

Installing Programming Cable DriversYou must install the appropriate programming cable drivers before you can use an Altera download cableor programming unit to program devices with the Quartus II software.

The programming cable drivers are located in the following directories:

• Windows: <drive>:\altera\<version number>\quartus\drivers• Linux: <home directory>/altera<version number>/quartus/drivers

Related InformationCable and Adapter Drivers Information

Licensing Altera SoftwareTo set up your license for Altera software, follow these steps:

1. Select a licensing option.2. Obtain a license file.3. Set up the license file.4. If you have a network license, set up the FLEXlm license manager server.

Related Information

• Licensing Options on page 8• License Files on page 10• Setting Up the License File on page 17• Upgrading or Setting Up a License Manager Server on page 22

Licensing OptionsAltera provides licensing options for Altera software products.

An Altera software subscription enables the Quartus II software (includes the Altera IP Library) and theModelSim-Altera Starter Edition software.

When you purchase a Quartus II software license, the license file supports the version of the Quartus IIsoftware you purchase, all previous versions, and all versions released within a year of the purchase date.You can use the version of the Quartus II software you purchase, and all related software, including theModelSim-Altera Edition software and the Altera IP Library, indefinitely.

Understanding Supported Software SubscriptionsAltera offers the following types of software subscriptions for the Quartus II software:

8 Installing Programming Cable DriversMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 9: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

• Fixed license—a stand-alone (node-locked, single-user) license tied to the network interface card(NIC) ID.

• Floating license—a floating network (multiuser) license for users running the Quartus II software onWindows PCs or Linux workstations. Floating licenses are not operating system-specific. You canpurchase additional seats to add to your floating license after its original purchase.

Note: Altera continues to support operating system-specific floating licenses for the Quartus II softwareversion 6.1 and earlier.

The ModelSim-Altera Edition software is available for purchase and does not require a separate licensefile; however, you must specify your license file for the ModelSim-Altera Edition software.

Related Information

• License.dat Example Files on page 31• Specifying the License for the ModelSim-Altera Edition Software on page 21

Licensing Intellectual Property (IP) CoresThe Altera IP Library, which allows you to use the OpenCore or OpenCore Plus evaluation of Altera IPcores, is automatically installed with the Quartus II software. Third-party IP cores are delivered by thepartner for evaluation with the appropriate license.

You must have a full license for all Altera MegaCore soft IP or Nios II soft processor cores to generateprogramming files that you may use for an unlimited time. You can purchase a license through your localAltera sales office or distributor, and then download a license file from the Self-Service Licensing Centerof the Altera website.

The Qsys features are fully integrated into the Quartus II software and do not require separate licensefiles. Components that are not available in the default installation of Qsys software are included with theMegaCore IP Library.

Related Information

• Self-Service Licensing Center• Intellectual Property and Reference Designs• AN 320: OpenCore Plus Evaluation of Megafunctions• AN 343: OpenCore Evaluation of AMPP Megafunctions

Licensing Mentor Graphics Verification IP Altera Edition AMBA for AXI3 and AXI4If you require access to the Mentor Graphics AXI Verification IP Suite Altera Edition Bus FunctionalModels and Inline Monitor (Mentor VIP AE) for AXI3 or AXI4, and want to upgrade to the Quartus IISubscription Edition software version 12.1 from a previous version, you must regenerate your license file.

If you want to access Mentor VIP AE with the Quartus II Web Edition software, you must upgrade toversion 12.1 or higher and purchase seat licenses by contacting your Altera sales representative.

You can generate and manage license files for the IP Suite and other Altera software and IP products, byvisiting the Self-Service Licensing Center of the Altera website.

Related InformationSelf-Service Licensing Center

MNL-10652014.12.15 Licensing Intellectual Property (IP) Cores 9

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 10: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Licensing Development Kits Containing the Quartus II SoftwareDevelopment kits that include the Quartus II software include instructions for obtaining a license for thatsoftware.

You can purchase development kits from the Development Kits, Daughter Cards & ProgrammingHardware page on the Altera web site or through your local Altera sales office or distributor.

Related InformationDevelopment Kits, Daughter Cards and Programming Hardware

Installing Non-Licensed SoftwareAltera offers the entry-level Quartus II Web Edition and ModelSim-Altera Starter Edition software. Thissoftware supports selected devices, provides limited feature support, and does not require license files.

Altera University Program Software LicensesThe Altera University Program offers licensed Altera software to participating universities.

Related InformationAltera University Program

Evaluating the Quartus II SoftwareYou can evaluate the Quartus II software at no cost for a period of time. When you start the Quartus IIsoftware, if the software cannot detect a valid license file, a dialog box with the following options appears:

• Buy the Quartus II Subscription Edition software—launches your default internet browser anddisplays the Buy Design Software page of the Altera website, where you can view and purchase Alterasoftware options.

• Start the 30 day evaluation period with no license file—allows you to use the Quartus II software for30 days without programming file support.

• Perform automatic web license retrieval—for fixed licenses, this option allows the Quartus IIsoftware to retrieve the license file automatically from the Altera website.

• If you have a valid license file, specify the location of your license file—displays the License Setuppage of the Options dialog box, where you can specify the location of your license file.

Related Information

• License Files on page 10• Setting Up the License File on page 17• Buy Design Software

License Files

Licensing RequirementsYou can obtain a license.dat file from the Self-Service Licensing Center of the Altera website.

10 Licensing Development Kits Containing the Quartus II SoftwareMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 11: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Table 1: Required Information for Each License Type

License Type Requirements

Fixed license NIC ID or software guard ID for Windows PCs (1)

Floating license NIC ID for Windows or Linux license servers

Host ID for UNIX license servers

Intellectual Property (IP) and Development KitLicense

Variable (2)

Notes:

1. Software guards are supported for the Quartus II software version 7.2 and earlier.2. Because Intellectual Property (IP) and development kit licenses are added as feature lines in the

Quartus II software license file, the licensing requirements depend on the Quartus II software licensetype.

Related Information

• Self-Service Licensing Center• Legacy License Generator

Identifying Your NIC IDA Network Interface Card (NIC) ID is a 12-digit hexadecimal string embedded in the network card thatuniquely identifies the PC where the software or license server is installed.

You can use the FLEXlm licensing scheme to configure up to three redundant license servers. The firstserver specified is the master. Use the master server’s NIC ID or host ID when obtaining a license.

To find your Windows NIC ID using FLEXlm utilities

• Type the following command at a command prompt:<drive>:<Quartus II system directory>\bin\lmutil lmhostid

To find your Windows NIC ID, which is the physical address of your ethernet device

• Type the following command at a command prompt:ipconfig /all

In the output of the command, the NIC ID is the physical address without the dashes. If your PC hasmore than one network card, you can use the NIC ID of any network card connected to the computerthat is turned on when the Quartus II software is in use.

To find your Linux NIC ID

• Type the following command at a command prompt:/sbin/ifconfig eth0

In the output of the command, the NIC ID is the ID to the right of HWaddr, without the colons.

MNL-10652014.12.15 Identifying Your NIC ID 11

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 12: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

To find your NIC ID if the Quartus II software is installed on your computer

• Click Tools > License Setup. Your NIC ID appears under Local System info in the Network InterfaceCard (NIC) ID field.

Locating Your Hard-Disk Serial NumberA hard-disk serial number is an eight-character hexadecimal ID that identifies the PC with the Alteralicense. If the ID is not eight characters, include a leading zero.

To find the hard-disk serial number on a Windows PC

• Type the following command at a command prompt:vol c:

In the output of the command, the hard-disk serial number is the volume serial number.

To find the hard-disk serial number if the Quartus II software is installed on your computer

• Click Tools > License Setup. The hard-disk serial number appears under Local Systems info in the C:drive serial number field. Verifying the hard-disk serial number with the Quartus II software ensuresthat you use the correct hard-disk serial number if your PC has multiple hard drives.

Identifying Your UNIX Host IDThe license server host ID is an eight-digit hexadecimal number, which identifies the UNIX workstationthat serves Quartus II software licenses. The license server host ID for UNIX is equivalent to the NIC ID.

Related InformationIdentifying Your NIC ID on page 11

Identifying Your USB Software Guard IDAltera supports USB software guards for licensing the Quartus II software. A USB software guard ID is aten-character alphanumeric number beginning with the letter T.

You can find the software guard ID on the printed label on the guard, or if the Quartus II software isinstalled and the guard is attached, on the License Setup dialog box from the Tools menu. Your softwareguard ID appears under Local System info in the Software Guard ID field.

Requesting a License FileYou can direct the Quartus II software to retrieve a license file automatically, or you can manually requesta license file from the Self-Service Licensing Center of the Altera website.

If you want to obtain a license file for Altera software or IP with an expiration date prior to January 1,2009, visit the Legacy License Generator page.

To obtain a license file, follow these steps:

1. Start the Quartus II software.2. Request a license file using one of the following methods:

12 To find your NIC ID if the Quartus II software is installed on your...MNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 13: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

• Select Perform automatic web license retrieval to request a license file automatically from theAltera website.

• To manually request a license file, use the procedures in the Self-Service Licensing Center. If youhave a triple redundant license server, you must manually request a license file.

If the Quartus II software is able to retrieve a fixed license file successfully from the Altera website, youcan skip the remaining steps of this procedure. If not, you are guided through the licensing procedure.

3. Altera sends an email with the license file text and an attached file. Use the attached license file if youhave a fixed license. If you have a floating license, you must edit the attached license file to update the<hostname>, <port number> and <path to daemon executable>. If you have a license.dat file for boththe Quartus II and MAX+PLUS II software, save the license.dat file in a top-level directory named<drive> :\flexlm.If you do not receive an email from Altera within 12 hours of requesting a license, or if you do notknow all the required information to complete the process, file a service request at the mySupportsection of the Altera website.The FLEXlm licensing scheme allows you to set up three redundant license servers to serve a floatinglicense. You can request a license file for redundant servers from the Self-Service Licensing Center.

4. Set up your license file.

Related Information

• About Starting the Quartus II Software on page 7• Requesting a License from the Self-Service Licensing Center on page 13• Setting Up the License File on page 17• Self-Service Licensing Center Website• Altera Licensing• Legacy License Generator• mySupport

Requesting a License from the Self-Service Licensing CenterThe Self-Service Licensing Center is an online licensing center that allows you to view, obtain, activate,and manage your Altera software licenses. You can perform the following tasks with the Self-ServiceLicensing Center:

• Obtain license files—you can obtain license files for your existing and new licenses.• View existing licenses—you can view all of your existing licenses, including their expiration dates,

available rehosts, and licensed users.• Renew licenses—you can renew your existing licenses.• Rehost licenses—you can transfer your existing licenses from one computer to another. You have a

total of three rehosts for each license.• Activate a new license—you can activate new licenses after purchase.• Add seats to a floating license—you can add seats to your existing floating licenses.• Manage license users—you can specify the licensed user for each of your existing licenses.• View license history—you can view the license file history for each computer that you add to your

myAltera account.

Additionally, you can also split a floating license, obtain a checkout license, or obtain a companion licensewith the Self-Service Licensing Center.

MNL-10652014.12.15 Requesting a License from the Self-Service Licensing Center 13

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 14: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

You can access the Self-Service Licensing Center from the Altera Licensing page, the mySupport page, orfrom the Download Center. You must have a myAltera account to access the Self-Service LicensingCenter.

Related Information

• License Files on page 10• Renewing a License on page 16• Rehosting a License on page 15• Activating a License on page 16• Adding Floating Seats on page 15• Managing Licensed Users on page 17• Self-Service Licensing Demonstrations• Self-Service Licensing Center• Using the Self-Service Licensing Center• mySupport

Selecting a New, Existing, or Renewed License FileYou can obtain a license file for an existing, new, or renewed license. If you want to obtain a license file fora new or renewed license, you must first activate or renew the license.

1. Log on to your myAltera account from the Altera website.2. Access the Self-Service Licensing Center.3. Click Create New License.4. Expand a list of products, double-click to select one or more products from the Unlicensed Products

table, and then click Next.5. Select a computer to which you want to assign your license from the Available Computers table, and

then click Next.6. Click Confirm Selection to generate the license file.

Your license file is sent to the email address specified for your licensed user. If you have not specified anemail address, your license file is sent to the email address in your myAltera account profile. Yoursoftware license type must match the license type of any license you want to assign your computer.

Related Information

• Activating a License on page 16• Renewing a License on page 16• Creating a Computer Profile on page 14

Creating a Computer ProfileYou can create computer profiles for your myAltera account to which you can assign licenses.

1. Log on to your myAltera account from the Altera website.2. Access the Self-Service Licensing Center.3. In the Computer and License Files tab, click Add Computer.4. In the Add Computer dialog box, select the License Type for your computer. Your software license

type must match the license type of any license you want to assign your computer.

14 Selecting a New, Existing, or Renewed License FileMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 15: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

5. Select the Primary Computer Type for your computer. The primary computer type is the NIC ID,Host ID, or software guard ID.

6. Type your computer name in the Primary Computer Name box. You can specify any namemeaningful to you in this box.

7. Type your NIC ID, Host ID, or software guard ID number in the Primary Computer ID box.8. If you have a fixed license and want to use your license for multiple computers, you can specify up to

two companion IDs in the Companion ID and Companion ID 2 boxes. Your companion ID is yourNIC ID, Host ID, or software guard ID. You can use your license or associated companion license onlyon one computer at a time.

9. If you have a floating license, you can set up your license on up to three redundant license servers.Type the NIC ID or Host ID for redundant servers in the Redundant Server ID 2 and RedundantServer ID 3 boxes.

10.Click Submit.11.To edit an existing computer profile, follow these steps:

a. Click the Update Computer icon next to the computer that you want to edit in the My Computerstable.

b. Make changes in the Update Computers dialog box.c. Click Submit when you are finished making changes.

Related Information

• Licensing Requirements on page 10• Self-Service Licensing Demonstrations

Rehosting a LicenseYou can rehost or transfer a license from one computer to another. You can rehost each license up tothree times within your maintenance period.

1. Log on to your myAltera account from the Altera website.2. Access the Self-Service Licensing Center.3. To rehost a license, follow these steps:

a. In the Computers and License Files tab, locate the product you want to rehost.b. In the Update Computer column, click the pencil icon.c. In the Update Computer diaog box, change the host ID in the Primary Computer ID box, and

click the Submit button.4. Click Get License.

Your license file is sent to the email address specified for your myAltera account profile. Your softwarelicense type must match the license type of any license you want to assign your computer.

Related Information

• Creating a Computer Profile on page 14• Self-Service Licensing Demonstrations

Adding Floating SeatsYou can add floating seats to an existing floating license to increase the number of users available. Addingadditional seats to an existing floating license may affect its maintenance expiration date.

MNL-10652014.12.15 Rehosting a License 15

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 16: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

1. Log on to your myAltera account from the Altera website.2. Access the Self-Service Licensing Center.3. In the Add Seat License tab, click Add Seats to access the My Add Seat License page.4. On the Add Seats page, select a product to which you want to add additional seats, and click Next.5. Select the product with the seats you want to apply and click Next.6. Type the number of additional seats you want to add to your floating license in the Number of Seats

to Add box.

When you add additional seats to an existing floating license, the new maintenance subscription term ofthe floating license is calculated by adding the total number of maintenance months for the existing andnew seats, and then dividing by the total number of seats. Any partial number is rounded up to the nearestwhole number.

Example 1: Floating License Example

If you have an existing floating license with ten seats that expires in five months and you purchasetwo additional seats, your updated floating license maintenance subscription would expire inseven months for all twelve seats.

Related InformationSelf-Service Licensing Demonstrations

Renewing a LicenseYou can renew an existing license with the Self-Service Licensing Center. You must first purchase yourlicense renewal, for example, from the Buy Design Software page of the Altera website, before applying arenewal.

1. Log on to your myAltera account from the Altera website.2. Access the Self-Service Licensing Center.3. In the Renewal License tab, click Apply Renewals to access the Apply Renewals page.4. Select the product you want to renew, and click Next.5. Select the renewal product you want to apply, and click Next.

Related Information

• Self-Service Licensing Demonstrations• Buy Design Software

Activating a LicenseYou can activate a new license with the Self-Service Licensing Center. You must first purchase your newlicense, for example, from the Buy Design Software page of the Altera website, before activating the newlicense.

16 Renewing a LicenseMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 17: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

1. Log on to your myAltera account from the Altera website.2. Access the Self-Service Licensing Center.3. If the product you want to license is not listed, click Find it with Your License Activation Code.4. Type your license activation code or Quartus II software serial number in the Find/Activate Products

page, and click Search.5. Select the products that you want to activate in the Activate Products table.6. Click Activate Selected Products.

Related Information

• Self-Service Licensing Demonstrations• Buy Design Software

Managing Licensed UsersYou can assign or change users for your existing licenses. Licensed users are granted access to theirassigned licenses.

1. Log on to your myAltera account from the Altera website.2. Access the Self-Service Licensing Center.3. In the Products and Users tab, click the License User icon for the license you want to update in the

Licensed User column of the My Altera Software and IP Products table.4. In the User Information box, type the name and email address of the licensed user, and then click

Save.

The licensed user receives an email notifying them that they have been added as a licensed user andnow have access to the specific license that they have been assigned.

Related InformationSelf-Service Licensing Demonstrations

Setting Up the License FileYou must modify the license.dat file for your specific licensing options. Use the following procedures tomodify your license file.

License File ConditionsIf you modify the license.dat file, ensure that the following conditions are met:

MNL-10652014.12.15 Managing Licensed Users 17

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 18: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

• The text editor does not append .txt or any other file extension to the file name, for examplelicense.dat.txt.

• A carriage return (new line) is present at the end of the last FEATURE line.• Any FEATURE line that wraps to a second or third line contains a backslash (\) at the end of each line to

indicate that it continues. However; there is no backslash (\) at the end of the lines if theVENDOR_STRING line wraps to multiple lines. The backslash should only be added outside the line withdouble quotation marks (").

• If you are using a 64-bit version of the Quartus II software, ensure a space exists between the closingdouble quotation mark(") and backslash (\) at the end of the VENDOR_STRING line.

• No hidden control characters exist in the license file.

• If you open the license file with any software other than a plain text editor, the software may addhidden characters. For example, WYSIWYG editors such as Microsoft Excel, Word, or WordPad,may insert special control characters such as a tab or carriage return. If special control charactersare pasted into another plain text document, the license file can be corrupted by characters thatmay not be visible in a plain text editor.

• If you edit the license file in one operating system, copy the license file in another operating system,and then copy the license file to the Windows operating system, the second operating system mayinsert unwanted control characters into the license file if you do not correctly convert the file.

Setting Up a Fixed LicenseTo set up a fixed license file, follow these steps:

1. Make a backup copy of any existing license.dat file for reference.2. Save the new license.dat file on your local hard drive. You should save and use the license.dat file

attached to the licensing email you received from Altera.3. If you are using the Quartus II software version 7.2 or earlier, attach the software guard to a parallel or

USB port on your computer.4. After you save the license.dat file on your local hard drive, proceed to one of the following procedures

to specify your license. Each procedure provides an alternative method to set up your license file.

Related Information

• Installing a USB Software Guard on page 25• Specifying the License File with the LM_LICENSE_FILE Environment Variable on page 19• Specifying the License File within the Quartus II Software on page 19

Setting Up a Floating Network LicenseTo set up a floating license, follow these steps:

1. Obtain the port number and host name from the network or system administrator. This informationcan also be found in the license file line beginning with SERVER.

SERVER<hostname> <8 or 12 character host or NIC ID> <port>

The license location for the user is <port>@<hostname>. If a port is not listed in the license.dat file,specify @<hostname>.

2. After you obtain the port number and host name, proceed to one of the following procedures to set upyour license. Each procedure provides an alternative method to set up your license file.

18 Setting Up a Fixed LicenseMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 19: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Related Information

• Setting Up Floating Network Licenses on the License Server on page 19• Specifying the License File with the LM_LICENSE_FILE Environment Variable on page 19• Specifying the License File within the Quartus II Software on page 19

Specifying the License File with the LM_LICENSE_FILE Environment VariableAltera recommends specifying the Quartus II software license location with the LM_LICENSE_FILEenvironment variable. Additionally, third-party tools provided by Altera require that you specify thelicense location with the LM_LICENSE_FILE environment variable.

The license file specification in the License Setup dialog box supersedes the LM_LICENSE_FILE environ‐ment variable setting.

The Quartus II software does not support the <vendor daemon> _LICENSE_FILE environment variable.

Setting the LM_LICENSE_FILE Environment Variable in the Windows Control PanelYou can specify the location of your license file outside the Quartus II software for Windows by settingsystem variables in the Windows System Control Panel.

Setting the LM_LICENSE_FILE Environment Variable in the Linux Workstation C Shell ResourceFile (.cshrc)

To specify the license file on each client (user) workstation, make sure that you update theLM_LICENSE_FILE environment variable that is specified in the .cshrc file located in each user’s homedirectory.

Related InformationSetting the LM_LICENSE_FILE Environment Variable

Specifying the License File within the Quartus II SoftwareYou can also specify a fixed or floating license file within the Quartus II software.

Related InformationQuartus II Help: Specifying the License File within the Quartus II Software

Setting Up Floating Network Licenses on the License ServerSetting up a floating network license server is typically performed by a system administrator. You can setup a floating network license on a Windows, Solaris, or Linux license server using the FLEXlm licensemanager software provided by Altera.

Altera software uses the FLEXlm software to administer licensing for single or multiple users in a networkinstallation. Follow the procedure in Modifying the Network License File, and then set up and start theFLEXlm license manager.

Related Information

• Modifying the Network License File on page 20• Setting Up Floating Network Licenses on the License Server

MNL-10652014.12.15 Specifying the License File with the LM_LICENSE_FILE Environment... 19

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 20: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Modifying the Network License FileThe license.dat file must be modified before it can be used by the license server.

To modify and save the license file, in the license.dat file, type the variables that are described in thefollowing table. The host or NIC ID is already included in the license file. The host and daemon lines areof the format:

SERVER <host name> <host/NIC ID> <host port number>

VENDOR alterad <path to daemon executable> port=<user-defined port number>

VENDOR mgcld <path to daemon executable> port=<user-defined port number>

Table 2: Variables to Modify in the License File (license.dat)

Variable Name Description

<host name> The host name of the server; for example, my_server.

<host port number>

(1)

The port number for the license manager service on the server; for example,1800. The port number is required for Windows systems and should be outsideof the 27000-28000 range and be unique on the machine.

alterad "<path todaemon executable>"

(2) (3)

Path to the Altera vendor daemon executable alterad.

• \<Quartus II system directory>\bin\alterad.exe (Windows)• /<Quartus II system directory>/linux/alterad (Linux)• /<Quartus II system directory>/solaris/alterad (Solaris) (5)

mgcld "<path to daemonexecutable>"

(2) (3) (4)

Path to the Mentor Graphics vendor daemon executable mgcld.

• \<ModelSim-Altera system directory>\win32aolem\mgcld.exe (Windows)• /<ModelSim-Altera system directory>/linuxaloem/mgcld (Linux)• /<ModelSim-Altera system directory>/sunos5aloem/mgcld (Solaris) (5)

port=<user-defined portnumber>

Optional, user-defined port numbers for the mgcld and alterad daemons. TheFLEXlm software works with Internet firewalls that require you to specify portnumbers. You must specify a port number on the SERVER line in the licensefile. You must also specify different port numbers on each VENDOR orDAEMON line with the PORT=<number> syntax. Finally, you must allowfirewall access to those port numbers. The following example shows how tospecify port numbers in a floating license file. To provide access through afirewall for the following example, specify ports 1800, 1801, and 1802.

SERVER myServer 0123456789ab 1800VENDOR alterad ./alterad port=1801VENDOR mgcld ./mgcld port=1802

20 Modifying the Network License FileMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 21: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Variable Name Description

Notes:

1. If you do not specify a port number, the FLEXlm license manager automatically chooses a free portbetween 27000 and 27009. However, in Windows systems you must specify an explicit port number.

2. If the license server does not provide the necessary vendor daemon, copy the required daemon fromanother machine, save the file in a location on the license server, and specify the daemon location onthe license server in the license file.

3. If the server path has spaces in it, enclose the full path in quotation marks.4. If you are not using a license file for the ModelSim-Altera software, you may delete this line.5. Quartus II software versions 8.0 and later do not support the Sun Solaris operating system. However,

Altera software does support Sun Solaris license servers.

When you complete all modifications, ensure the license file conditions are met.

Related InformationLicense File Conditions on page 17

Specifying the License for the ModelSim-Altera Edition SoftwareThe ModelSim-Altera Edition software supports licenses using the Mentor Graphics license daemonmgcld. You can find the mgcld daemon in the following directories:

• Windows: <ModelSim-Altera system directory>\win32aloem• Linux: <ModelSim-Altera installation directory>/linuxaloem

or

<Quartus II system directory>/linux/linuxaloem (when installed using the Altera Complete Design Suitefor Linux DVDs)

Note: The ModelSim-Altera Starter Edition software versions 6.4g and later do not require a license.

Before starting the ModelSim-Altera software, set the MGLS_LICENSE_FILE environment variable to thelocation and file name of the ModelSim-Altera license file. For example, MGLS_LICENSE_FILE (<ModelSimAltera installation directory> /licenses/eda/license.dat) or with the <port>@<hostname> notation(1900@set), where <port> is the license port number and <hostname> is the server’s host name.

To set the LM_LICENSE_FILE environment variable to the location and file name of the ModelSim-Alteralicense file for Windows or Linux.

The ModelSim-Altera Edition software license expires 15 months after the date of purchase, at whichpoint you can no longer use the software. You must obtain an updated Quartus II software subscriptionlicense file from the Self-Service Licensing Center every 15 months to renew your license for the specificModelSim-Altera Edition software version that you purchase. You can use only the version ofModelSim-Altera Edition software that you purchase with the updated license.

Related Information

• Modifying the Network License File on page 20• Specifying the License File with the LM_LICENSE_FILE Environment Variable on page 19• Self-Service Licensing Center

MNL-10652014.12.15 Specifying the License for the ModelSim-Altera Edition Software 21

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 22: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Licensing for the Nios II Embedded Design SuiteYou can create, compile, and generate time-limited FPGA programming files for Nios II hardwaresystems without obtaining a license file. To generate non-time-limited FPGA programming files and flashprogramming files, you must obtain a license for the Nios II processor core or Nios II development kit, inaddition to the license for the Quartus II software. You do not need a license to develop software usingonly the Nios II Software Build Tools for Eclipse.

Transferring a License FileIf you want to transfer your license file to another computer, you can rehost your license.

Related InformationRehosting a License on page 15

Upgrading or Setting Up a License Manager ServerThe Quartus II software uses the FLEXlm license manager software to administer licensing for single ormultiple users in a network installation. This section provides instructions for upgrading and setting upthe FLEXlm server software for Windows and instructions for configuring network licensing for Linux.

Note: Quartus II software versions 8.0 and later do not support the Solaris operating system. However,Altera software does support Solaris license servers.

To run the FLEXlm lmgrd license server manager on a Linux workstation, make sure that the /usr/tmpdirectory exists.

A system administrator should perform these steps to configure license servers after the Quartus IIsoftware is installed.

Upgrading the FLEXlm License Manager Server SoftwareTo support network licensing, the Quartus II software requires the FLEXlm license manager serversoftware version 11.11.1 or later.The installation process for Windows installs the FLEXlm software version 11.11.1 for all Windows andLinux platforms). However, you should also verify that the FLEXlm software version of the license serveris 11.11.1.

Note: FLEXlm version 9.5 is the newest software version available for Solaris license servers.

Related Information

• About Downloading and Installing Altera Software on page 3• Rereading an Existing License File on page 23

Determining the FLEXlm Software VersionOn the computer running the FLEXlm software, type the following commands at a command prompt:

22 Licensing for the Nios II Embedded Design SuiteMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 23: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

• Windows:<FLEXlm system directory>\lmgrd -v

<FLEXlm system directory>\alterad -v

• Linux or Solaris:/<FLEXlm system directory>/lmgrd -v

/<FLEXlm system directory>/alterad -v

If the lmgrd or alterad daemons are not from the FLEXlm software version 10.8, you must upgradeboth daemons with the versions provided with the Quartus II software.

Related InformationUpgrading or Setting Up a License Manager Server

Configuring a New License ServerThe Quartus II software uses the FLEXlm license manager server software to administer licensing forsingle or multiple users in a network installation. If you do not have an existing FLEXlm license server,you must configure a new license server before starting the Quartus II software for the first time.

Related InformationUpgrading or Setting Up a License Manager Server

Rereading an Existing License FileIf you change the license file, you must reread the license file or restart the license server before you canrun the Quartus II software again. If you have an existing FLEXlm license server with an existing licensefile for the MAX+PLUS II software, or software from another vendor, and the FLEXlm license managerserver software is version 11.11.1, you can copy and paste the Altera FEATURE lines from your Quartus IIlicense.dat file into your existing license file. Make sure you modify the FEATURE lines for your server.

Note: You can see a list and description of the available FLEXlm options by typing lmgrd -help at acommand prompt.

Related Information

• Modifying the Network License File on page 20• Setting Up the License File on page 17• Upgrading or Setting Up a License Manager Server on page 22• Configuring a New License Server on page 23

Rereading the License File on a License Server for Windows

1. Type the following command at a command prompt:<Quartus II system directory> \bin\lmutil lmreread

2. (Or) Follow these steps:a. In the LMTOOLS dialog box, click Configuration using Services.b. Select the name of the license server, usually Flexlm License Server.c. Click the Start/Stop/Reread tab.d. Click ReRead License File.

MNL-10652014.12.15 Configuring a New License Server 23

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 24: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Rereading the License File on a License Server for Linux or Solaris

1. Type the following command at a command prompt:

<Quartus II system directory>/<operating system>/lmutil lmreread

Where <operating system> is linux or solaris.2. (Or) Restart the license server.

Starting and Stopping the License ServerWhen configuring the license server, you can start and stop the license server with the LMTOOLS dialogbox. Before you start or stop the license server, you must configure a new license server as a Windowsservice.

To start or stop the license server with the LMTOOLS dialog box, follow these steps:

1. Type the following command at a command prompt:<Quartus II system directory>\bin\lmtools

2. In the LMTOOLS dialog box, click Configuration using Services.3. Select the name of the license server, usually Flexlm License Server.4. Click the Start/Stop/Reread tab.5. Click Start Server or Stop Server.

Related InformationConfiguring a New License Server on page 23

Starting the License Server AutomaticallyWhen configuring the license server, you can set up the license server to start automatically at startup withthe LMTOOLS dialog box. You must configure a new license server as a Windows service before you setup the license server to start automatically at startup.

To start the license server automatically at startup with the LMTOOLS dialog box, follow these steps:

1. Type the following command at a command prompt:<Quartus II system directory>\bin\lmtools

2. In the LMTOOLS dialog box, click Configuration using Services.3. Select the name of the license server, usually Flexlm License Server.4. Click the Config Services tab.5. Turn on Use Services.6. Turn on Start Server at Power Up.

Related InformationConfiguring a New License Server on page 23

Installing the FLEXlm License Manager Server Software on Another License ServerTo install the FLEXlm license manager server software on an additional license server, follow these steps:

1. Create one of the following directories on the additional license server.

• Windows—<Quartus II system directory>\• Linux or Solaris—<Quartus II system directory>/<operating system>

24 Rereading the License File on a License Server for Linux or SolarisMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 25: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Where <operating system> is linux or solaris.2. Copy the following files from the <Quartus II system directory>\, <Quartus II system directory>/linux,

or <Quartus II system directory>/solaris directory of your original Quartus II software into the newdirectory:

• lmgrd• lmutil• alterad• lmtools (Windows)

You can also install the FLEXlm software on another license server with the Install button next toFLEXlm Server in the Altera Complete Design Suite DVDs setup program.

Related InformationAdditional Installation Procedures on page 6

Installing a USB Software GuardIf your installation of the Quartus II software for Windows uses a node-locked (single-user) fixed licenseand includes a USB software guard, you must install the Sentinel driver and software guard before youspecify a license file.

The Sentinel driver is required for all computers running the Quartus II software for Windows that use aUSB software guard. The Sentinel driver is installed automatically with the Quartus II software forWindows.

If you want to use a USB software guard with the 64-bit version of the Quartus II software for Windows,and you want to run the Quartus II software with Remote Desktop Connection, you must set up thesoftware guard specifically for this function.

Related InformationQuartus II Help: Installing a Sentinel Software Guard

About Quartus II Environment VariablesThe Quartus II software installation process initializes general and NativeLink environment variables.

Setting Linux Environment VariablesDepending on your shell type, you can find environment variables in the directories listed in the followingtable. Set your environment variables with the shell type specific format.

Table 3: Environment Variable Location and Format

Shell Location Format

C .cshrc file setenv <environment variable> <value>

MNL-10652014.12.15 Installing a USB Software Guard 25

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 26: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Shell Location Format

Bourne or Korn .profile file set <environment variable> = <value>

Bash .bashrc file export <environment variable> = <value>

Setting Windows Environment VariablesTo set environment variables in Windows, follow these steps:

1. Click Windows Start menu > Control Panel (or open the Control Panel app in Windows 8).2. Click System and Security.3. Click System.4. Click Advanced system settings.5. Click the Advanced tab in the System Properties dialog box.6. Click Environment Variables.7. Select a variable in the Environment Variables dialog box.8. Click Edit.9. Type a value in the Variable value box in the Edit Variable dialog box.

Quartus II General Environment VariablesThe Quartus II software installation process initializes the following variables, but you can edit them tooptimize your system performance.

• QUARTUS_ROOTDIR_OVERRIDE—The QUARTUS_ROOTDIR_OVERRIDE environment variable specifies thename of the Quartus II system directory. The default directory is <home directory>/altera/<versionnumber> (Linux) or <Quartus II system directory>\altera\<version number>\quartus (Windows).

If the system displays an error message indicating that Quartus II software files cannot be found when youstart the program, you should set the QUARTUS_ROOTDIR_OVERRIDE environment variable to the name ofthe Quartus II system directory.

• QUARTUS_64BIT—The QUARTUS_64BIT environment variable specifies the 64-bit version of theQuartus II software for Linux. To turn on 64-bit processing in the Quartus II software for Linux, setthe environment variable to 1 before running the Quartus II software. This environment variable issupported only by Linux systems with 64-bit processing.

• QUARTUS_LIBRARY_PATHS—The QUARTUS_LIBRARY_PATHS environment variable specifiesuser-defined library paths. You can use this environment variable to define multiple library paths atthe same time instead of individually adding each path to the user library.

You must add $QSYS_ROOTDIR to the PATH variable to use the following command line tools:

• qsys-edit• qsys-generate• ip-catalog• ip-make-ipx

26 Setting Windows Environment VariablesMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 27: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

License File TroubleshootingIf you have trouble modifying your license file, you can use the topics about license file formats totroubleshoot.

Related Information

• Quartus II Help: License File Troubleshooting• Software Licensing Troubleshooter• Support Center• Altera Licensing

License File ComponentsThis topic describes the different parts of the Altera license file (license.dat).

License File HeaderAltera license files have headers that contain identifying information specific to the license, such as thetype of license, the primary computer and companion IDs, issue and expiration dates, and a productlicense summary.

The example below shows the header of a fixed license file that contains a license for the Quartus IIsoftware and the ModelSim-Altera Edition software. This license file works on a PC that has softwareguard number T000012345 attached to its parallel port. The license was issued on May 13, 2010, is aperpetual license with no defined companion IDs, and has a maintenance expiration date of April 2011.

# Altera Corporation Software and/or Intellectual Property License File# Issued 13 May 2010# Perpetual-No License Expiration# Upgrade to these products will no longer be available after the# Maintenance Expiration date unless licenses are renewed.# Fixed Node License# Primary Machine Name-scenario 1# Primary Machine ID-Guard ID T000012345# Companion ID-N/A# Companion ID 2-N/A# Product License Summary:# - ModelSim-Altera Edition Software, 1 Seat(s)# Maintenance Expiration of 2011.04

SERVER, VENDOR, and USE_SERVER LinesA floating license file starts with SERVER, VENDOR, and USE_SERVER lines to describe the license server.Fixed license files do not contain these entries. These license lines are structured as shown in the followingexample:

SERVER <hostname> <8 or 12 character host or NIC ID> <port>VENDOR <daemon> <path>USE_SERVER

The USE_SERVER line designates the license file as a floating license.

MNL-10652014.12.15 License File Troubleshooting 27

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 28: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

You can configure up to three redundant network license servers with the FLEXlm licensing scheme. Inthis case, there are three SERVER lines, one for each license server machine. The first server specified is themaster.

Typically, a license file contains multiple VENDOR lines; one for each required license vendor daemon.Some license files might use the DAEMON keyword instead of VENDOR.

Table 4: Elements of the SERVER and VENDOR Lines for Floating Licenses

Element Name Editable Description

hostname yes The host name of the server in the license.dat file.

8- or 12-character host or NICID

no The PC NIC or UNIX Host ID of the server. You mustsupply this ID to Altera when purchasing the license.

port n/a The port number.

daemon no The vendor daemon name:

• alterad daemon: Quartus II software• mgcld daemon: ModelSim-Altera software• armlmd daemon: ARM features of the ARM

Development Suite (ADS)

path yes The path to the vendor daemon on the server. Youmust edit this entry.

FEATURE and INCREMENT LinesAll Altera license files contain FEATURE, and possibly INCREMENT lines, to describe the software featuresthat are licensed by the license.dat file. Certain features use INCREMENT lines, which are structuredsimilarly as FEATURE lines. The FEATURE line is structured as shown in the following example:

FEATURE <name> <daemon> <version> <expiration> <# of licenses> <authcode> [<vendor_string>][<hostid_lock>][SIGN][SUPERCEDE] [<issuer>]

A backslash (\) character indicates that the FEATURE or INCREMENT line continues on the next line in thetext file. The last line of each FEATURE line does not require the backslash. Elements in brackets [ ] arepresent only in some license FEATURE or INCREMENT lines. The example below shows a FEATURE line,followed by an INCREMENT line.

FEATURE START# The following is a feature line for Quartus II Subscription EditionFixed# Number of seat licenses is 1# No license expiration dateFEATURE maxplus2 alterad 2011.04 permanent uncounted 6D103456930D \HOSTID=GUARD_ID=T000012345 SIGN="0116 7EF5 F487 4771 3456 9278 \4DA6 80CF 4131 95C6 1F1E D54F 8429 C807 6A8B 0A13 BC80 A11D 0F6E \AC08 4FA8 0B6E C267 9BBB 5791 C037 0FAD FB9E FFD8 5CF4"FEATURE quartus alterad 2011.04 permanent uncounted 08E2123438D3 \HOSTID=GUARD_ID=T000012345 TS_OK SIGN="0403 403E CF63 6460 \3C3D 2AA7 60B3 A074 77A7 670A 3C5D BF03 9391 3476 D1DA 14E7 56FA \0F63 1EE2 77C8 41C9 3495 A238 FF7C F507 6573 54FA F46C D42B C0B3"# FEATURE END######################################################################

28 FEATURE and INCREMENT LinesMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 29: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

INCREMENT alteramtivsim mgcld 2011.04 13-aug-2011 uncounted \6D7CE8FDD113FDB05C51 \VENDOR_STRING=2A865E73 HOSTID=GUARD_ID=T000012345 ISSUER="Altera" \SN=23912821 SIGN2="03BB 4774 F8FA 6E7A 4B71 5E3B FB9C 92B5 7B2F \3581 49EF 4558 0945 260B 23C6 1DE0 2BD4 3709 6945 5A70 CAD6 C22B \7A4E 67B7 C8FF 90BB B360 022C E3DC 32F8"# FEATURE END

Table 5: Elements of the FEATURE and INCREMENT Code Lines

Element Name Description

name The feature name.

daemon The name of the vendor daemon that manages the feature. This namecorresponds to a VENDOR line in network/server licenses.

version Any version of software released up to and including this date is licensed.Software with a later version date does not function using this license file.

expiration The date after which the software or service pack cannot be used. Theexpiration date applies to trial licenses. For Altera license subscriptions,the expiration is permanent.

# of licenses The number of concurrent users that can run each feature. For somelicense types, the entry is uncounted.

authcode An authorization code generated by Altera.

vendor_strings Specified for certain third-party license features.

hostid_lock Specified if a feature is node-locked to a software guard, NIC ID, or hard-disk serial number. Intellectual property (IP) MegaCore functions oftencontain node-locked feature lines in floating licenses.

• Software guard: HOSTID=GUARD_ID= <Software guard number>• Network interface card (NIC): HOSTID=<NIC ID>• Hard-disk serial number: HOSTID=DISK_SERIAL_NUM=<Hard-disk ID>

issuer The name of the ModelSim license issuer.

sign An authorization code generated by Altera.

TS_OK Enables remote login for fixed license Quartus II software (includes AlteraIP, Nios II Software Build Tools for Eclipse, and DSP Builder).

The following table describes the available Altera license features. This table does not include licensefeatures for any intellectual property (IP), development kits, or special license codes used for theQuartus II Web Edition software.

MNL-10652014.12.15 FEATURE and INCREMENT Lines 29

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 30: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Table 6: Available Altera License Features

Feature Name Description

quartus Quartus II software

alteramtivsim ModelSim-Altera VHDL and Verilog HDL Simulator (1)

alteramtivlog ModelSim-Altera Verilog HDL Simulator (2)

Notes:

1. The alteramtivsim license subscription supports both VHDL and Verilog HDL, but the softwaredoes not support mixed language simulation for ModelSim-Altera version 6.4a and later. ForModelSim-Altera version 6.3g_p1and earlier, the alteramtivsim license subscription supports VHDLonly.

2. The ModelSim-Altera alteramtivlog license subscription supports Verilog HDL only and is availablefor ModelSim-Altera Edition version 6.3g_p1 and earlier.

Using a FLEXlm Options FileIf your license file contains FEATURE and INCREMENT lines for the same software but different maintenancedates, the license server may grant newer licenses from the FEATURE line to users running older versions ofsoftware, which leaves fewer licenses for users running newer versions of software.

By default, the license server uses licenses from the first matching FEATURE or INCREMENT line in thelicense file. If all the licenses in the first FEATURE or INCREMENT line are in use, the license server attemptsto use the next FEATURE or INCREMENT if one is available, until it reaches the end of the license file. Youcan use a FLEXlm options file to control which users can access which licenses by creating pools oflicenses for each FEATURE and INCREMENT line.

To create a FLEXlm options file to use with a floating license server, follow these steps:

1. In a new text file, add a GROUP line for each user group you want to create, with a list of user names,separated by spaces for each group, as follows:

GROUP <group name> <username 1> <username 2>

Users can be members of more than one group as shown in the following example:

GROUP quartus2010 kjones bknight root administrator

GROUP quartus2009 bknight cface root administrator

2. Add an INCLUDE line for each INCREMENT and FEATURE line in your license file for the product you wishto control. The VERSION keyword must be set to the maintenance date or version date on theINCREMENT or FEATURE line from the license file. The GROUP field controls which group or groups canuse the license line as follows:

INCLUDE <product name>:VERSION=<maintenance date> GROUP <group name>

30 Using a FLEXlm Options FileMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 31: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

For example:

INCLUDE quartus:VERSION=2010.12 GROUP quartus2010INCLUDE quartus:VERSION=2009.06 GROUP quartus2009INCLUDE ip_base:VERSION=2010.12 GROUP quartus2010INCLUDE ip_base:VERSION=2009.06 GROUP quartus2009

3. Save the options file <filename>.dat.4. Add the options file as the last option on the VENDOR line in your license file, as shown in the following

example:

VENDOR alterad "C:\lic\alterad.exe" "C:\lic\alteraOptions.dat"

5. Restart your FLEXlm license server or reread your license file.

Related InformationRereading an Existing License File on page 23

License.dat Example FilesThis topic includes several example license.dat files. License files vary, depending on the type of licensesand the software that is enabled. License examples in this section should be used only for reference; theyare not valid for actual licensing.

Fixed PC Software Guard License ExampleThe example below shows a license.dat file that contains a license for the Quartus II software and theModelSim-Altera Edition software. This license file works on a PC that has software guard numberT000012345 attached to its parallel port.

#######################################################################FEATURE START# The following is a feature line for ModelSim Altera Edition# Number of seat licenses is 1# No license expiration dateINCREMENT alteramtivsim mgcld 2011.04 13-aug-2011 uncounted \ 6D7CE8FDD113FDB05C51 \ VENDOR_STRING=2A865E73 HOSTID=GUARD_ID=T000012345 \ ISSUER="Altera" \ SN=23912821 SIGN2="03BB 4774 F8FA 6E7A 4B71 5E3B FB9C 92B5 \ 7B2F 3581 49EF 4558 0945 260B 23C6 1DE0 2BD4 3709 6945 5A70 \ CAD6 C22B 7A4E 67B7 C8FF 90BB B360 022C# FEATURE END#######################################################################FEATURE START# The following is a feature line for Quartus II Subscription EditionFixed# Number of seat licenses is 1# No license expiration dateFEATURE maxplus2 alterad 2011.04 permanent uncounted 6D103456930D \ HOSTID=GUARD_ID=T000012345 SIGN="0116 7EF5 F487 4771 3456 9278 \ 4DA6 80CF 4131 95C6 1F1E D54F 8429 C807 6A8B 0A13 BC80 A11D \ 0F6E AC08 4FA8 0B6E c267 9BBB C037 0FAD FB9E FFD8 5CF4"FEATURE quartus alterad 2011.04 permanent uncounted 08E2123438D3 \ HOSTID=GUARD_ID=T000012345 TS_OK SIGN="0403 403E CF63 6460 \ 3C3D 2AA7 60B3 A074 77A7 670A 3C5D BF03 9391 3476 D1DA 14E7 \ 56FA 0F63 1EE2 77C8 41C9 3495 A238 F507 6573 54FA F46C FF7C \ D42B C0B3"# FEATURE END######################################################################

MNL-10652014.12.15 License.dat Example Files 31

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 32: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

End of Altera Corporation Software and/or Intellectual PropertyLicense File.Issued 5/13/2010

Floating Network License ExampleThe example below shows a license.dat file that contains a license for the Quartus II software, theModelSim-Altera Edition software, and the IP Base Suite. This license specifies that two users can run thesoftware simultaneously and works when the PC with NIC ID 00B0D0ABCCBA is set up as a license serverand the users point to the license location.

######################################################################SERVER <hostname> 00B0D0ABCCBA <port number>VENDOR alterad <path to daemon executable>USE_SERVER######################################################################FEATURE START# IP Base Suite (FIR, FFT, NCO, DDR, DDR2, DDR3, QDRII, DDR/DDR2# Library, RLDRAM II, SerialLite II, S2GXGEMAC)# Number of seat licenses is 2# No license expiration datePACKAGE ip_base alterad COMPONENTS="6AF7_0034 6AF7_0012 6AF7_0055 \ 6AF7_00A8 6AF7 00A7 6AF7_00A8 6AF7_00C2 6AF7_00BE 6AF7_00BF \ 6AF7_00A4 6AF7_00AC 6AF7 00AD 6AF7_0014" OPTIONS=SUITE \ IGN="1A76 FAF3 0CFA 9A0B D5A9 C867 4923 F223 AA3B C17B 6F11 \ 98E6 8056 178E A795 1F63 7695 1260 3D0C F662 2657 4AFF 25BD \ 3029 0C7C 1B3A 542A 3948 9F90 03CE" \FEATURE ip_base alterad 2012.05 permanent 2 D7564046983D \VENDOR_STRING="iiiiiiiihdLkhIIIIIIIIUPDuiaaaaaaaa11X38DDDDDDDDpjz5cddddddddJJJJJbqIhouuuuuuuuYYWiVVVVVVVVbp0FVHHHHHHHHBUEakffffffffD2FFRkkkkkkkkWL$84" \ DUP_GROUP=UHD SIGN="0A9A EB33 1A75 A16D 5949 B37B 015F 1A69 \ 9FD4 CED3 ABCE A628 9FDE 4846 455C 01E1 064B D881 C079 215C \ FBAE 86B2 AC3F 4210 F471 6298 A340 CFC8 BCDA C218"# FEATURE END######################################################################FEATURE START# The following is a feature line for Quartus II Subscription EditionFloating# Number of seat licenses is 2# No license expiration dateFEATURE maxplus2 alterad 2012.05 permanent 2 71781799E9FF \ SIGN="0D15 DBE8 1893 DA63 0658 4F28 D47C 64D8 A9AB 4E84 A3EE \ 4257 F592 753F E261 0FD9 C334 F2E9 C9A2 5316 CB42 EF0F 188D \ 7933 5134 6FDC 0BA8 6CCD FF8A 403D"FEATURE quartus alterad 2012.05 permanent 2 8D7C83160D8A SIGN="15F3 \ 2C48 65E9 1EAC 5E5F 19A0 1C53 7DF1 4BEA D4EA 422A 92C7 9CC0 \ 8B45 4A3D 0674 667C 1FB9 504E D960 8FC2 1B46 94C3 035B 3711 \ 651F D889 0B03 99F7 48EC"# FEATURE END####################################################################### End of Altera Corporation Software and/or Intellectural PropertyLicense File.Issued 05/13/2010

Quartus II HelpThe Quartus II software includes a platform-independent Help system that provides comprehensivedocumentation for the Quartus II software and more details about the specific messages generated by thesoftware.

32 Floating Network License ExampleMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 33: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Related InformationUsing Quartus II Help Effectively

Document Revision HistoryThe following table shows the revision history for this document.

Table 7: Document Revision History

Date Version Changes

2014.12.15 14.1 • Updated RPM package prerequisite informa‐tion for Red Hat Linux Enterprise 7 andModelSim-Altera Edition software and SoCEDS on systems running Red Hat LinuxEnterprise 7

• Added Microsoft redistributable packagerequirements for ModelSim-Altera Edition

• Updated FLEXlm license server version to11.11.1

• Updated available software in the "AlteraSoftware" topic

• Removed references to Windows XP andWindows Server 2003

• Updated terminology in the "AddingFloating Seats" topic

• Updated instructions for the "SettingWindows Environment Variables" topic

• Added a firewall example to the "Modifyingthe Network License File" topic

2014.18.08 14.0a10.0 • Updated RPM package prerequisite informa‐tion for Red Hat Linux Enterprise 6 andModelSim-Altera Edition software and SoCEDS on systems running Red Hat LinuxEnterprise 6

MNL-10652014.12.15 Document Revision History 33

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 34: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Date Version Changes

June 2014 14.0.0 • Updated "Cables and Ports" topic.• Updated "Upgrading or Setting Up a License

Manager Server" topic.• Updated "Quartus II General Environment

Variables" topic.• Updated "Download and Installation

Prerequisites" topic.• Removed refences to 32-bit Quartus II

software.• Added "Downloading and Installing Altera

Software Updates" topic.• Updated "Rehosting a License" topic.• Updated 32-bit libraries in "Download and

Installation Prerequisites" topic.• Updated "Modifying the Network License

File" topic.

November 2013 13.1.0 • Added references to Altera SDK for OpenCLin "Altera Software" topic.

• Updated information aboutdownloading .tar files in "InstallationOptions" topic.

• Removed note about installing softwareseparately from "Installing Device FamilySupport" topic.

May 2013 13.0.0 • Removed references to the TCP/IP protocolin "More Download, Installation, and SetupProcedures" topic.

• Removed references to SOPC Builder in"Supported Software Subscriptions" topic.

• Removed the "Individual Software Files"topic, and "Using the 64-Bit Version of theQuartus II Software for Linux" topic.

• Updated Altera Complete Design Suite DVDinformation in "Altera Software" topic.

• Removed reference to Windows Vista in"Cables and Ports" topic.

• Updated downloading, installing, anduninstalling Altera software information in"Altera Download Center" topic.

• Updated information about installing theFLEXlm software in "Upgrading the FLEXlmLicense Manager Server Software" topic.

34 Document Revision HistoryMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 35: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Date Version Changes

November 2012 12.1.0 • Updated Quartus II Web Edition informa‐tion in “Altera Software” topic.

• Added GIMP toolkit to the list of RPMpackages in “Download and InstallationPrerequisites” topic.

• Updated the “Mentor Graphics VerificationIP Altera Edition AMBA for AXI3 andAXI4” topic.

• Updated the “Self-Service Licensing Center”topic.

• Updated the environment variable in“Specify the License for the ModelSim-AlteraEdition Software” topic.

• Removed the "Quartus II NativeLinkEnvironment Variables" topic.

June 2012 12.0.0 • Updated Internet browser information in the“System Requirements” topic.

• Updated the RPM package information inthe “Download and Installation Prerequi‐sites” topic.

• Added the “Mentor Graphics Verification IPAltera Edition AMBA for AXI3 and AXI4”topic.

• Updated the “Obtaining a License File”topic.

• Updated the Mentor Graphics vendordaemon executable paths in the “Modifyingthe Network License File” topic.

• Updated the “Specify the License for theModelSim-Altera Edition Software” topic.

• Updated the “Quartus II NativeLinkEnvironment Variables” topic.

MNL-10652014.12.15 Document Revision History 35

Altera Software Installation and Licensing Altera Corporation

Send Feedback

Page 36: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Date Version Changes

November 2011 11.1.0 • Updated the “Altera Software” topic.• Updated the “Hardware” topic.• Updated the “Uninstalling Altera Software”

topic.• Updated the “Modifying Altera Software”

topic.• Updated the “Download and Installation

Prerequisites” topic.• Updated the “Quartus II Software Evaluation

Period” topic.• Updated the “UNIX Host ID” topic.• Updated the “Setting Up a Fixed License”

topic.• Updated the “Setting Up a Floating Network

License” topic.• Added the “Using a FLEXlm Options File”

topic.

May 2011 11.0.0 • Updated "Installing Altera Software" topic toincorporate changes with the Nios IIEmbedded Design Suite (EDS) installation.

• Updated the “Hardware” topic.• Updated the “Download and Installation

Prerequisites” topic.• Added the “Intellectual Property (IP) Cores”

topic.• Updated the “Development Kits Containing

the Quartus II Software” topic.• Updated the “Quartus II Software Evaluation

Period” topic.• Updated the “Licensing Requirements”

topic.• Updated the “Requesting a License File”

topic.• Removed the “Specifying the License for

Intellectual Property (IP) Cores, DSPBuilder, or Intellectual Property (IP) andDevelopment Kits” topic.

• Updated the “Upgrading the FLEXlmLicense Manager Server Software” topic.

• Updated the “Rereading an Existing LicenseFile” topic.

• Updated the “Quartus II Getting StartedTutorial” topic.

36 Document Revision HistoryMNL-1065

2014.12.15

Altera Corporation Altera Software Installation and Licensing

Send Feedback

Page 37: Altera Software Installation and Licensing · Altera Software Installation and Licensing 2014.12.15 MNL-1065 Subscribe Send Feedback About Altera Software Installation and Licensing

Date Version Changes

December 2010 10.1.0 • New document template.• Updated "Installing Altera Software" topic to

incorporate changes with the AlteraComplete Design Suite DVDs and devicesupport installation.

• Updated the default FLEXlm softwareversion in “Upgrading or Setting Up aLicense Manager Server” topic.

• Linked procedures to Help topics.

July 2010 10.0.0 Updated the manual to incorporate changesmade to the Altera Installer and the Self-ServiceLicensing Center.

November 2009 9.1.0 The new manual merges content from theQuartus II Software Installation & Licensing forWindows and Linux Workstations manual andAN 340: Altera Software Licensing.

How to Contact AlteraTo locate the most up-to-date information about Altera products, refer to the following table.

Table 8: Altera Contact Information

Contact (1) Contact Method Address

Technical support Website www.altera.com/support

Technical trainingWebsite www.altera.com/training

Email [email protected]

Product literature Website www.altera.com/literature

Nontechnical support (general) Email [email protected]

Nontechnical support (softwarelicensing)

Email [email protected]

Note to Table:

1. You can also contact your local Altera sales office or sales representative.

Related Information

• www.altera.com/support

MNL-10652014.12.15 How to Contact Altera 37

Altera Software Installation and Licensing Altera Corporation

Send Feedback