a study on metal gate electrodes with nano-sized grains ... · pdf filescalable la-silicate...
TRANSCRIPT
Doctoral Thesis
A Study on Metal Gate Electrodes with Nano-Sized Grains for
Scalable La-silicate Gate Dielectrics
A Dissertation Submitted to the Department of
Electronics and Applied Physics
Interdisciplinary Graduate School of Science and Engineering
Tokyo Institute of Technology
March 2014
11D36103 Kamale Tuokedaerhan
Supervisor: Prof. Hiroshi Iwai
Associate Prof. Kuniyuki Kakushima
i
Abstract Downscaling of the MOSFET has been the driving force for circuit performance
evolution. Feature sizes of MOSFET become smaller and billions of CMOS transistors
are integrated on a single chip. However, due to increased leakage current multiplied by
number of transistors, power consumption has increased to unacceptable level. Therefore,
new materials and new structures in MOSFET have been introduced to solve these issues.
High-k gate dielectrics have been studied as alternative gate dielectrics to replace
conventional SiO2. Among various high-k materials, La-silicate has attracted much
attention owing to high dielectric constant, wide band gap and amorphous structure with
fairly nice interface properties. Most importantly direct contact of high-k/Si structure
without any SiO2-based interfacial layer, can be easily achieved by reactively formation
of La-silicate after thermal annealing. However, degradations have been observed while
thinning the thickness, especially below an equivalent-oxide-thickness (EOT) of 1 nm.
The reason is anticipated to be the influence of gate electrode materials to degrade the
film properties by metal diffusion or/and metal induced defects. Thus, suitable metal gate
selection is necessary to improve the device performance. In addition, the crystal
structures and the size of grains in metal gates strongly impact on electrical properties of
MOS devices, such as threshold voltage variability, so that metal gates with grain less
than 5 nm or even amorphous structure are preferable. Therefore, in this study, metal gate
electrodes with nano-sized grains for scalable La-silicate gate dielectrics were
experimentally investigated.
In chapter 3, novel sputtering processes have been introduced to form nano-sized
metal gate. The process consists of multi-stacking of carbon and metal thin films with
subsequent annealing process to reactively form metal carbides (TiC, TaC and W2C).
ii
Grain sizes of the carbides are as small as 3.9, 3.2, and 1.9 nm for TiC, TaC and W2C,
respectively. Work functions of TiC, TaC and W2C layers have been extracted as 4.3, 4.7,
and 4.9 eV, respectively, relatively high values owing to oriented growth. Among three
carbides, W2C layer formed by the presented process gives a high potential to gate
electrode application in terms of grian size and oriented growth for scaled devices.
In chapter 4, electrical properties of La-silicate MOS devices and nMOSFET with
nano-sized tungsten carbide (W2C) gate electrode has been experimentally investigated.
Interface state density (Dit) was greatly suppressed by W2C gate electrodes and an
atomically flat metal/high-k and high-k/Si interfaces of 0.26 and 0.12 nm, respectively
has been achieved. We concluded that the interface state density caused by roughness at
La-silicate/Si interface and can be suppressed by adopting nano-size grains in metal gate
electrode. Electron effective mobility has shown improvements in both low and high
effective electric field, especially 163 cm2/Vs (Eeff=1 MV) at an EOT of 0.63 nm has been
demonstrated.
Reliability of La-silicate with different gate electrodes, such as tungsten carbide and
tungsten, has been measured by time-dependent dielectric breakdown and positive bias
temperature instability testing. Better reliability has been obtained by nano sized W2C
gate electrode owing to atomically flat high-k/Si interface.
iii
Contents Chapter 1 Introduction .................................................................................................... 1
1.1 MOSFET downscaling ............................................................................................ 2
1.2 Innovative solutions for improving MOSFET performance ..................................... 5
1.2.1 High-k/metal gate stack .................................................................................... 5
1.2.2 Multi gate FET ................................................................................................. 6
1.3 Introduction of high-k gate dielectrics ..................................................................... 7
1.3.1 Requirements for high-k gate dielectrics ........................................................... 7
1.3.2 La-silicate for gate dielectric application ......................................................... 13
1.4 Scaling issues for La-silicate gate dielectrics .......................................................... 16
1.5 Introduction of metal gate .................................................................................... 20
1.5.1 General requirements for metal gate materials ............................................... 20
1.5.2 Metal material candidates for La-silicate gate dielectrics ................................ 25
1.6 Purpose of this work ............................................................................................. 28
1.7 References ............................................................................................................ 30
Chapter 2 Fabrication and Characterization .................................................................. 37
2.1 Fabrication Procedure ............................................................................................. 38
2.1.1 MOS Capacitor and MOS Transistor Process Flow ........................................ 38
2.1.2 Substrate cleaning: SPM cleaning and HF treatment ...................................... 40
2.1.3 Electron-beam evaporation of La2O3 deposition .............................................. 40
2.1.4 RF magnetron sputtering ............................................................................... 42
2.1.5 Photolithography ........................................................................................... 42
2.1.6 Dry etching by reactive ion etching (RIE) ....................................................... 42
2.1.7 Vacuum evaporation for Al deposition ........................................................... 42
2.2 Characterization method ...................................................................................... 43
2.2.1 Interface state density by conductance method ............................................... 43
iv
2.2.2 Mobility measurement method based on split C-V .......................................... 45
2.3 References ............................................................................................................ 47
Chapter 3 Metal Carbides for Metal Gate Electrode Applications .................................. 48
3.1 Introduction ......................................................................................................... 49
3.2 Process for carbide formation ............................................................................... 50
3.3 Titanium carbide formation .................................................................................. 51
3.4 Tantalum carbide formation ................................................................................. 56
3.5 Tungsten carbide formation .................................................................................. 59
3.6 Conclusions .......................................................................................................... 65
3.7 References ............................................................................................................ 66
Chapter 4 Electrical Properties of La-silicate High-k with Tungsten Carbide ................. 70
4.1 Introduction ......................................................................................................... 71
4.2 Interface properties of La-silicate with tungsten carbide gate electrode ................. 74
4.3 Experimental results of MOSFETs ....................................................................... 83
4.4 Conclusions .......................................................................................................... 86
4.5 References ............................................................................................................ 87
Chapter 5 Reliability of La-silicate High-k with Tungsten Carbide Gate Electrode ........ 93
5.1 Introduction ......................................................................................................... 94
5.2 Time dependent dielectric breakdown (TDDB) ..................................................... 95
5.3 Positive bias temperature instability (PBTI) .......................................................... 97
5.4 Conclusions .......................................................................................................... 98
5.5 References ............................................................................................................ 99
Chapter 6 Summary and Conclusions .......................................................................... 100
Publications and Presentations .................................................................................... 102
Acknowledgments ........................................................................................................ 105
1
Chapter 1 Introduction
1.1 MOSFET down scaling
1.2Innovative solutions for improving MOSFET performance
1.2.1 High-k/metal gate stacks
1.2.2 Multi gate FET
1.3 Introduction of high-k gate dielectrics
1.3.1 Requirements for high-k gate dielectrics
1.3.2 La-silicate for gate dielectric application
1.4 Scaling issues for La-silicate gate dielectrics
1.5 Introduction of metal gate
1.5.1 General requirements for metal gate materials
1.5.2 Metal material candidates for La-silicate gate dielectrics
1.6 Purpose of This Study
1.7 References
2
1.1 MOSFET downscaling
High-technology electronic products such as smart phones, laptops and digital
cameras have already been a part of our life. The main device used for these products are
based on complementary metal-oxide-semiconductor (CMOS) field effect transistors
(FETs). Since the first integrated circuits demonstrated in the year of 1971, the
performance of CMOS devices has continued to improve over a forty year times by
scaling rule. The scaling rule is called as Moore`s law [1.1]. According to this rule, the
device voltages, device dimensions, drive current, capacitances and circuit delay time
should be reduced by the same factor k, and at the same time power dissipation per circuit
is reduced by k2. CMOS technology continues to advance its generations every two years,
and the device feature size decreases each year. However, power consumption caused by
leakage current increased to unacceptable level [1.2], as shown in figure 1.1.
Figure 1.1 Power consumption trend with gate length scaling [1.2]
3
Simple scaling is no longer an option for improvements in MOSFET performance,
therefore, new technologies such as strained channel, high-k/metal gate stack, and multi-
gate transistor (FinFET) is extensively studied for further scaling, as shown in figure 1.2
[1.3]. Among these technologies, high-k/gate stack (combination of gate dielectrics and
gate electrode) is quite important for planner and also for advanced type of MOSFETs.
Until recently, the most commonly used CMOS structures were doped poly-silicon
gates on SiO2 gate insulator, as shown in figure 1.3. SiO2 has been used as ideal gate
dielectrics for forty years due to nice interface properties and good thermal stability [1.4].
However, with thinning the thickness of SiO2 along with down-scaling, this system has
faced the thickness limit due to the excess leakage current caused by tunneling gate
leakage current and the appearance of short channel effects [1.5]. As a result, power
consumption increases to unacceptable level [1.6]. This physical limitation imposed by
SiO2 has led the research into the study of novel material of a physically thicker layer of
oxide with high dielectric constant (high-k materials) and new structure advanced type of
MOSFETs, such as FinFET and Nanowire structures.
4
Figure 1.2 Device scaling roadmap [1.3]
Figure 1.3 Schematic illustration of conventional MOSFET structure
Si sub.
SiO2
Poly-Si
S D
5
1.2 Innovative solutions for improving MOSFET
performance
1.2.1 High-k/metal gate stack
As CMOS technology continues to scale down to increase the drive current, high-k
gate dielectrics have been studied as alternative gate dielectrics to replace conventional
SiO2 to suppress the leakage current and to allow further scaling. Many challenges are
reported in literatures in replacing SiO2 with high-k for high-performance CMOS [1.7,
1.8], and meanwhile metal thin layers have become the primary gate materials together
with high-k gate dielectrics for scaled devices to eliminate the problems associated with
poly-Si electrodes, as shown in Figure 1.4. In 2007, Intel Corp. introduced high-k/metal
gate stack into their 45-nm node devices. Figure 1.5 shows that the introduction of high-
k dielectric and metal gate has performed gate leakage current suppression by more than
10 times, indicating further equivalent oxide thickness (EOT) scaling can be possible
[1.9].
Figure 1.4 High-k gate dielectrics for further scaling
SiO2
Poly-Si
S D
High-k
Metal
S D
6
High-k materials make it possible to thicken physical thickness with the same EOT,
which means physical thickness can be thickened with the same gate capacitance. The
capacitance and EOT can be written as,
where,SiO2 and high-k are the permittivity of SiO2 (=3.9) and that of high-k materials,
respectively.
Figure 1.5 Gate leakage as a function of oxide thickness
at various technology nodes [1.9]
1.2.2 Multi gate FET
Downscaling of the conventional planar MOSFETs has required continuing efforts
to suppress short channel effects. Recently, multi-gate and nanowire FETs with three-
dimensional (3D) channels have drawing much interest duo to the excellent short-
phystEOTAt
Ckhigh
SiO
ox
ooxox
2,
7
channel-effect immunity. Therefore, MOS transistor with 3D channels has been
considered as one of the promising candidates to overcome the scaling issues in planar
FETs , as shown in figure 1.6 [1.10].
Figure 1.6 Device structure evolution with technology node [1.10]
1.3 Introduction of high-k gate dielectrics
1.3.1 Requirements for high-k gate dielectrics
Selection of high-k materials is very important. In the past years, many companies,
universities and research organizations spent efforts investigating a wide range of high-k
materials candidates for continue scaling of MOSFET. The main criteria for selecting
high-k materials were manufacturability, integration capabilities, and transistor
performance. There are some requirements for selection of high-k materials, such as high
dielectric constant, wide band gap, conduction/valence band offsets with Si substrates,
thermal stability, nice electrical interfaces, amorphous phase and direct contact with
8
silicon substrate [1.11]. Figure 1.7 shows the relationship of static dielectric constant and
band gap for candidate gate oxide, where one can observe a decreasing trend in bandgap
with higher dielectric constant [1.11]. There are a lot of materials are researched to
accommodate new gate insulator for MOSFET, especially metal oxide like Al2O3, ZrO3,
HfO2 and rare earth oxides have been expected to be the gate insulator for nest generation.
Among them, Hf-based and La-based high-k materials have attracted much attention to
replace SiO2 gate dielectrics in advanced CMOS applications [1.12, 1.13].
Figure 1.7 Relationship of static dielectric constant and band gap
for candidate gate oxide
Due to further scaling of EOT, direct contact of high-k/Si structure is indispensable [1.14,
1.15]. According to reports, a thin layer of SiO2 is typically formed as interfacial layer to
suppress the increase in interface state density or inversion carrier mobility degradation,
as shown in figure 1.8 [1.16]. However, the interfacial layer between high-k dielectric
and Si substrate, may limited further EOT scaling. Based on International Technology
Roadmaps for Semiconductor (ITRS) roadmap for planar bulk MOSFET, as shown in
9
figure 1.9 [1.18], it can be seen that EOT of 0.5 nm or beyond is required in near future.
Therefore, removal of SiO2 interfacial layer is an essential problem in high-k/metal gate
stacks for further EOT scaling because of a low dielectric constant of SiO2, although the
interfacial layer is prepared for recovery from the degradation of effective mobility duo
to the interfacial properties of high-k and Si interface. Therefore, for further scaling of
EOT, direct contact of high-k dielectric and Si substrate is indispensable, as shown in
figure 1.10.
Figure 1.8 SiOx-interfacial layer growth at HfOx/Si interface [1.16]
10
Figure 1.9 Scaling roadmap of planar bulk MOSFET [1.18]
Figure 1.10 High-k/Si direct contact for scaled EOT beyond 0.5nm
Literatures show that direct contact of high-k/Si structure can be achieved with Hf-
based high-k materials by SiO2 interfacial layer scavenging technique as shown in figure
1.11 [1.15]. In this report, control of O2 partial pressure is the key technology to achieve
a direct contact of HfO2/Si structure. However the issue is with deceasing of interface
layer, same time the mobility is decreased, as shown in figure 1.12 [1.17].
Si
SiO2
High-kMetal
Si
High-k
Metal
With SiO2 IL High-k/Si direct contact
11
Figure 1.11 Reports on direct high-k/Si [1.15]
Figure 1.12 Relationship of interfacial layer thickness and mobility [1.17]
Crystallization becomes also a big issue of Hf-based oxide for gate dielectric
application [1.11]. HfO2 is phase separator materials, so that once it makes reaction with
Si substrates, SiO2 interfacial layer is formed [1.19]. Grain boundaries in a poly-
crystalline oxide act as diffusion paths for dopants, so that these increase leakage current.
12
Unlike SiO2, high-k oxides usually have low crystalline temperature and can easily
crystallize at thermal treating process [1.22]. It was reported that a relation exists between
channel effective mobility and crystallinity, as shown in figure 1.14, [1.23]. For both
HfON and HfSiON lack clear crystallinity in regions of high mobility (>220 cm2/Vs).
Conversely, crystallinity is observed in the region of low mobility (<200 cm2/Vs), in this
case, strained or broken bonds present along crystalline grain boundaries may negatively
impact device mobility. Therefore, using an amorphous oxide has many advantages over
a poly-crystalline oxide, so that it is important to select amorphous gate oxide for gate
dielectric application.
Figure 1.13 Hf-based oxide suffer from
crystallization [1.11, 1.19]
13
Figure 1.14 Mobility and EOT relationship [1.23]
1.3.2 La-silicate for gate dielectric application
Among high-k materials, La-silicate gate dielectrics are regarded as promising
candidates for gate dielectric materials for further EOT scaling, owing to high dielectric
constant (k~20), wide band-gap, amorphous structure and fairly nice interface properties
[1.20]. An EOT of 0.62 nm accompanied by superior interfacial properties has been
reported with a direct contact La-silicate/Si interface structure and an effective electron
mobility of 155 cm2/Vs has been demonstrated [1.21]. An advantage for La-silicate gate
dielectrics is that a direct contact of high-k/Si structure can be easily achieved by simply
depositing La2O3 on Si substrate owing to the reactively formation of La-silicate by the
reaction between La2O3 and Si during annealing process, as shown in figure 1.15 [1.20].
La2O3+nSi+mO2~La(SiO4)n [1.24], dielectric constant of La-silicate dependent to supply
of oxygen atoms, excess supply of oxygen atom increase dielectric constant due to
formation of Si-rich La-silicate [1.20]. Thus, control of oxygen partial pressure is the key
14
for processing.
Figure 1.15 La-silicate for gate dielectrics [1.20]
Figure 1.16 Amorphous La-silicate for gate dielectrics
Si sub.
La2O3
WTiN
Si
Si sub.
La2O3
WTiN
Si
Si sub.
La-silicateW
TiN
Si
Si sub.
La-silicateW
TiN
Si
annealing (800oC)
15
La-silicate is amorphous, as shown in figure 1.16. it is good advantage for gate
dielectric application, and meanwhile La-silicate with Si substrate has fairly nice interface
properties. It has been reported that the interface state density at the La-silicate/Si
interface is reduced by increasing annealing temperature [1.21, 1.25], as shown in figure
1.17, with high temperature annealing interface state density can be as low as 1011 cm-
2/eV. Thanks to a fairly nice interface property of La-based dielectrics, a peak effective
mobility of over 300cm2/Vs has obtained [1.26].
Figure 1.17 Interface state density and annealing temperature relationship [1.20]
10-9
10-8
10-7
10-6
Cha
rge
pum
ping
cur
rent
[A
]
104
105
106
Frequency [Hz]
Dit = 2 x 1012 [cm-2/eV]
Dit = 5 x 1011 [cm-2/eV]
Dit = 1.6 x 1011 [cm-2/eV]
500oC
700oC
800oC
16
Figure 1.18 comparison
of gate channel capacitance for (100) and (110) oriented nMOSFETs with deposited Si
layer [1.27]
La-silicate gate dielectrics have advantage for 3D channel device application duo to
no orientation dependent EOT change [1.27]. Figure 1.18 shows that owing to reactively-
formation of La-silicate gate dielectrics, no significant difference relating to the EOT
between (100) and (110) orientation. Therefore, La-silicate regarded potential candidate
for gate dielectric application.
1.4 Scaling issues for La-silicate gate dielectrics
La-silicate gate dielectrics are regarded as promising candidates for gate dielectric
materials for further EOT scaling. However, serious problem are still remained to be
solved. One of the serious issues in high-k/metal gate stacks is degradation of effective
mobility trend with EOT scaling, as shown in Figure 1.19. For both Hf-based and La-
based high-k dielectric device, further thinning of the oxide thickness or EOT induced
4
3
2
1
0Gat
e-C
hann
el C
apac
itanc
e [
F/c
m2]
-1 -0.5 0 0.5 1Gate Voltage [V]
100kHz 500kHz 1MHz
L / W = 20 / 20m4
3
2
1
0Gat
e-C
hann
el C
apac
itanc
e [
F/c
m2]
-1 -0.5 0 0.5 1Gate Voltage [V]
100kHz 500kHz 1MHz
L / W = 20 / 20m4
3
2
1
0Gat
e-C
hann
el C
apac
itanc
e [
F/c
m2]
-1 -0.5 0 0.5 1Gate Voltage [V]
100kHz 500kHz 1MHz
L / W = 20 / 20m4
3
2
1
0Gat
e-C
hann
el C
apac
itanc
e [
F/c
m2]
-1 -0.5 0 0.5 1Gate Voltage [V]
100kHz 500kHz 1MHz
L / W = 20 / 20m
EOT = 0.75nm
EOT = 0.73nm
La-silicate on Si(100) La-silicate on Si(110)
17
degradation of effective mobility [1.20, 1.28].
Figure 1.19 A benchmark of high-field electron mobility at 1MV/cm [1.28]
It was reported that several sources have been responsible for mobility reduction, as
shown in figure 1.20. 1. Mobility is limited by Columbic scattering by metal induced
defects, metal atom diffusion and oxygen vacancy formation, therefore suppression of the
formation of metal induced defects in the high-k layer is important. 2. It is limited by
roughness scattering by metal/high-k and high-k/Si roughness [1.10]. The interface of
metal/high-k and high-k/Si is played important role in CMOS devices, in terms of
roughness of metal/high-k and high-k/Si interfaces, and the absence of interface defects,
or interface state density [1.20, 1.29].
220
200
180
160
140
120
Ele
ctro
n M
obili
ty [
cm2/V
sec]
10.90.80.70.60.5EOT [nm]
at 1MV/cmT = 300K
Open : HfO2 ref [?]
Fill : La-silicate ref [?]
18
Figure 1.20 A schematic illustration of mobility reduction trend with EOT scaling
Figure 1.21 Scaling issues for La-silicate on interface properties
Figure 1.21 shows scaling issues for La-silicate due to interface properties, at relatively
large EOT, the interface density was determined by La-silicate/Si interface, as mentioned
above, so that interface roughness of La-silicate/Si can be one of the sources for
degradation of effective mobility, the interface roughness as modeling in Figure 1.22. At
scaled EOT, typically below 1 nm, interface mixing at metal/high-k interface influences
the interface state density, thus it is necessary to minimize the both interfaces for EOT
19
scaling.
There is another issue on direct contact of high-k/Si, strain in the Si substrate. As shown
in 1.22, one can observe the presence of stress applied below high-k layer, and not under
SiO2 layer. The possible reasons are thermal expansion difference, less viscous flow of
high-k dielectric and stress form high-k or/and metal gate.
Figure 1.22 issues on direct contact of high-k/Si
Another serious issue in high-k/metal gate stacks is reliability. Aggressive scaling of
gate oxide thickness in CMOS transistors has caused the reliability of ultrathin dielectrics.
It is therefore important to investigate the reliability of La-silicate gate dielectrics.
20
1.5 Introduction of metal gate
1.5.1 General requirements for metal gate materials
Doped poly-silicon has been used as a gate electrode for CMOS devices for past few
decades. However, with continued down-scaling of MOSFET, this system started to
exhibit some serious problems, such as the poly-depletion effect at the poly-Si/Silicon
oxide interface, the boron penetration, especially for ultra-thin SiO2, the boron would
diffuse from the gate and into the channel region causing unwanted doping, resulting in
the degradation of mobility and reliability, the high gate resistance, and poor compatibility
with high-k gate dielectrics [1.31-1.34], therefore, the research focus is shifted to metal
gate electrodes. It is realized that high-k gate dielectrics must be implemented in
conjunction with metal gate electrodes to get sufficient potential for CMOS continuous
scaling. In order to improve transistor performance, the gate electrode material must to
have some specific properties, such as thermal stability against agglomeration, stability
against phase separation, small resistivity, proper work function for n-FETs and p-FETs,
small grain size less than 5 nm, and less orientation dependent on work function
variability [1.36]. Along with EOT scalability, these requirements should be satisfied at
the same time, but it is difficult to find metals satisfying all of these requirements.
Commonly nitrides (TiN, TaN, etc) with midgap work function used for gate
electrodes. According to reports these nitrides suffer from orientation induced work
function variability, shown in table 1.1 [1.38].
21
Table 1.1 Reported orientation dependent work function [1.38]
One of the serious problems is threshold voltage variability. This is due to the
polycrystalline nature of the metal gates, as shown in figure 1.23. With decreasing of gate
length, the gate length becomes comparable to the gate metal grain size, the grain
orientation distribution and hence work function distribution no longer averages out. This
causes the threshold voltage to vary from device to device since the threshold voltage is
directly related to the gate work function. Thus, metal gates with grain size less than 5
nm or amorphous are preferable [1.37], as in Figure 1.24.
22
Figure 1.23 A schematic illustration of polycrystalline gate metal on short channel
device
Figure 1.24 Polycrystalline granular structure influence of Vth [1.35]
Lg
Vth
23
Polycrystalline granular structure of metal electrodes has been found to be dominant
in Vth, as shown in Figure 1.24, when the gate dimensions become comparable to the
grain size of metal electrodes, due to work function variability of different
crystallographic orientation in the metal grains. Recent modeling studies have revealed
that the amount of work function variability to Vth cannot be reduced when only one
grain covers the entire gate surface area. An intuitive approach to solve the work function
variability is to use nano-sized grains or amorphous metals, which average out the work
functions. Indeed, carbon doped TiN gates with nano-sized grains have shown reduced
Vth with small transistors [1.36], as shown in figure 1.25. Furthermore, formation of an
amorphous metal alloy with Ta40W40Si10C10 has been demonstrated with thermal stability
up to 1100 oC, thus expected to solve the problem [1.36]. Although compositional control
in the alloy is still necessary, an easy process to form metal layers with nano-sized grains
or amorphous is necessary for reducing the Vth for extremely scaled devices,
Figure 1.25 An example of amorphous metal gate [1.36]
24
Table 1.2 shows structure of metal gates on Vth and its effective work function. Nano-
grains and amorphous gates present average work function duo to various facets and
different bonding angles at metal/high-k interface, giving less controllability to WF,
shown in Figure 1.26. As shown in figure 1.27, highly growth of CVD-TiN effective for
suppresss threshold voltage variability [1.39].
Table 1.2 Structure of metal gates on Vth and its effective work function.
Figure 1.26 Different bonding angles at metal/high-k interface
Si sub.Gate dielectricsGate oxide
Nano-sized grains Amorphous
1 2 3
1 = 2 = 3
average electronic dipoles
High-k
MetalM M M
M
(random)
O O O
25
Figure 1.27 Schematic diagram for the work function deviation metal (crystal
orientation deviation model) [1.39]
1.5.2 Metal material candidates for La-silicate gate dielectrics
La-silicate formed by reaction of La2O3 and Si substrate during annealing process,
as shown in figure 1.29. La2O3+nSi+mO2~La (SiO4)n [1.20], dielectric constant of La-
silicate dependent to supply of oxygen atoms, thus proper oxygen atom supply is needed.
Excess supply of oxygen atom increase dielectric constant due to formation of Si-rich La-
silicate [1.20]. Less supply of oxygen atoms let remain residual La2O3 layer. So, oxygen
atoms should be released after annealing process. Therefore, metal layer should contain
proper oxygen atoms for silicate reaction. TiN, TaN do not contain oxygen, they react to
form TiOx, TaOx, instead. In all metals, tungsten (W) and molybdenum (Mo) are
considerate metal gate candidates for La-silicate due to the nature of oxygen contain
materials, but, same time they must to satisfy the general requirements mentioned above,
such as small grain size. Grain size should be less than 5 nm due to suppress work function
26
variability. Following nitrides, carbides, and borides of W and Mo will be considerate for
metal material candidates for La-silicate gate dielectrics.
Figure 1.28 La-silicate formation
Table 1.3 tungsten nitrides [1.40]
According to reports, grain size of 2.6 nm can be obtain by changing of N contents, as
shown in table 1.3 [1.40], but the issue is properties of WNx films are sensitive to N atom
contents, it is difficult to control N content, because N is introduces as gas like N2 or NH3.
The issue of borides as gate metal application for La-silicate gate dielectrics is mixture of
phase, for example, WB, WB2, Mo2B, MoB, Mo2B5, MoB4. Therefore the most potential
candidate for gate metal application for La-silicate is carbides, tungsten carbides (W2C,
27
WC) and molybdenum carbides (MoC, Mo2C), as shown in figure 1.29 and 1.30, but
question is how we can obtain carbides at low temperature, and same time small grain
sized carbide? A novel process is needed to solve this problems,
Figure 1.29 Phase diagram of Mo and C atoms [1.41]
Figure 1.30 Phase diagram of W and C atoms [1.42]
28
1.6 Purpose of this work
The purpose of the thesis is demonstrate and provide guideline on proper metal gate
selection for scalable La-silicate gate dielectrics.
1. A process for metal gate formation with nano-sized grains
2. Interface property improvement
3. Reliability improvement
Figure 1.31 Purpose of this study
This thesis is consisted of 6 chapters. Figure1. 32 shows the contents of this thesis.
In chapter 3, a novel process, multi-stacking of carbon and metal thin films with
subsequent annealing process to reactively form metal carbides has been investigated.
Nano sized TiC, TaC and W2C has been introduced for gate electrode application. In
chapter 4, electrical characteristics of La-silicate MOS and MOSFET devices with nano-
sized tungsten carbide gate electrode has been experimentally investigated. Atomically
flat MF/HK and HK/Si interface can be achieved. In the chapter 5, reliability of La-
silicate gate dielectrics have been investigated with different metal electrodes, by TDDB
and PBTI. Finally, chapter 6 summarizes of this study and future work. The outline of the
thesis is shown in figure 1.32.
29
Figure 1.32 Outline of the thesis
30
1.7 References
[1.1] R. H. Dennard, F. H. Gaensslen, H. N. Yu, V. L. Rideout, E. Bassous, and A. R.
LeBlanc, “Design of ion-implanted MOSFET’s with very small physical dimensions,
IEEE J. Solid-State Circuits”, vol. SC-9, pp. 256-268, 1974.
[1.2] W. F. Clark, “FEOL process challenges”, VLSI short course, 2007.
[1.3] K. Miyamoto, “Technology scaling and roadmap”, IEDM short course, 2006.
[1.4] S. D. Kosowsky, P.S. Pershan, K. S. Krisch, J. Bevk, M. L. Green, D. Brasen, L. C.
Feldman, and P. K. Roy, “Evidence of annealing effects on a high-density Si/SiO2
interfacial layer”, Appl. Phys. Lett., Vol. 70, pp: 3119, 1997.
[1.5] C. Auth, C. Allen, A. Blattner, D. Bergstrom, M. Brazier, M. Bost, et al. “A 22 nm
high performance and low-power CMOS technology featuring fully-depleted trigate
transistors, self-aligned contacts and high density MIM capacitors”. In: VLSI Symp
tech dig,. pp: 131–133, 2012.
[1.6] R. Chau, S. Datta, M. Doczy, J. Kavalieros, and M. Metz, “Gate dielectric scaling
for high-performance CMOS: from SiO2 to high-k” Ext. Abst. of International
Workshop on Gate Insulator (IWGI)., pp. 124-126, 2003.
[1.7] X. H. Zhu, el al., “Challenges in atomic scale characterization of high-k dielectrics
and metal gate electrodes for advanced CMOS gate stacks”, J. Mater. Sci. Technol.,
31
Vol. 25, pp: 289-314, 2009.
[1.8] K. Mistry, et al., “A 45nm Logic technology with high-k +metal gate transistors,
strained silicon, 9 Cu interconnect layers, 193nm dry patterning, and 100% Pb free
packaging”, IEEE, pp: 247-250, 2007.
[1.9] T. Y. Hoffmann, “Integrating high-k/metal gates: gate-first or gate-last”,
http://electroiq.com/blog/2010/03/integrating-high-k/
[1.10] H. Iwai, “Roadmap for 22nm and beyond”, Microeleconic Engineering, Vol. 86,
pp: 1520-1528, 2009.
[1.11] J. Robertson, “High dielectric constant oxides”, Eur. Phys. J. Appl. Phys., Vol. 28,
pp: 265-291, 2004.
[1.12] K. Akiyama, W. Wang, W. Mizubayashi, M. Ikeda, H. Ota, T. Nabatame, and A.
Toriumi, “Vfb roll-off in HfO2 gate stack after high temperature annealing process”,
VLSI Technology Digest of Technical Paper, pp: 72-73, 2007.
[1.13] G. Pant, et al., “Effect of thickness on the crystallization of ultrathin HfSiON gate
dielectrics”, APL, Vol. 88, pp: 032901, 2006.
[1.14] J. Huang, et al., “Gate first high-k/metal gate stacks with zero SiOx interface
achieving EOT=0.59nm for 16nm application”, VLSI, pp: 34-35, 2009.
32
[1.15] K. Choi, et al., “Extremely scaled gate-first high-k/metal gate stack with EOT of
0.55nm using novel interfacial layer scavenging techniques for 22nm technology
node and beyond”, VLSI, pp: 138-139, 2009.
[1.16] C. D. Young, P. Zeitzoff, G. A. Brown, G. Bersuker, B. H. Lee, and J. R. Hauser,
“Intrinsic mobility evaluation of high-k gate dielectric transistors using pulsed Id-
Vg”, IEEE EDL, Vol. 26, pp: 586-589, 2005.
[1.17] T. Ando, et al., “Understanting mobility mechanisms in extremely scaled HfO2
(EOT 0.42nm) using remote interfacial layer scaveging technique and Vt tuning
dipoles with gate first process”, IEEE IEDM, pp: 423-426, 2009.
[1.18] A. Toriumi, “Advanced gate stack”, IEDM short course, 2006.
[1.19] A. I. Kingon, et al., IWGI, pp36, 2001.
[1.20] K. Kakushima, et al., “Interface and electrical property of La-silicate for direct
contact of high-k with silicon”, Solid State Electron., Vol. 54, pp: 715-719, 2010.
[1.21] T. Kawanago, et al., “EOT of 0.62 nm and high electron mobility in La-silicate/Si
structure base nMOSFETs achieved by utilizing metal-inserted poly-Si stacks and
annealing at high temperature”, IEEE Trans. ED, Vol. 59, pp: 269-276, 2012.
[1.22] M. A. Quevedo-Lopez, M. El-Bouanani, M. J. Kim, B. E. Gnade, R. M. Wallace,
33
M. R. Visokay, A. Lifatou, M. J. Bevan, and L. Colombo, “Boron penetration
studies from p+ polycrystalline Si through HfSixOy”, APL, Vol. 81, pp: 1074-1077,
2002.
[1.23] Y. Yamamoto, K. Kita, K. Kyuno, and A. Toriumi, “Structural and electrical
properties of HfLaOx films for an amorphous high-k gate insulator”, APL, Vol.
89, pp: 032903, 2006.
[1.24] P. D. Kirsch, M. A. Quevedo-Lopez, S. A. Krishnan, and B. H. Lee, “Mobility and
charge trapping comparison for crystalline and amorphous HfON and HfSiON gate
dielectrics”, APL, Vol. 89, pp: 242909, 2006.
[1.25] J. A. Ng, et al., “Effective mobility and interface-state density of La2O3 nMISFETs
after post deposition annealing”, IEICE Electron. Express, Vol. 3, pp: 316-321,
2006.
[1.26] T. Kawanago, K. Kakushima, P. Ahmet, Y. Kataoka. A. Nishiyama, N. Sugii, K.
Tsutsui, K. Natori, T. Hattori, and H. Iwai, “Comparative study of electrical
characteristics in (100) and (110) surface-oriented nMOSFETs with direct La-
silicate/Si interface structure”, Solid State Electr., Vol. 84, pp: 53-57, 2013.
[1.27] T. Ando, M. M. Frank, K. Choi, C. Choi, J. Bruley, M. Hopstaken, M. Copel, E.
Cartier, A. Kerber, A. Callegari, D. Lacey, S. Brown, Q. Yang, and V. Narayanan,
“Understanding mobility mechanisms in extremely scaled HfO2 (EOT 0.42 nm)
34
using remote interfacial layer scavenging technique and Vt-tuning dipoles with
gate-first process”, IEDM, pp. 423–426, 2009.
[1.28] D. Kitayama, et al., “Silicate reaction control at Lanthanum oxide and silicon
interface for equivalent oxide thickness of 0.5 nm: adjustment of amount of
residual oxygen atoms in metal layer”, JJAP, Vol. 50, pp: 10PA05, 2011.
[1.29] A. R. Brown, G. Roy, and A. Asenov, “Poly-Si-Gate-Related variability in
decananometer MOSFETs with conventional architecture”, IEEE Trans. Electron
Devices, Vol. 54, pp: 3056-3063, 2007.
[1.30]A. Yagishita, T. Saito, K. Nakajima, S. Inumiya, K. Matsuo, T. Shibata, Y.
Tsunashima, K. Suguro, and T. Arikado, “Improvement of threshold voltage
deviation in damascene metal gate transistors”, IEEE Trans. Electron Dev. Vol. 48,
pp: 1604-1613, 2001.
[1.31] P. Habas, and J. V. Faricelli, “Investigation of the physical modeling of the gate
depletion effect”, IEEE Trans. Electron Dev., Vol. 39, pp: 1496-1450, 1992.
[1.32] K. Shenai, “Gate resistance limited switching frequencies for power MOSFETs”,
IEEE Electron Dev. Lett., Vol. 11, pp:544-546, 1990.
[1.33] J. R. Pfiester, F. K. Baker, T. C. Mele, H. H. Tseng, P. J. Tobin, J. D. Hayden, J. W.
Miller, C. D. Gunderson, and L. C. Parrillo, “The effects of boron penetration on p+
35
polysilicon gated PMOS devices”, Trans. Electron Dev., Vol. 37, pp: 1842-1852,
1990.
[1.34]E. Ikenaga, I. Hirosawa, A. Kitano, Y. Takata, A. Muto, T. Maeda, K. Torii, H.
Kitajima, T. Arikato, A. Takeuchi, M. Awaji, K. Tamasaku, T. Ishikawa, S. Komiya,
and K. Kobayashi, “Interface reaction of poly-Si/high-k insulator systems studied
by hard X-ray photoemission spetroscopy”, J. Electron. Spectrosc. Relat. Phenom.,
Vol. 144, pp: 491-494, 2005.
[1.35] K. Ohmori, T. Matsuki, D. Ishikawa, T. Morooka, T. Aminaka, Y. Sugita, T.
Chikyow, K. Shiraishi, Y. Nara, and K. Yamada, “Impact of additional factors in
threshold voltage variability of metal/high-k gate stacks and its reduction by
controling crystalline structure and grain size in the metal gates”, IEDM, pp: 409-
411, 2008.
[1.36] M. E. Grubbs, X. Zhang, M. Deal, Y. Nishi, and B. M. Clemens, “Development
and characterization of high temperature stable Ta-W-Si-C amorphous metal gates”,
Appl. Phys. Lett., Vol. 97, pp: 223505, 2010.
[1.37]S. H. Rasouli, C. Xu, N. Singh, and K. Banerjee, IEEE Electron Dev. Lett. Vol. 32,
pp:1507, 2011.
[1.38] H. F. Dadgour, Tans. ED. Vol. 57, pp: 2515, 2010.
36
[1.39] A. Yagishita, T. Saito, K. Nakajima, S. Inumiya, K. Matsuo, T. Shibata, Y.
Tsunashima, K. Suguro, T. Arikado, “Improvement of threshold voltage deviation
in damascene metal gate transitors”, TED, Vol. 48, pp: 1604, 2001.
[1.40]Pei-chen jiang, Et al., Appl. Phys. Let. Vol. 89, pp:122107, 2006.
[1.41] J. Lu, H. Hugosson, O. Eriksson, L. Nordstrom, U. Jansson, “Chemical vapour
deposition of molybdenum carbides: aspects of phase stability”, Thin Solid Films,
Vol. 370, pp: 203-212, 2000.
[1.42] A. S. Kurlov, and A. I. Gusev, “Tungsten carbides and W-C phase diagram”,
Inorganic materials, Vol. 42, pp: 121-127, 2006.
37
Chapter 2 Fabrication and
Characterization
2.1 Fabrication Procedure
2.1.1 MOS Capacitor and MOS Transistor Process Flow
2.1.2 Substrate cleaning: SPM Cleaning and HF Treatment
2.1.3 Electron-Beam Evaporation for La2O3 deposition
2.1.4 RF Magnetron Sputtering
2.1.5 Photolithography
2.1.6 Dry Etching by reactive ion etching (RIE)
2.1.7 Vacuum Evaporation for Al Deposition
2.2 Characterization method
2.2.1 Interface state density by conductance method
2.2.2 Mobility measurement method based on split C-V
2.3 References
38
2.1 Fabrication Procedure
The purpose of this chapter is to introduce the fabrication procedure and
characterization for both of MOS capacitor and MOS transistor. The process fabrication
involves the steps of surface cleaning of substrate, gate dielectrics and gate electrode
deposition, patterning, thermal treatment and contacting of electrodes.
2.1.1 MOS Capacitor and MOS Transistor Process Flow
In this study, MOS capacitors were fabricated on n-Si (100) substrates with doping
of 3×1015 cm-3 (3×1016 cm-3 for MOSFET). nMOSFETs were fabricated by a gate-last
process using source and drain preformed p-Si (100) substrates with a substrate impurity
concentration of 3×1016 cm-3. Figure 2.1 and 2.2 shows the device fabrication process
flows for MOS capacitor and MOSFETs, respectively. After performing SPM and HF
chemical cleanings with H2SO4/H2O2 mixture at 130oC for 5 minutes, thin La2O3 gate
dielectric films were deposited by e-beam evaporation at a substrate temperature of
300 oC. Metal gate were deposited by radio frequency (RF) magnetron sputtering without
breaking the ultra-high vacuum. Then, TiN (10nm) and Si (100nm) capping layers were
deposited on W/C metal also by RF sputtering to control the silicate reaction [2.1, 2.2].
The gate electrode was patterned by lithography and formed by reactive-ion etching (RIE).
The samples were then annealed at 800oC in forming gas (N2:H2=97:3) ambient. Backside
contacts were formed by Al evaporation. Finally, the sample was annealed in forming gas
(N2:H2=97:3) ambient at 420 oC for 30 minutes.
39
Figure 2.1 Fabrication procedure for MOS devices
Figure 2.2 Fabrication procedure for MOS devices
Metal gate deposition by RF sputtering
Gate patterning (RIE)
SPM and HF cleaning
TiN and Si deposition by RF sputtering
n-Si(100) wafer (Na=1015cm-3)
La2O3 deposition(300oC) by e-beam evaporation
Annealing at 800 oC for 30min
Backside Al contact
FGA at 420 oC for 30min
Metal gate deposition by RF sputtering
Gate patterning (RIE)
SPM and HF cleaning
TiN and Si deposition by RF sputtering
p-Si(100) wafer (Na=1016cm-3)
La2O3 deposition(300oC) by e-beam evaporation
Annealing at 800 oC for 30min
S/D & Backside Al contact
FGA at 420 oC for 30min
40
2.1.2 Substrate cleaning: SPM cleaning and HF treatment
Careful process techniques are important to high performance of MOSFET. Thus,
the first step of fabrication process is cleaning of substrate. There are many method of
cleaning substrates. In this study, we used wet cleaning by chemical liquid. Before start
to deposit gate dielectrics and gate electrodes, the wafers were cleaned by a mixture of
H2SO4 and H2O2 (H2SO4/ H2O2=4/1) called SPM cleaning to remove the particles and
organic substance at the surface of Si substrate. Then, the surface of Si substrate was
treated by 1% HF to remove the native or chemical oxide.
2.1.3 Electron-beam evaporation of La2O3 deposition
After performed the SPM and HF treatment, the substrates were transferred into an
ultra-high vacuum cluster tool system, as shown figure 2.3. A process system equipped
with an electron beam deposition chamber, a sputter chamber and a thermal processing
chamber. Electron-beam evaporation is one of the physical vapor depositions (PVD). The
high-k materials were evaporated by E-beam in ultra-high vacuum, in this study, the
vacuum was about 10-6 Pa. The Si-substrate was heated at 300oC during La2O3 deposition.
The physical thickness of the deposited La2O3 thin films was controlled by mask, as
shown in figure 2.4, the graded thickness of La2O3 was from 1 nm to 4 nm.
41
Figure 2.3 Schematics of the developed cluster tool system.
Figure 2.4 Schematic illustration of the concept of high-k deposition with graded
thickness using a linear moving mask
Robot
L/L chamber
Sputter chamber
EB chamber
Thermal process chamber
SubstrateLa2O3
La2O3 Source
Moving Mask
42
2.1.4 RF magnetron sputtering
After La2O3 layer deposition, the samples were transported to the sputter chamber
without breaking the ultra-high vacuum. Gate metals were deposited by radio frequency
(RF) magnetron sputtering on the La2O3 layers. W/C, Ti/C, Ta/C multi stack are deposited
by RF with Ar gas ambient, gas follow is 10 sccm, RF power is 60W for W, Ti and Ta,
200W for C. TiN layer is deposited from Ti source with Ar and N2 gas ambient, the ratio
of Ar and N2 is 9:1. The thickness of the sputtered metal layer was controlled by
deposition time.
2.1.5 Photolithography
Photoresist layer of S1805 was coated on the sample by spin coater system. Then
samples were baked at 115 oC for 5 minutes. The spin-coated photoresist layer was
exposed by ultraviolet (UV) light after mask alighnment. After that, exposed wafers were
developed using the specified developer called NMD-3. Post-baking at 130 °C for 3
minutes is necessity to harden the developed photoresist pattern before metal gate etching.
2.1.6 Dry etching by reactive ion etching (RIE)
Reactive ion etching (RIE) is one of the pattering methods by plasma. The metal
gate Si/TiN/W, Si/TiN/C/W…. C/W, Si/TiN/C/Ti…. C/Ti and Si/TiN/C/Ta…. C/Ta was
patterned by RIE with SF6 gas chemistry to form gate electrode. SF6 gas flow rate and RF
power is 30 sccm and 30 W, respectively. La2O3 layer was patterned by Ar gas. After the
gate metal etching, photoresist layer on top of metal gate was removed by O2-based ashing
method inside the same RIE system.
2.1.7 Vacuum evaporation for Al deposition
Aluminum (Al) evaporation in this study was deposited by using bell-jar type
43
thermal evaporation for wiring and backside contact. Al for deposition is put on tungsten
boat and heated up tp boiling point of Al by joule heating. Backside Al thickness for all
samples is 50 nm.
2.2 Characterization method
Electrical characterization of MOS capacitors was mainly performed by using
capacitance-voltage (C-V) characteristics, gate leakage current density-voltage (Jg-V)
characteristics and interface-trap density (Dit) calculated by conductance method. Split
C-V method for effective mobility evaluation was used to examine the MOSFET
characteristics.
2.2.1 Interface state density by conductance method
In this study, interface state density (Dit) was determined by conductance method.
The conductance method was proposed by Nicoliian and Goetzberger in 1967 [2.3].
Conductance method is an approach which we replace the measurement circuit of MOS
capacitor with equivalent circuit models and calculate Dit. Figure 2.5 (a) shows an
equivalent circuit model of MOS capacitor, where Cox is the oxide capacitance per unit
area, Cs is the silicon capacitance per unit area, Rit and Cit are the resistance and
capacitance components per unit area related to interface trap, and Gt is tunnel
conductance per unit area related to leakage current. On the other hand, the measurement
circuit is shown in figure 2.5 (b), where Cm and Gm are the measured capacitance and
conductance per unit area. The circuit figure 2.5 (a) can be simplified by the circuit of
figure (c). The equivalent parallel circuit is shown in figure 2.5 (c), where Cp and Gp are
the equivalent parallel capacitance and conductance per unit area.
44
Figure 2.5 Equivalent circuit of MOS capacitor, (a) an equivalent circuit model of MOS
capacitor; (b) the measurement circuit; (c) the simplified circuit of (a)
Here, CP and GP are given by
2)(1 it
itsp
qDCC
(2.1)
2)(1 it
ititp DqG
(2.2)
Where, Cit = qDit, and it = CitRit. Dit is interface state density and it is interface trap
time constant. These two equations are for interface traps with a single energy level in
the band gap. On the other hand, the capacitance and conductance are measured from
the circuit in figure 2.5 (b). By using equivalent circuit in figure 2.5 (c) GP/ can be
calculated as:
222
2
)()(
)(
moxtm
oxtm
CCGG
CGGGp
(2.3)
45
2.2.2 Mobility measurement method based on split C-V
MOSFET drain current is due to drift and diffusion of the mobile carriers in the inverted
Si channel. The drain current Id can be written as,
dx
dQ
q
kTW
L
VQWI inv
effdsinvffe
d
(2.4)
Where, L is gate length, W is gate width, Qinv is the carrier channel charge density and
eff is the effective mobility. At low Vds, one can assume that channel charge to be fairly
distribute and uniform from the source to drain, allowing the diffusive second term in eq.
(2.4) to be dropped. Solving eq. (2.4) then gives,
inv
deff WQ
Lg (2.5)
where the drain conductance gd is defined as,
ds
dd V
Ig
(2.6)
to accurately determine the Qinv, direct measurement of Qinv from 100 kHz high frequency
capacitance measurement, with mobile channel density or inverted charge density
determined from the gate-to-channel capacitance/unit area (Cgc) according to the
following equation.
g
fb
V
V ggcdVCQinv (2.7)
Where, Vfb and Vg are the flatband voltage and gate voltage, respectively. The Cgc is
measured by using the connection of figure 2.6 (a). The capacitance meter is connected
between the gate and the source-drain connected together with substrate grounded. Setup
in figure 2.6 (b) is used to measure the gate to substrate capacitance/unit area (Cgb). The
connected source-drain is grounded during Cgb measurement. Cgb is used to calculated
bulk charge density (Qb) according to the following equation,
46
g
fb
V
V ggbdVCQb (2.8)
both Qinv and Qb are then used to calculate the effective vertical electric field (Eeff) according
to
Si
invbeff
QQE
(2.9)
Figure 2.6 Schematic configurations for (a) gate to channel, and (b) gate to body
capacitances measurements for nMOSFETs [2.4]
Where, is the inversion layer charge accounts for averaging of the electric field over
the electron distribution in the inversion layer. The parameter =1/2 for the electron
mobility and =1/3 for the hole mobility. Siis silicon permittivity. In this study, Cgc is
measured at 100 kHz. The eff and gd are extracted from the area of Cgc-Vg characteristic
and the slope of the Ids-Vds characteristic, respectively.
47
2.3 References
[2.1] C. Choi, T. Ando, E. Cartier, M. M. Frank, R. Iijima, and V. Narayanan, “Quasi-
damascene metal gate/high-k CMOS using oxygenation through gate electrodes”,
Microelectron. Eng., Vol. 86, pp. 1737–1739, 2009.
[2.2] T. Kawanato, Y. Lee, K. Kakushima, P. Parhat, K. Tsutsui, A. Nishiyama, N. Sugii,
K. Natori, T. Hattori, and H. Iwai, “EOT of 0.62nm and high electron mobility in
La-silicate/Si structure based nMOSFETs achieven by utilizing metal-inserted poly-
Si stacks and annealing at high temperature”, IEEE TED, Vol. 59, pp: 269-276, 2012.
[2.3] E.H. Nicollian, and A. Goetzberger, “The Si-SiO2 interface-electrical properties as
determined by the metal-insulator-silicon conductance technique”, Bell Syst. Tech.
J., Vol.46, pp:1055-1133, 1967.
[2.4] D. K. Schroder, “Semiconductor material and device characterization”, John
Willey & Sons, New York, pp: 489-500, 2006.
48
Chapter 3 Metal Carbides for
Metal Gate Electrode Applications
3.1 Introduction
3.2 Process for carbide formation
3.3 Titanium Carbide Formation and characteristics
3.4 Tantalum Carbide Formation and characteristics
3.5 Tungsten Carbide Formation and characteristics
3.6 Conclusions
3.7 References
49
3.1 Introduction
Metal thin layers have become the primary gate electrode materials together with
high-k gate dielectrics for scaled devices to eliminate the problems associated with poly-
Si electrodes; poly-depletion effects, high gate resistance, boron penetrations and poor
compatibility with high-k dielectrics [3.1-3.4]. Therefore, select of proper metal gate
materials is important to improve device performance. As mentioned in chapter 1,
according to resent reports, in terms of Vth, metal gates with grain size less than 5 nm or
amorphous are preferable [3.5, 3.6]. Ohmori et al. have also shown that threshold voltage
variability is reduced with respect to polycrystalline TiN gates when 5 at. % carbon is
added to the gate during deposition in order to stabilize a nano crystalline phase where
the average grain size is 5 nm.
Recently, metal carbides have been studied as a candidate for gate electrode duo to
improved thermal stability originated from the properties of metal carbides [3.7].
However, the deposition methods of metal carbide caused some problems, such as carbon
deficiency formation, interface reaction and growth of grains during annealing process
[3.8]. Therefore, it is necessary to find a novel process to solve this problem. Another
concerning issue is metal carbide formation temperature, metal carbide are known as high
temperature, high pressure materials, there is a question about how we can obtain a metal
carbide at low temperature (~800oC).
In this chapter, we have developed thin carbide electrodes (TiC, TaC and W2C,) with
nano-sized grain of several nm, using multi-stacked sputtering process, for metal gate
applications. Moreover, the work functions of formed carbides are extracted through
electrical measurements.
50
3.2 Process for carbide formation
A set of carbon and metal layers which corresponds to atomic ratio, was cyclically
stacked for 18 times, as shown in Figure 3.1, resulting in a total thickness of 20 nm, metal
carbide was formed after annealing process. Advantage of this kind process, first, excess
growth of grain size was suppressed by layered reaction. Second, carbon content can be
controlled. Third, metal carbide can be formed at low temperature. Here, the density and
number of carbon atoms in sputter deposited carbon film was evaluated to be 2.05 g/cm3
and 7.8×1017 /cm3, respectively, by x-ray reflectivity, as shown in Figure 3.2.
Figure 3.1 Multi-stacking of metal and carbon films for metal carbide formation
SiO2
・・・
Si
CarbonMetal
SiO2
Si
Metal
CarbonMetal
carbideAnnealing
51
Figure 3.2 X-ray reflectivity measurement of sputter-deposited carbon layer
3.3 Titanium carbide formation
A set of carbon (0.45 nm) and titanium (0.80 nm) layers which corresponds to an
atomic ratio of 1:1, was cyclically stacked for 18 times on thermally oxidized Si wafers
by magnetron sputtering using Ar gas, as shown in Figure 3.3. The total thickness is 20nm.
The films were subjected to annealing at various temperatures in N2 ambient to induce
reaction between carbon and metal layers. Figure 3.4 shows sheet resistance (sh) change
of the films on annealing temperature. Ti/C stacked films showed a slight increase in sh
at 400 oC, and then showed gradual increase over 600 oC annealing. In-plane x-ray
diffraction (XRD) of the film annealed at 500 oC, shown in Figure 3.5, revealed weak
peaks related to cubic TiC, indicating the presence of nano-sized TiC grains in the layer.
When annealed over 600 oC, the color of the films start to change due to surface oxidation
0.0 0.5 1.0 1.5 2.0 2.5
2 (deg)
100
10-4
10-2
10-5
10-6
10-7
10-1
10-3
Inte
nsi
ty (
a.u
.)
52
by residual oxygen gas in the annealing ambient. Capping metals against oxidation such
as TiN layer might be useful to improve the thermal resistivity for gate metal application.
The sh of 318 /sq., which corresponds to a resistivity of 636 cm appears to be high
compared to reported bulk TiC of 80 cm [3.9]. Generally, with a thickness as thin as
20 nm, the effect of grain size to the mean free path of electrons plays a dominant role for
the resistivity, so that the observed resistivity increase is reasonable. Here, the average
grain size of the TiC film can be extracted as 3.9 nm using Scherrer’s equation, which is
still longer than calculated electron mean free path of 1.2 nm for TiC [3.10]. Therefore,
the increase in the resistivity can be attributed to residual amorphous Ti-C bondings in
the layer [3.11, 3.12]. Indeed, the weak signal-to-background ratio in the diffraction
patterns is a characteristic of an amorphous-rich film.
Figure 3.3 Formation of TiC by multi-stacked process
SiO2
・・・
Si
Ti
Ti
C
C
SiO2
Si
TiC
53
Figure 3.4 Sheet resistance of multi-stacked Ti/C layers
Figure 3.5 XRD measurement of multi-stacked Ti/C layers
Temperature (oC)
Ti/C
0 200 400 600 800
100
200
500
400
300
0
sh
(/s
q.)
SiO2
・・・
Si
Ti
Ti
C
C
30 40 50 60 70 9080
-2 (deg)
TiC 500oC
Inte
nsi
ty (
a.u
.)
54
Work functions of TiC electrodes formed by stacked sputtering process were extracted
through fabrication of MOS capacitors using (100)-oriented n-Si substrates (Nd=3×1015
cm-3) and measurements of flatband voltage (Vfb) on different SiO2 thickness. To exclude
the effect of interface states, annealing in forming gas (H2:N2=3%:97%) at 420 oC for 30
min was performed for all the samples. The work function was extracted form thermally-
grown TiC/SiO2 MOS capacitor. Figure 3.6 shows the measured Vfb on SiO2 thickness.
From the intercept to vertical axis, work function values of 4.3 eV were extracted for TiC.
Generally, low work functions around 3.9 are reported for bulk TiC [3.13, 3.14, 3.15],
through electron emission study, however, nanometer-thick TiC layer tends to exhibit
higher work functions as 4.6 eV, which is comparable to our extracted value. The reason
might be due to oriented growth of carbide layers, where preferred surface orientation
with high work function could be in contact to the SiO2 layer as the same phenomenon is
observed for chemical-vapored deposited TiN electrodes [3.13]. To confirm the oriented
growth, normalized intensities of each diffraction plane of carbides are summarized in
table 3.1. By comparing those ratios with powder diffraction database [3.16], where a
random orientation is assumed, preferred orientation of (220) and (311) planes can be
confirmed for both TiC layers.
55
Figure 3.6 Work function extraction of TiC gate electrode
TABLE 3.1. Normalized intensities of in-plane XRD measurements and powder
diffraction patterns of TiC (aJC-PDS 00-032-1383)
(hkl) of cubic TiC (111) (200) (220) (311)
Ti/C stacked film annealed at 500 oC 79 100 71 39
Powder diffraction pattern of cubic TiCa 94 100 46 22
SiO2 thickness (nm)
Vfb
(V)
0 105 15 2520-0.4
0.4
0.2
0.0
-0.2
TiC (500oC)
m,TiC=4.3eV
56
3.4 Tantalum carbide formation
A set of carbon (0.45 nm) and tantalum (0.82 nm ) layers which corresponds to an
atomic ratio of 1:1, was cyclically stacked for 18 times on thermally oxidized Si wafers
by magnetron sputtering using Ar gas, resulting in a total thickness of 20 nm, as shown
in Figure 3.7. The films were subjected to annealing at various temperatures in N2 ambient
to induce reaction between carbon and tantalium. Figure 3.8 shows sheet resistance (sh)
change of the films on annealing temperature. Ta/C stacked film showed constant sh up
to 800 oC. Again above 800oC, the sh start to increase with color change in the film
surfaces due to surface oxidation. In-plane XRD patterns of the films annealed at 500 and
750 oC. shown in Figure 3.9, revealed the formation of cubic TaC. A grain size of 3.2 nm,
smaller than TiC case, was extracted for both cases. Thesh of 150/sq., which
corresponds to resistivity of 300 cm, is again higher than 170 cm of bulk TaC [3.8].
As the mean free path of TaC is 0.6 nm, so that amorphous structure in the film might be
the reason for increased resistivity.
Figure 3.7 Formation of TaC by multi-stacked process
SiO2
・・・
Si
C
CTa
Ta
SiO2
Si
TaC
57
Figure 3.8 Sheet resistance of multi-stacked Ta/C layers
Figure 3.9 XRD measurement of multi-stacked Ta/C layers
Temperature (oC)
Ta/C
0 200 400 600 800 1000
100
200
400
300
0
sh
(/s
q.)
SiO2
・・・
Si
C
CTa
Ta
30 40 50 60 70 9080-2 (deg)
TaC 500oC
Inte
nsi
ty (
a.u
.)
TaC 750oC
58
Figure 3.10 Work function extraction of TiC gate metal
Work functions of TaC electrodes formed by stacked sputtering process were extracted
through fabrication of metal-oxide-semiconductor (MOS) capacitors using (100)-oriented
n-Si substrates and measurements of flatband voltage (Vfb) on different SiO2 thickness
same as TiC. Figure 3.10 shows the measured Vfb on SiO2 thickness. work function values
of 4.7 were extracted for TaC. Generally, low work functions around 4.2 eV are reported
for bulk TaC [3.10, 3.11, 3.12], The reason might be due to oriented growth of carbide
layers, where preferred surface orientation with high work function could be in contact to
the SiO2 layer as the same phenomenon is observed for chemical-vapored deposited TiN
electrodes[3.13]. To confirm the oriented growth, normalized intensities of each
diffraction plane of carbides are summarized in table 3.2. By comparing those ratios with
powder diffraction database[3.14], where a random orientation is assumed, preferred
Vfb
(V)
SiO2 thickness (nm)0 105 15 2520
0.8
0.6
0.4
0.2
0.0
-0.2
TaC (750oC)
m,TaC=4.7eV
59
orientation of (220) and (311) planes can be confirmed for TaC layers.
TABLE 3.2. Normalized intensities of in-plane XRD measurements and powder diffraction patterns
of TaC. (JC-PDS 00-035-0801)
(hkl) of cubic TaC (111) (200) (220) (311)
Ta/C stacked film annealed at 750 oC 100 60 39 40
Powder diffraction pattern of cubic TaC 100 59 31 25
3.5 Tungsten carbide formation
Figure 3.11 Carbon-Tungsten phase diagram [3.10]
As tungsten carbides take several stable compositions such as WC or W2C in W-C
60
phase diagram [3.15], as shown in Figure 3.11 , the thickness of each C layers in the
stacked sputtering process, were varied from 0.22 to 0.45 nm, while the thickness of the
W layer is kept constant as 0.7 nm, as shown in Figure 3.12. The varied thickness
corresponds to an atomic concentration from 1:0.5 to 1:1. Figure 3.13 shows the sh
change on annealing temperature for four kinds of C concentrations. First decrease in sh
was observed at 700 oC, and second one at 825 oC, irrespective to the C thicknesses,
indicates drastic phase change in the films, which is contrast to the Ti or Ta cases. Figure
3.14 shows the in-plane XRD spectra of W/C with 1:0.5 and 1:1, annealed at 750 oC. With
W/C of 1:0.5, weak diffraction peaks suggest the mixture of hexagonal W2C and
hexagonal WC phases in the film with grain size of 18 and 19 nm, respectively. On the
other hand, for the film with W/C of 1:1, only hexagonal W2C with grain size of 1.9 nm,
was detected. The cross-sectional transmission electron microscope (TEM) image, shown
in figure 3.15, revealed a column-like shape metal layer with grain size of 2 nm, which is
in good agreement with the value extracted by XRD peaks. The sh of 94 /sq., 188
cm in resistivity, is only a double compared to that of bulk W2C [3.14]. As the electron
mean free path of W2C is 1.8 nm, the main scattering mechanism can be attributed to
grain boundary and not by the thickness of the film. Metal gates with nano-sized grains
and short mean free path is advantageous for future narrow gate length as the effect of
structure rarely influence the resistivity. An in-plane XRD measurement of W/C of 1:1
annealed at 900 oC, showed strong signals related to cubic W with grain size of 20 nm.
The corresponding TEM image of the sample, shown in figure 3.15, revealed the
formation of W grains on SiO2 layer, where the grain size of 20 nm in width and 12 nm
in height is in good agreement with the size extracted by XRD pattern. As the interface
between W and SiO2 layers becomes rough with the presence of a weak contrast at the
61
interface, we can consider that interface reaction took place upon annealing. The
formation of pure W can be understood by decomposition of W2C crystals to reduce the
underlying SiO2 layer with carbon atoms as SiO2(s)+3C(s)→SiC(s)+CO(g) [3.17].
Figure 3.12 Formation of tungsten carbide
Figure 3.13 Sheet resistance change on annealing temperature of
multi-stacked W/C layers
SiO2
Si
・・・
WC
WC
SiO2
Si
WC
Temperature (oC)
sh(
/sq
.)
0 200 400 600 800 10000
50
200
150
100
W/C=1:0.8
W/C=1:0.5
W/C=1:0.6
W/C=1:1
SiO2
Si
・・・
WC
WC
62
Figure 3.14 XRD measurement of multi-stacked W/C layers
with different thickness ratio
Inte
nsi
ty (
a.u
.)W/C
=1:0.5
W/C=1:1
hex-W2C
hex-W2C
hex-WC
30 40 50 60 70 9080-2 (deg)
63
Figure 3.15 TEM of multi-stacked W/C layers
Figure 3.16 highly oriented tungsten carbide formation
Figure 3.17 Prospective for 3D channel application
SiFin (110)
(110)
(110)
(110)
64
Work functions of W2C electrodes formed by stacked sputtering process were
extracted through fabrication of metal-oxide-semiconductor (MOS) capacitors using
(100)-oriented n-Si substrates (Nd=3×1015 cm-3) and measurements of flatband voltage
(Vfb) on different SiO2 thickness. Here, W/C of 1:1 is used to form W2C electrode. To
exclude the effect of interface states, annealing in forming gas (H2:N2=3%:97%) at 420
oC for 30 min was performed for all the samples. Figure 3.16 shows the measured Vfb on
SiO2 thickness. Work function values of 4.9 eV were extracted W2C. To confirm the
oriented growth, normalized intensities of each diffraction plane of carbides are
summarized in table 1. W2C layer showed strong preferred orientation with (002) plane,
which indicates that c-axis of W2C crystals is in parallel to the surface of SiO2. A high
work function of W2C is in good agreement with reported values. Moreover, a high work
function of 4.9 eV with W2C is suitable for p-ch MOS devices.
Figure 3.18 Work function of W2C annealing at 750 oC
SiO2 thickness (nm)
Vfb
(V)
0 105 15 2520
W2C (750oC)
m,W C=4.9eVm,W C=4.9eV2
8
1
6
4
2
0
65
TABLE 3.3. Normalized intensities of in-plane XRD measurements and powder
diffraction patterns of W2C. (JC-PDS 00-035-0776)
(hkl) of hexagonal W2C (100) (002) (101) (102)
W/C stacked film annealed at 750 oC 23 355 100 1
Powder diffraction pattern of hexagonal W2Cc 23 24 100 14
3.6 Conclusions
A sputtering process using multi-stacking of carbon and metal thin films with
subsequent annealing process to reactively form metal carbides (TiC, TaC and W2C) has
been presented. Grain sizes of the carbides are as small as 3.9, 3.2, and 1.9 nm for TiC,
TaC and W2C, respectively. Work functions of TiC, TaC and W2C layers have been
extracted as 4.3, 4.7, and 4.9 eV, respectively, relatively high values owing to oriented
growth, as shown in Figure 3.16. W2C layer formed by the presented process gives high
potential to form carbides with nano-sized grain and high work function for gate electrode
application for planner and 3D FET, as shown in Figure 3.17.
66
Table 3.4 Grain size and orientation of nitrides and carbides with nano sized grains.
3.7 References
[3.1] P. Habas, and J. V. Faricelli, “Investigation of the physical modeling of the gate
depletion effect”, IEEE Trans. Electron Dev., Vol. 39, pp: 1496-1450, 1992.
[3.2] K. Shenai, “Gate resistance limited switching frequencies for power MOSFETs”,
IEEE Electron Dev. Lett., Vol. 11, pp:544-546, 1990.
[3.3] J. R. Pfiester, F. K. Baker, T. C. Mele, H. H. Tseng, P. J. Tobin, J. D. Hayden, J. W.
Miller, C. D. Gunderson, and L. C. Parrillo, “The effects of boron penetration on p+
polysilicon gated PMOS devices”, Trans. Electron Dev., Vol. 37, pp: 1842-1852,
1990.
Yes; <001>//sub.
No
No
No
Yes; <100> sub.
No
Oriented growth
CVD
this workSput.+Reaction1.9 nmW2C
Sput.+Reaction
Sput.+Reaction
CVD
Sput.
Process
this work
this work
Re.
Re.
Ref.
3.2 nm
3.9 nm
9.2 nm
22 nm
Grain size
TaC
TiC
TaN
TiN
Metal gate
Yes; <001>//sub.
No
No
No
Yes; <100> sub.
No
Oriented growth
CVD
this workSput.+Reaction1.9 nmW2C
Sput.+Reaction
Sput.+Reaction
CVD
Sput.
Process
this work
this work
Re.
Re.
Ref.
3.2 nm
3.9 nm
9.2 nm
22 nm
Grain size
TaC
TiC
TaN
TiN
Metal gate
67
[3.4]E. Ikenaga, I. Hirosawa, A. Kitano, Y. Takata, A. Muto, T. Maeda, K. Torii, H.
Kitajima, T. Arikato, A. Takeuchi, M. Awaji, K. Tamasaku, T. Ishikawa, S. Komiya,
and K. Kobayashi, “Interface reaction of poly-Si/high-k insulator systems studied
by hard X-ray photoemission spetroscopy”, J. Electron. Spectrosc. Relat. Phenom.,
Vol. 144, pp: 491-494, 2005.
[3.5] K. Ohmori, T. Matsuki, D. Ishikawa, T. Morooka, T. Aminaka, Y. Sugita, T.
Chikyow, K. Shiraishi, Y. Nara, and K. Yamada, “Impact of additional factors in
threshold voltage variability of metal/high-k gate stacks and its reduction by
controling crystalline structure and grain size in the metal gates”, IEDM, pp: 409-
411, 2008.
[3.6] M. E. Grubbs, X. Zhang, M. Deal, Y. Nishi, and B. M. Clemens, “Development and
characterization of high temperature stable Ta-W-Si-C amorphous metal gates”,
Appl. Phys. Lett., Vol. 97, pp: 223505, 2010.
[3.7] W. S. Hwang, S. H. Daniel, B. J. Cho, “Metal carbides for band-edge work function
metal gate CMOS devices”, IEEE Transactions on electron devices, Vol. 55, pp:
2469-2474, 2008.
[3.8] H, Romanus, Thin solid Films 146, 2000.
[3.9] J. -E. Sundgren, B. -O. Johansson, S. -E. Karlsson, and H. T. G. Hentzell, Thin Solid
68
Films, Vol. 105, pp: 367, 1983.
[3.10] C. D. Lien, M. Finetti, and M. A. Nicolet, “Electrical properties of thin Co2Si, CoSi
and CoSi2 layers grown on evaporated silicon”, J. Electron. Mater. Vol. 13, pp: 95,
1984.
[3.11] D. Gerstenberg, and P. M. Hall, “Superconducting thin films of Niobium, Tantalum,
Tantalum Nitride, Tantalum carbide, and Niobium Nitride”, J. Electrochem. Soc.
Vol. 111, pp: 936, 1964.
[3.12] M. Magnuson, E. Lewin, L. Hultman, and U. Jansson, “Electronic structure and
chemical bonding of nanocrystalline-TiC/amorphous-C nanocomposites”, Phys.
Rev. B, Vol. 80, pp: 235108, 2009.
[3.13] W. S. Hwang, D. S. H. Chan, and B. J. Cho, “Metal carbides for band-edge work
function metal gate CMOS devices”, IEEE Transactions on electron devices, Vol. 55,
pp: 2469-2474, 2008.
[3.14] G. Brauer, W. Anwand, E. M. Nicht, P. G. Coleman, A. P. Knights, H. Schut, G.
Kogel, and N. Wagner, J. Phys. Condens. Matter, Vol. 7, pp: 9091, 1995.
[3.15] J. H. Ingold, “Thermionic properties of some refractory metal carbides” J. Appl.
Phys. Vol. 34, pp: 2033, 1963.
69
[3.16] A. Yagishita, T. Saito, K. Nakajima, S. Inumiya, K. Matsuo, T. Shibata, Y.
Tsunashima, K. Suguro, and T. Arikado, IEEE Trans. Electron Dev. Vol. 48, pp:
1604, 2001.
[3.17] S. I. Raider, S. R. Herd, and R. E. Walkup, “SiO2 film decomposition reaction
initiated by carbon impurities located at a Si-SiO2 interface”, Appl. Phys. Lett. Vol.
59, pp: 2424, 1991.
70
Chapter 4 Electrical Properties of La-silicate High-k with Tungsten Carbide
4.1 Introduction
4.2 Interface properties of La-silicate with tungsten carbide gate electrode
4.3 MOSFET characteristics with tungsten carbide gate electrode
4.4 Conclusions
4.5 References
71
4.1 Introduction
High-k gate dielectrics have enabled continuous scaling in CMOS devices by
increasing the capacitive coupling of gate electrode to the channel which eventually
suppresses the short channel effects, while reducing the gate leakage current [4.1-4.3].
Considering further EOT scaling down to 0.45 nm, which is required in the year of 2026
from ITRS roadmap [4.4], high-k materials should be directly in contact with Si channels
[4.5]. Some of attempts to achieve direct contact of high-k on Si channels have been
proposed so far; those include precise oxygen partial pressure controlled process [4.6]
and a thermal process to reduce the interfacial layer by incorporating additional elements
in the metal gates [4.7, 4.8]. In addition to these processes, La-silicate can be in direct
contact with Si channels by means of silicate reactions between La2O3 layer and Si
channel [4.9, 4.10]. The prominent features of La-silicate are that the structure is
amorphous and the silicate reaction does not show any channel surface orientation
dependency, which are advantageous for scaled devices with three dimensional channels
[4.11]. Issues in La-silicate/Si interfaces include Dit in the order of high 1011 cm-2/eV, and
interface roughness presented at both metal/La-silicate and La-silicate/Si interfaces,
which results in channel effective mobility degradation due to La-silicate thickness
variation [4.12]. An experimental work has shown that the effect of metal gate material
affect the interface properties, so the impact of metal gate should be clarified [4.13]. As
mentioned in chapter 3, highly-oriented tungsten carbide (W2C) gate electrode with 1.9-
nm-sized grains are proposed using multi-stacked W/C layers for gate electrode
application for MOSFET. Therefore, in this chapter, the electrical properties of La-silicate
MOS capacitors have been investigated and focused on the effect of grain size in metal
gate electrode on La-silicate/Si interface properties including roughness using W2C gate
72
electrodes. The all sample annealed at 800oC, it has been reported that the interface state
density at La-silicate/Si interface can be reduced by increasing of annealing temperature
[4.15], meantime, with high temperature annealing, interface state density can be as low
as 1011 cm-2/eV [4.10], thus the choice of 800oC for annealing temperature is reasonable,
and it is in W2C formation temperature period, as mentioned in Figure 3.13 of chapter 3.
The main fabricated process shown in figure 4.1.
MOS capacitors were fabricated on n-Si (100) substrates with doping of 3×1015 cm-
3, as shown in figure 4.1. After performing sulfuric peroxide mixture cleaning followed
by HF dip cleanings, thin La2O3 gate dielectric films ranging from 2 to 4 nm were
deposited by e-beam evaporation at a substrate temperature of 300 oC in ultra-high
vacuum (10-6 Pa). A set of W and C layers with thickness of 0.70 and 0.45 nm, respectively,
were cyclically deposited for 18 times onto La2O3 films by RF magnetron sputtering
without exposing the wafers to air, so that any moisture or carbon-related contamination
can be eliminated. Samples with a pure W layer were also fabricated as counterparts.
Then, TiN and Si capping layers with thicknesses of 10 and 100 nm, respectively, were
deposited on W/C multi-stacked metal and W also by RF sputtering. Samples were
subjected to annealing at 800 oC in forming gas (N2:H2=97%:3%) ambient for 30 minutes
to form an amorphous La-silicate layer by reaction of La2O3 and Si substrates. The
capping layers of Si and TiN are used to inhibit oxygen atom diffusion from ambient to
La2O3 layer during the annealing, which allows precise control of interface reaction
between La2O3 and Si substrates [4.10]. The annealing process also induces reactions at
W and C layers to form W carbides at this temperature [4.14]. After removing the capped
Si layers and forming backside Al contacts, samples were subjected to annealing at 420
oC in forming gas ambient for 30 minutes.
73
Figure 4.1 Fabrication procedure for MOS devices
Metal gate deposition by RF sputtering
Gate patterning (RIE)
SPM and HF cleaning
TiN and Si deposition by RF sputtering
n-Si(100) wafer (Na=1015cm-3)
La2O3 deposition(300oC) by e-beam evaporation
Annealing at 800 oC for 30min
Backside Al contact
FGA at 420 oC for 30min
74
4.2 Interface properties of La-silicate with
tungsten carbide gate electrode
Figure 4.2 C-V characteristics of TiN/W2C/La-silicate/Si
and TiN/W/La-silicate/Si
Capacitance-voltage (CV) characteristics of La-silicate capacitors with pure W or nano-
sized grain W2C metal gates are shown in figure 4.2 (a) and (b), respectively. Similar EOT
of 0.75 nm were obtained for both samples, suggesting there is no difference in interface
silicate reaction rate between the gate electrode materials. Also similar flatband voltage
(Vfb) was measured, indicating that there is little change in the influence of metal gate
electrodes to interface charges. Humps in depletion region, which is typically observed
for high-k/Si direct contact capacitors, were sufficiently suppressed for both samples,
indicating fairly nice interface properties. Conductance method, taking the surface
75
potential fluctuation of 80 meV into account [4.17], revealed Dit of 9.5×1011 and 2.5×1011
cm-2/eV for W and W2C metal gate electrodes, respectively, indicating large reduction by
one-third for the capacitor with W2C gate electrode. From the trap time constant
dependency on surface potential, identical capture cross sections of 3×10-15 cm2 were
extracted among the samples, which is comparable to those reported on thermal SiO2 on
Si(100) surface [4.18]. Therefore, the physical nature of the Dit can be thought to be the
same, and only the amounts of the trap states are different. Dit dependency on EOT, in
figure 4.3, shows that Dit can be reduced in all the studied EOT range with W2C gate
electrode. A slight degradation in Dit observed with smaller EOT, which is in good
agreement with previous reports [4.19], will be discussed in the following text. And
constant Vfb on different EOT, indicating low Qfix (1010/cm2) in La-silicate with W2C gate
electrode.
Figure 4.3 Dependency of interface state density (Dit) on
EOT and flat band voltage as a function of EOT
0.0
Vfb
(V)
EOT (nm)0.65 0.900.800.70
-0.1
-0.2
-0.3
-0.4
W2C gate
W gate
0.850.75
Qfix=1010/cm2
EOT (nm)
W2C gate
W gate
Dit
(cm
-2/e
V)
x1011
10
4
12
8
2
0
6
0.65 0.900.800.70 0.850.75
76
Cross-sectional transmission electron microscope (TEM) images of the electrically
measured capacitors with W and W2C gate electrodes are shown in figure 4.4 (a) and (b),
respectively. One can find rough metal/high-k and high-k/Si interfaces with the W gated
capacitor. Interface height position analysis showed root-mean-square (RMS) roughness
of metal/high-k (Ra,MG/HK) and high-k/Si (Ra,HK/Si) interfaces to be 0.61 nm and 0.33 nm,
respectively. On the other hand, the TEM image of the capacitor with W2C gate electrode
showed an atomically flat metal/high-k and high-k/Si interfaces; Ra,MG/HK of 0.26 nm and
Ra,HK/Si of 0.12 nm. An RMS roughness of 0.12 nm is comparable to those of thermal SiO2
on Si(100) substrates formed at high temperature with enough thickness [4.20], and is far
smaller than reported high-k dielectrics directly in contact to Si substrates [4.7].
77
Figure 4.4 Cross sectional TEM images of the La-silicate capacitor with (a) W gate
electrode [magnified view in (c)] and those of W2C gate electrode
[magnified view in (d)].
An intuitive explanation of the reduction in Dit with W2C gate electrode can be drawn
from the improvement in flatness at La-silicate/Si interface. Generally, edge sites in the
step atoms at SiO2/Si(100) interface are responsible for Dit, so that higher atom steps at
the interface which constitute a stronger distortion at the step edge increase the Dit [4.21].
The appearance of edge steps at the interface is commonly observed when Si(100)
substrates are oxidized below a temperature where the viscous flow is hardly presented.
The interface roughness is reported to arise due to inhomogeneous local stress effects,
and to be more prominent when surface irregularities increases [4.21]. In addition to the
interface roughness difference between the samples, a dark contrast in the Si substrate
can be observed in the TEM image of the capacitor with W gate electrode, which is not
78
presented in that with W2C gate electrode. Dark contrasts in TEM image in single
crystalline silicon can result from poor crystallinity or by strain due to change in the lattice
constant [4.21]. Here, both TEM specimens were prepared through identical focus-ion
beam (FIB) sampling technology, so that preparation-induced damage to worsen the
crystallinity can be eliminated. Thus, one can consider that a strong inhomogeneous
residual stress exists in the Si substrate near the surface, which extends down to several
hundred nanometers deep in Si substrate.
Figure 4.5 (a) Power spectral density of La-silicate/Si interface height position obtained
from FFT analysis and (b) height-to-height correlation of the interface for both W and
W2C gate electrodes.
79
Fast Fourier transform (FFT) analysis of the roughness taken from TEM images are
shown in figure 4.5 (a). By comparing the power spectral density of both interfaces,
higher values from 0.04 to 0.06 nm-1 in spatial frequency, which corresponds to interface
roughness period of 17 to 25 nm, can be confirmed with W gate electrode. These values
are comparable to the average grain size of 20 nm in W gate electrode which is obtained
from x-ray diffraction measurements [4.14]. Therefore, a strong correlation exists
between the grain size of the metal gate electrode and the interface roughness. The starting
materials were both the same for W and W2C gate electrodes, the appearance of interface
roughness should arise during the reaction to form La-silicate layers between La2O3 and
Si substrate. Generally, surface reaction rate can be anisotropic depending on crystal
planes due to difference in surface energy and elastic constants [4.23]. Moreover, the
reaction can be inhomogeneous due to defects or impurities at the surface [4.24]. In this
study, however, the starting materials are the same and are processed through identical
wet cleaning, deposition and annealing processes so that the effects contaminants on the
formation of interface roughness can be negligible. As the only difference is the metal
gate material, the effect of stress induced from the metal layer can be the origin to change
the interface roughness. Base upon the reports on amorphous-to-crystalline
transformation in silicon, the crystallization rate is affected by the stress applied in the
plane of interface; higher rate with tensile stress and lower rate with compressive stress,
where the effect of stress is interpreted as the change in the activation energy of reaction
[4.25]. For our case, crystal grains accompanied by grain boundaries in W gate electrode
may induce non-uniform stress to the Si surface to change the rate of silicate reaction,
which results in roughening the interface. As a result of small average grain size of 1.9
nm with W2C gate electrode, the stress accompanied during reaction can be evened out,
80
so that the initial surface flatness can be hardly infected. The increase in Dit with smaller
EOT might be understood from increase in stress due to thinner La-silicate film thickness.
Height-to-height correlations of both La-silicate/Si interfaces are shown in figure 4.5 (b).
Both interfaces did not show any scaling dependency, which suggests that presented
interfaces are not self-affine structures, and follows random Gaussian distribution from
atomic scale [4.26]. This is not the case for thermal SiO2/Si interface case where a balance
between local stress to roughen and viscous flow to smoothen the interface is presented
within a specific scale length [4.25]. Therefore, one can conclude that metal gate
electrodes with nano-sized grains or even amorphous structures are effective for obtaining
atomically flat La-silicate/Si interfaces by removing inhomogeneous local stress. Also, a
flat metal/La-silicate interface can be obtained with nano-sized grain metal, which is
effective in suppressing gate oxide thickness variability. Incidentally, we would like to
note that metal gates with nano-sized grains are mandatory in terms of threshold voltage
variability suppression, which holds the right direction for metal gate material selection
for scaled devices. Besides, when comparing the Dit with SiO2/Si cases, La-silicate
capacitors with W2C gate electrode still present higher Dit by one order of magnitude even
with atomically flat interface. The difference between SiO2 and La-silicate is that La
atoms are presented at the interface. It is reported that Dit can also be degraded due to the
presence of other elements at the interface. For example, a trace amount of Al atoms at
the interface are reported to increase the Dit, whereas Zn atoms slightly increase the Dit
when the amount exceeds a certain level [4.28, 4.29]. On the other hand, Ca or Cr atoms
do not degrade the Dit [4.30]. Although the mechanism is not clarified yet, formation of
Al or Zn compounds at the interface is speculated to be the source. For La-silicate case,
the contribution of La atoms presented at the interface to Dit is not known yet, however,
81
it can be speculated that La atoms may slightly increase the Dit.
According to the reports [4.31], shown in figure 4.6, the solid-phase epitaxial-growth
rate of crystalline Si from the amorphous Si on the tensile side is greater than on the
compressive side of elastically bent wafers. Tensile stress to increase the reaction,
compressive stress to decrease the reaction. Reaction rate change due to applied stress
can be interpreted as change in activation energy. Thus, in our case, there are high
possibility that silicate reaction rate can be modified under applied stress. The possible
mechanism shown in figure 4.7. Nano-sized grains help elimination of inhomogeneous
stress applied to the interface to form uniform La-silicate gate dielectrics.
Figure 4.6 Reports on stress induced reaction [4.31]
.
82
Figure 4.7 A schematic model to explain atomically flat interface of high-k/Si
In summary, the interface properties of reactively formed La-silicate gate dielectrics on
Si substrates with W or nano-sized grain W2C gate electrodes have been investigated. An
interface with periodic roughness comparable to the average grain size of W gate
electrode has been observed, where an atomically flat La-silicate/Si interface has been
obtained with nano-sized grain W2C gate electrode. The origin of smooth interface
roughness may be attributed to the elimination of inhomogeneous stress induced by metal
grains during the formation of silicate at the interface.
83
4.3 Experimental results of MOSFETs
In this study, nMOSFETs were fabricated by a gate-last process using source and
drain preformed p-Si (100) substrates with a substrate impurity concentration of 3×1016
cm-3. Figure 4.8 shows the device fabrication process flows. After performing SPM and
HF chemical cleanings with H2SO4/H2O2 mixture at 130oC for 5 minutes, thin La2O3 gate
dielectric films were deposited by e-beam evaporation at a substrate temperature of
300 oC. Metal gate were deposited by radio frequency (RF) magnetron sputtering without
breaking the ultra-high vacuum. Then, TiN (10nm) and Si (100nm) capping layers were
deposited on W/C metal also by RF sputtering to control the silicate reaction. The gate
electrode was patterned by lithography and formed by reactive-ion etching (RIE). The
samples were then annealed at 800oC in forming gas (N2:H2=97:3) ambient. Source/Drain
and backside contacts were formed by Al evaporation. Finally, the sample was annealed
in forming gas (N2:H2=97:3) ambient at 420 oC for 30 minutes.
Figure 4.8 Fabrication procedure for MOSFETs
Metal gate deposition by RF sputtering
Gate patterning (RIE)
SPM and HF cleaning
TiN and Si deposition by RF sputtering
p-Si(100) wafer (Na=1016cm-3)
La2O3 deposition(300oC) by e-beam evaporation
Annealing at 800 oC for 30min
S/D & Backside Al contact
FGA at 420 oC for 30min
84
Figure 4.9 shows impact of carbon atoms on Dit, smaller Dit were obtained with
tungsten carbide gate electrodes especially with a ratio of 1 to 1. The La-silicate
MOSFETs with W2C (W:C=1:1) have been prepared, where the MOSFET with tungsten
carbide with a ratio of 1 to 0.5 for reference, as shown in figure 4.10. Higher effective
mobility of 163 cm2/Vs (Eeff=1MV) at an EOT of 0.63 nm was achieved by W2C gate
electrode, owing to lower Dit and reduced roughness scatterings. Benchmarking of eff at
high Eeff , as shown in figure 4.11, W2C gate with La-silicate gate stack exhibit eff
improvements beyond eff -EOT trends line.
Figure 4.9 Relationship of Dit and EOT
85
Figure 4.10 Electron mobility of W2C gate La-silicate nMOSFET
86
Figure 4.11 Benchmarking of high-field electron mobility at 1 MV/cm [4.12]
4.4 Conclusions
Electrical properties of La-silicate MOS devices and nMOSFET with nano-sized
tungsten carbide (W2C) gate electrode has been experimentally investigated. Interface
state density (Dit) was suppressed by W2C gate electrodes. Atomically flat metal/high-k
and high-k/Si interfaces can be achieved by W2C gate electrodes, where the interface
roughness extracted from TEM is 0.26 and 0.12nm, respectively. Origin of interface state
density is due to La-silicate/Si interface roughness due to grains in metal gate electrode.
Electron eff showed improvements in both low and high Eeff, especially 163 cm2/Vs
(Eeff=1MV) at an EOT of 0.63 nm was achieved, owing to lower Dit and reduced
roughness scatterings.
87
Table 4.1 Comparison of reported processes for direct high-k/Si structures.
4.5 References
[4.1]H. Wong, and H. Iwai, “On the scaling issues and high-k replacement of ultrathin
gate dielectrics for nanoscale MOS transistors”, Microelectron. Eng. Vol. 83, pp:1867
2006.
[4.2]K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M.
Buehler, A. Cappellani, R. Chau, C.-H. Choi, G. Ding, K. Fischer, T. Ghani, R. Grover,
W. Han, D. Hanken, M. Hattendorf, J. He, J. Hicks , R. Huessner, D. Ingerly, P. Jain,
R. James, L. Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz, B. McIntyre,
P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, M. Prince, P.
Ranade, T. Reynolds, J. Sandford, L. Shifren°, J. Sebastian, J. Seiple, D. Simon, S.
This
work0.12 nm0.26 nm163 cm2/Vs0.63 nm
Oxygen controled reacitveformation with oriented nano-sized W2C grains
(W2C/La-silicate)
0.62 nm
0.55 nm
0.59 nm
EOT
155 cm2/Vs
140 cm2/Vs
130 cm2/Vs
Mobility
at 1MV/cm
0.36 nm
0.54 nm
0.50 nm
High-k/Siinterface
roughness
[7]
[11]
[10]
Ref.
0.61 nm
0.66 nm
0.46 nm
Metal/high-kinterface
roughness
Oxygen controledreacitve formation
(W/La-silicate)
IL scavenging by metal incorporation
(TaN/cap/HfO2)
Oxygen controleddeposition (HfO2)
Process for
direct high-k/Si
This
work0.12 nm0.26 nm163 cm2/Vs0.63 nm
Oxygen controled reacitveformation with oriented nano-sized W2C grains
(W2C/La-silicate)
0.62 nm
0.55 nm
0.59 nm
EOT
155 cm2/Vs
140 cm2/Vs
130 cm2/Vs
Mobility
at 1MV/cm
0.36 nm
0.54 nm
0.50 nm
High-k/Siinterface
roughness
[7]
[11]
[10]
Ref.
0.61 nm
0.66 nm
0.46 nm
Metal/high-kinterface
roughness
Oxygen controledreacitve formation
(W/La-silicate)
IL scavenging by metal incorporation
(TaN/cap/HfO2)
Oxygen controleddeposition (HfO2)
Process for
direct high-k/Si
88
Sivakumar, P. Smith, C. Thomas, T. Troeger, P. Vandervoorn, S. Williams, K.
Zawadzki, “A 45nm logic technology with high-k metal gate transistors, strained
silicon, 9 Cu interconnect layers, 193nm dry patterning, and 100% Pb-free packaging”,
IEDM, pp. 247-250, 2007.
[4.3]G. D. Wilk, R. M. Wallace, and J. M. Anthony, “High-k gate dielectrics: current
status and materials properties considerations”, J. Appl. Phys., Vol. 89, pp: 5243,
2001.
[4.4]See The International Technology Roadmap for Semiconductors, Semiconductor
Industry Association; see also http: //public.itrs.net/ for the most recent updates 2012.
[4.5]K. Kakushima, K. Tachi, P. Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai,
“Advantage of further scaling in gate dielectrics below 0.5nm of equivalent oxide
thickness with La2O3 gate dielectrics”, Microelecton, Reliab., Vol. 50, pp: 790, 2010.
[4.6]N. Miyata, “Study of direct contact HfO2/Si interfaces”, Materials, Vol. 5, pp: 512,
2012.
[4.7]K. Choi, H. Jagannathan, C. Choi, L. Edge, T. Ando, M. Frank, P. Jamison, M. Wang,
E. Cartier, S. Zafer, J. Bruley, A. Kerber, B. Linder, A. Callegari, Q. Yang, S. Brown,
J. Stathis, J. Iacoponi, V. Paruchuri, V. Narayanan, “Extremely scaled gate-first high-
k/metal gate stack with EOT of 0.55nm using novel interfacial layer scavenging
techniques for 22nm technology node and beyond”, VLSI, pp. 136-137, 2009.
89
[4.8]T. Ando, “Ultimate scaling of high-k gate dielectrics: higher-k or interfacial layer
scavenging”, Materials, Vol. 5, pp: 478, 2012.
[4.9]K. Kakushima, K. Tachi, M. Adachi, K. Okamoto, S. Sato, J. Song, T. Kawanago, P.
Ahmet, K. Tsutsui, N. Sugii, T. Hattori, H. Iwai, “Interface and electrical properties
of La-silicate for direct contact of high-k with silicon”, Solid-State Electron. Vol. 54,
pp: 715, 2010.
[4.10]T. Kawanago, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K.
Natori, T. Hattori, H. Iwai, “EOT of 0.62nm and high electron movility in La-
silicate/Si structure based nMOSFETs achieved by utilizing metal-inserted poly-Si
stacks and annealing at high temperature”, IEEE Trans. Electron Devices Vol. 33,
pp: 423, 2012.
[4.11]T. Kawanago, K. Kakushima, A. Parhat, Y. Kataoka, A. Nishiyama, N. Sugii, K.
Tsutsui, T. Hattori, K. Natori, H. Iwai, “Comparative study of electrical
characteristics on (100) and (110) surface-oriented nMOSFETs with direct contact
La-silicate/Si interface structure”, Solid-State Electron. Vol. 84, pp: 53, 2013.
[4.12]T. Kawanago, Y. Lee, K. Kakushima, A. Parhat, K. Tsutsui, A. Nishiyama, N. Sugii,
K. Natori, T. Hattori, H. Iwai, “Experimental study of electron mobility
characterization in direct contact La-silicate/Si structure based nMOSFETs” Solid-
State Electron. Vol. 74, pp: 2, 2012.
90
[4.13]D. Kitayama, T. Kubota, T. Koyanagi, K. Kakushima, P. Ahmet, K. Tsutsui, A.
Nishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai, “Silicate reaction control at
lanthanum oxide and silicon interface for equivalent oxide thickness of 0.5nm:
adjustment of amount of residual oxygen atoms in metal layer”, Jpn. J. Appl. Phys.
Vol. 50, pp: 10PA05, 2011.
[4.14]K. Tuokedaerhan, R. Tan, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N.
Sugii, H. Wakabayashi, K. Tsutsui, K. Natori, T. Hattori, H. Iwai, “Stacked
sputtering process for Ti, Ta, and W carbide formation for gate metal application”,
Appl. Phys. Lett. Vol. 103, pp: 111908, 2013.
[4.15] D. Kitayama, et al., Silicate reaction control at Lanthanum oxide and silicon
interface for equivalent oxide thickness of 0.5 nm: adjustment of amount of residual
oxygen atoms in metal layer, JJAP, Vol. 50, pp: 10PA05, 2011.
[4.16] J. A. Ng, et al., Effective mobility and interface-state density of La2O3 nMISFETs
after post deposition annealing, IEICE Electron. Express, Vol. 3, pp: 316-321, 2006.
[4.17]E. H. Nicollian, J. R. Brews, MOS Physics and Technology (Wiley-Interscience),
pp. 208. 2002.
[4.18]E. H. Nicollian, A. Goetzberger, “The Si-SiO2 interface electrical properties as
determined by the metal-insulator-silicon conductance technique”, Bell Syst. Tech.
91
J. Vol. 46, pp: 1055, 1967.
[4.19]K. Kakushima, T. Koyanagi, K. Tachi, J. Song, P. Ahmet, K. Tsutsui, N. Sugii, T.
Hattori, H. Iwai, “Characterization of flatband voltage roll-off and roll-up behavior
in La2O3/silicate gate dielectric ”, Solid-State Electron. Vol. 54, pp: 720 2010.
[4.20]S. J. Fang, W. Chen, T. Yamanaka, C. R. Helms, “The evolution of (001) Si/SiO2
interface roughness during thermal oxidation”, J. Electrochem. Soc. Vol. 144, pp:
2886, 1997.
[4.21]A. H. Carim, R. Sinclair, “The evolution of Si/SiO2 interface roughness”, J.
Electrochem. Soc. Vol. 134, pp: 741, 1987.
[4.22]S. J. Pennycook, Scanning Transmission Electron Microscopy: Z-Contrast Imaging.
Characterization of Materials. (John Wiley & Sons) pp. 29, 2012.
[4.23]C. H. Wu, “The role of eshelby stress in composition-generated and stress-assisted
diffusion”, J. Mech. Phys. Solids, Vol. 49, pp: 1771, 2001.
[4.24]H. H. Yu, Z. Suo, “Stress-dependent surface reactions and implications for a stress
measurement technique”, J. Appl. Phys. Vol. 87, pp. 1211, 2000.
[4.25]M. J. Aziz, P. C. Sabin, G.-Q. Lu, “The activation strain tensor: nonhydrostatic
stress effects on crystal-growth kinetics”Phys. Rev. B, Vol. 44, pp: 9812, 1991.
92
[4.26]D. Raoufi, A. Kiasatpour, H. R. Fallah, A. S. H. Rozatian, “Surface characterization
and microstructure of ITO thin films at different annealing temoeratures”, Appl.
Surf. Sci. Vol. 253, pp: 9085, 2007.
[4.27]T. Yoshinobu, A. Iwamoto, H. Iwasaki, “Scaling analysis of SiO2/Si interface
roughness by atomic force microscopy”, Jpn. J. Appl. Phys. Vol. 33, pp: 383, 1994.
[4.28]T. Itoga, H. Kojima, A. Hiraiwa, in Extend Abstracts of International Conference
on Solid State Devices and Materials (SSDM), pp. 434-436. 1992.
[4.29]M. Takiyama, S. Ohtsuka, T. Sakon, M. Tachimori, IEICE Trans. Electron. E77-C,
pp: 464, 1994.
[4.30]T. Hattori, Ultraclean Surface Processing of Silicon Wafers: Secrets of VLSI
Manufacturing. (Springer-Verlag), pp. 53, 1988.
[4.31]M. J. Aziz, P. C. Sabin, and G. Q. Lu, “The activation strain tensor: Nonhydrostatic
stress effects on crystal-growth kinetics”, Physical Review B, Vol. 44, pp: 9812,
1991.
93
Chapter 5 Reliability of La-silicate High-k with Tungsten Carbide
Gate Electrode
5.1 Introduction
5.2 Time Dependent Dielectric Breakdown (TDDB)
5.3 Positive Bias Temperature Instability (PBTI)
5.4 Conclusions
5.5 References
94
5.1 Introduction
With the scaling of the CMOS technology and the associated gate oxide thickness, the
reliability of the gate oxide has become a major barrier for reliable circuit design in nano-
scaled devices. As shown in Table 5.1 [5.1], the average oxide electric field increases with
each new technology node. All of these phenomena can have a large impact on the
reliability of a circuit, right after production or during its operational lifetime. Therefore,
a good understanding of gate oxide reliability is mandatory.
Table 5.1, Evolution of nanometer CMOS characteristics [5.1]
La-silicate gate dielectrics are regarded as promising candidates for gate dielectric
materials for further EOT scaling, owing to high dielectric constant (k~20), wide band-
gap, and fairly nice interface properties [5.2. 5.3]. However, reliability characteristics are
not well understood. In this chapter, the reliability of La-silicate gate dielectrics has been
investigated by positive bias temperature instability (PBTI) and time dependent dielectric
breakdown (TDDB). Figure 5.1 shows the device structure.
95
Figure 5.1 Device structure for TDDB and PBTI testing
5.2 Time Dependent Dielectric Breakdown
(TDDB)
Each dielectric material has a maximum electric field it can sustain. When a larger
electric filed is applied, this leads to hard breakdown. At lower electric fields, the insulator
can wearout after some time and finally break down completely. This is called time
dependent dielectric breakdown (TDDB), prior oxide TDDB, a degradation process of
the dielectric takes place that initiates the generation of traps in random positions inside
oxide and at the interface [5.1]. Figure 5.2 shows relationship between stress time and
interface state density at different stress voltage.
Si sub.
La-silicateW
TiN
Si sub.
La-silicateW2CTiN
96
Figure 5.2 Relationship between stress time and interface state density.
The interfacial state density (Dit) can be estimated using conductance method from C-V
and current density-voltage measurements. The samples for TDDB measurement were
utilized the MOS capacitors with EOT of 0.9nm. W2C and W were adopted for gate
electrode. Interface state density increased with increasing of stress voltage for both case,
indicating electron trapped state generated La-silicate dielectrics, but W2C gate electrode
effectively suppress the interface state density.
97
5.3 Positive Bias Temperature Instability (PBTI)
BTI is typically observed as a Vth or Vfb shift after a bias voltage has been applied to a
MOS gate at elevated temperature. In this study, Reliability of La-silicate gate dielectric
was estimated by positive bias temperature instability (PBTI).
Figure 5.3 Dependence of flat band voltage shift (Vfb) on total stress time
Figure 5.3 shows dependence of flat band voltage shift (Vfb) on total stress time at
various stress voltage, EOT=0.75nm. The sample measured at room temperature. Vfb was
shift on total stress time at each stress bias for both cases. Comparing W gated capacitor,
Vfb shift was suppressed by W2C gate electrodes, indicating the capacitor with W2C has
better reliability than capacitor with W electrode. The model calculates Vfb as function
of stressing time and injected charge density using three fitting parameters [5.4]. The
model equation is given by.
Vfb = Vmax (1-exp[-(t/0)]) (5.1)
Stress time (s)
0.0011 10 100 1000 10000
0.1
0.01
1
V
fb(V
)
W : ≃0.28W2C : ≃0.39
W2C Vs=1.7(V)W2C Vs=1.8(V)W2C Vs=1.9(V)
W Vs=1.7(V)W Vs=1.8(V)W Vs=1.9(V)
W2C Vs=1.7(V)W2C Vs=1.8(V)W2C Vs=1.9(V)
W Vs=1.7(V)W Vs=1.8(V)W Vs=1.9(V)
EOT=0.75nm
RT
98
Where, Vmax, 0 andis fitting parameters. As in table 5.2, for SiO2 gate dielectric,is
Compacaing reported results on Hf-based dielectrics, La-silicate with W2C gate
dielectrics shows getter reliability.
TABLE 5.2. Comparison of model parameter
Structure Ref.
SiO2/Si 1 [5.4]
TiN/HfO2/SiON/n-Si 0.16~1.19 [5.5]
W/La-silicate/n-Si 0.27 This work
W2C/La-silicate/n-Si 0.49 This work
5.4 Conclusions
Reliability of La-silicate gate dielectrics with tungsten carbide and tungsten gate
electrode have been investigated by TDDB and PBTI with constant stress voltage. During
constant voltage stress of the MOS capacitors, La-silicate MOS capacitors with tungsten
carbide gate electrodes show better reliability due to the nice interface properties.
99
5.5 References
[5.1] E. Maricau, and G. Gielen, “Analog IC reliability in nanometer COMS, 2013.
http://www.springer.com/978-1-4614-6162-3
[5.2] K. Kakushima, et al., “Interface and electrical property of La-silicate for direct
contact of high-k with silicon”, Solid State Electron., Vol. 54, pp: 715-719, 2010.
[5.3] T. Kawanago, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N. Sugii, K.
Natori, T. Hattori, H. Iwai, “EOT of 0.62 nm and high electron mobility in La-
silicate/Si structure base nMOSFETs achieved by utilizing metal-inserted poly-Si
stacks and annealing at high temperature”, IEEE Trans. ED, Vol. 59, pp: 269-276,
2012.
[5.4] S. Zafar, Y. H. Kim, V. Narayanan, C. Cabral Jr., V. Paruchuri, B. Doris, J. Stathis,
A. Callegari and M. Chudzik, “A Comparative Study of NBTI and PBTI (Charge
Trapping) in SiO2/HfO2 Stacks with FUSI, TiN, Re Gates”, VLSI, 2006.
[5.5] A. Kerber, amd E. A. Cartier, “Reliability challenges for CMOS technology
qualifications with hafnium oxide/ Titanium nitride gate stacks” Tran. On device and
materials reliability, Vol. 9, pp: 147, 2009.
100
Chapter 6 Summary and
Conclusions
In this thesis, nano sized metal gate introduced for La-silicate for further EOT scaling.
The purpose of the thesis is proper metal gate selection for scalable La-silicate gate
dielectrics.
In chapter 3, a sputtering process using multi-stacking of carbon and metal thin films
with subsequent annealing process to reactively form metal carbides (TiC, TaC and W2C)
has been presented. Grain sizes of the carbides are as small as 3.9, 3.2, and 1.9 nm for
TiC, TaC and W2C, respectively. Work functions of TiC, TaC and W2C layers have been
extracted as 4.3, 4.7, and 4.9 eV, respectively, relatively high values owing to oriented
growth. W2C layer formed by the presented process gives high potential to form carbides
with nano-sized grain and high work function for gate electrode application.
In chapter 4, Electrical properties of La-silicate MOS devices and nMOSFET with nano-
sized tungsten carbide (W2C) gate electrode has been experimentally investigated.
Interface state density (Dit) was suppressed by W2C gate electrodes. Atomically flat
metal/high-k and high-k/Si interfaces can be achieved by W2C gate electrodes, where the
interface roughness extracted from TEM is 0.26 and 0.12nm, respectively. Origin of
interface state density is due to La-silicate/Si interface roughness due to grains in metal
gate electrode. Electron eff showed improvements in both low and high Eeff, especially
101
163 cm2/Vs (Eeff=1MV) at an EOT of 0.63 nm was achieved, owing to lower Dit and
reduced roughness scatterings.
In chapter 5, Reliability of La-silicate with different gate electrodes, such as tungsten
carbide and tungsten, was measured first time by TDDB and PBTI. Better reliability was
obtained by nano sized W2C gate electrode, presumably duo to nice interface properties.
102
Publications and Presentations
Publications (first author):
[1] K. Tuokedaerhan, R. Tan, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N.
Sugii, H. Wakabayashi, K. Tsutsui, K. Natori, T. Hattori, H. Iwai. Stacked sputtering
process for Ti, Ta, and W carbide formation for gate metal application, Appl. Phys.
Lett., Vol. 103, 111908, 2013.
[2] K. Tuokedaerhan, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii, H.
Wakabayashi, K. Tsutsui, K. Natori, and H. Iwai. Atomically flat La-silicate/Si
interface using tungsten carbide gate electrode with nano-sized grain, Appl. Phys. Lett.
Vol. 104, 021601, 2014.
Presentation at international conference and symposium
(first author):
[1] K. Tuokedaerhan, T. Kaneda, M. Mamatrishat, K. Kakushima, P. Ahmet, K. Tsutsui,
A. Nishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai. Impact of annealing ambient
for La2O3/Si capacitor. G-COE PICE international symposium and IEEE EDS
mini colloquium on Advanced Hybrid Nano Devices: Prospects by World’s Leading
Scientists. Tokyo Institute of Technology, Japan, October 4-5, 2011.
103
[2] K. Tuokedaerhan, R. Tan, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N.
Sugii, K. Tsutsui , K. Natori, T. Hattori, H. Iwai. Interface properties of La-silicate
MOS capacitors with tungsten carbide gate electrode for scaled EOT. 222nd ECS
Meeting, Hawaii, USA, October 10, 2012.
[3] K. Tuokedaerhan, S. Hosoda, K. Kakushima, P. Ahmet, K. Tsutsui, A. Nishiyama, N.
Sugii, K. Natori, T. Hattori, H. Iwai. Work Function Extraction of W, Ta and Ti
Carbides Formed by Multi Stacked Process. IEEE EDS WIMNACT-37, Tokyo
Institute of Technology, Japan, February 18, 2013.
[4] K. Tuokedaerhan, S. Hosoda, Y. Nakamura, K. Kakushima, Y. Kataoka, A. Nishiyama,
N. Sugii, H. Wakabayashi, K. Tsutsui, K. Natori, and H. Iwai, Influence of carbon
incorporation in W gate electrodes for La-silicate gate dielectrics, IWDTF-13,
University of Tsukuba, Japan, November 7-9, 2013.
[5] K. Tuokedaerhan, S. Hosoda, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii,
H. Wakabayashi, K. Tsutsui, K. Natori, H. Iwai. Mobility improvement of La-silicate
MOSFET by W2C gate electrode, WIMNACT 39, Tokyo Institute of Technology,
Japan, February 7, 2014.
Presentation at domestic conference (first author):
[1] K. Tuokedaerhan, T. Kaneda, M. Mamatrishat, K. Kakushima, P. Ahmet, K. Tsutsui,
A. ishiyama, N. Sugii, K. Natori, T. Hattori, H. Iwai. Effects of post deposition
annealing on electrical characteristics of MOS device with La2O3/n-Si structure, The
104
72th JSAP Autumn Meeting, the Japan society of Applied Physics, Yamagata
University, September 1, 2011.
[2] K. Tuokedaerhan, Y. Tanaka, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N.
Sugii, K. Tsutsui , K. Natori, T. Hattori, H. Iwai. Work function measurement of C/W
stacked structure on SiO2 gate dielectrics. The 59th JSAP Spring Meeting, the Japan
society of Applied Physics, Waseda University, March 18, 2012.
[3] K. Tuokedaerhan, R. Tan, S. Hosoda, K. Kakushima, P. Ahmet, Y. Kataoka, A.
Nishiyama, N. Sugii, K. Tsutsui , K. Natori, T. Hattori, H. Iwai. Influence of Si/TiN
capped annealing on the interfacial properties of W2C/La-silicate/n-Si capacitors for
EOT scaling. The 73th JSAP Autumn Meeting, the Japan society of Applied Physics,
Ehune University/ Matsuyama University, September 12, 2012.
[4] K. Tuokedaerhan, S. Hosoda, K. Kakushima, Y. Kataoka, A. Nishiyama, N. Sugii,
H. Wakabayashi, K. Tsutsui, K. Natori, H. Iwai. Mobility improvement of La-silicate
MOSFET by W2C gate electrode, The 61th JSAP Spring Meeting, the Japan society
of Applied Physics, Aoyama University, March 17-20, 2014.
105
Acknowledgments
First of all, I would like to express my deep gratitude to Prof. Hiroshi Iwai with
Tokyo Institute of Technology for his guidance as an adviser on this thesis and for many
fruitful discussions. I am deeply grateful to Prof. Kuniyuki Kakushima for invaluable
guidance and for all of his help.
I am also grateful to Prof. Akira Nishiyama, Prof. Kazuo Tsutsui, Prof. Hitoshi
Wakabayashi, Prof. Yoshinori Kataoka, Prof. Nobuyuki Sugii, Prof. Kenji Natori, Prof.
Takeo Hattori, and Dr. Parhat Ahmet for helpful advice on my research.
I would like to thank Prof. Keisaku Yamada (University of Tsukuba), Prof. Kenji
Ohmori (University of Tsukuba), Prof. Ming Liu (Chinese Academy of Sciences), Hei
Wong (City University of Hong Kong), Z. Dong (University of Science and Technology
of China) and Y. Shi (Nanjing University) for giving valuable comments on the
manuscripts at the final examination of thesis dissertation.
I would like to thank research colleagues of Iwai/Kakushima laboratory for the kind
friendship and their supports. I would like to express my gratitude to laboratory
secretaries Ms. Akiko Matsumoto and Ms. Masako Nishizawa for all of their help.
Finally, I would like to express my deepest gratitude to my mother Parida Muratbek,
my sisters, my brothers and all my friends for their unconditional support and
encouragement throughout this study.
March 2014
Kamale Tuokedaerhan