a comparative study of low dielectric constant barrier layer, etch stop and hardmask films of...

6
Thin Solid Films 460 (2004) 211–216 0040-6090/04/$ - see front matter 2004 Elsevier B.V. All rights reserved. doi:10.1016/j.tsf.2004.01.055 A comparative study of low dielectric constant barrier layer, etch stop and hardmask films of hydrogenated amorphous Si-(C, O, N) Y.H. Wang *, M.R. Moitreyee , R. Kumar , L. Shen , K.Y. Zeng , J.W. Chai , J.S. Pan a, a a b b b b Institute of Microelectronics, 11 Science Park Road, Singapore 117685, Singapore a Institute of Materials Research and Engineering, 3 Research Link, Singapore 117602, Singapore b Received 26 May 2003; received in revised form 13 November 2003; accepted 9 January 2004 Abstract New barrier layer, etch stop and hardmask films, including hydrogenated amorphous a-SiC :H (SiC), a-SiC O :H (SiCO), and x x y a-SiC N :H (SiCN) films with a dielectric constant (k) approximately 4.3, are produced using the plasma-enhanced chemical x y vapor deposition technique. The chemical and structural nature, and mechanical properties of these films are characterized using X-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy, and nano-indentation. The leakage current density and breakdown electric field are investigated by a mercury probe on a metal-insulator-semiconductor structure. The properties of the studied films indicate that they are potential candidates as barrier layer, etch stop and hardmask films for the advanced interconnect technology. The SiC film shows a high leakage current density (1.3=10 Aycm at 1.0 MVycm) and low breakdown field (1.2 y7 2 MVycm at 1.0=10 Aycm ). Considering the mechanical and electrical properties requirements of the interconnect process, y6 2 SiCN might be a good choice, but the N content may result in via poison problem. The low leakage current (1.2=10 Aycm y9 2 at 1.0 MVycm), high breakdown field (3.1 MVycm at 1.0=10 Aycm ), and relative high hardness (5.7 GPa) of the SiCO y6 2 film indicates a good candidate as a barrier layer, etch stop, or hardmask. 2004 Elsevier B.V. All rights reserved. Keywords: Plasma-enhanced chemical vapor deposition technique; X-Ray photoelectron spectroscopy; Leakage current; Low dielectric constant 1. Introduction The performance of ultra large-scale integrated circuit (ULSI) is dominated at the metal interconnect level when the feature size scales down to the 180 nm and below. Interconnects using low dielectric constant (low k) materials and copper metallization are being devel- oped and applied to reduce the RC delay, thus meet the demand for increased integrated circuits performance w1,2x. Conventionally, a-Si N :H (SiN) with k value of 3 4 approximately 7.0 is used for barrier and etch stop layer. But due to the high permittivity of SiN, the merit of copper interconnect becomes degraded by parasitic capacitance effect. To reduce the overall dielectric con- stant in a copper damascene structure, much attention has been focused on developing low k barrier layer, etch stop, and hardmask films that can prevent copper from *Corresponding author. Tel.: q65-67705797; fax: q65-67731914. E-mail address: [email protected] (Y.H. Wang). interacting with contrasting materials in multilevel inter- connect schemes w3–8x. Additional requirements for barrier layer, etch stop and hardmask films include good copper diffusion barrier properties, good insulating prop- erties, strong adhesion ability to the copper, metal barrier, and low k dielectric, high etch selectivity to interlayer dielectric, and compatibility with damascene integration. Moreover, the films must have good stability under thermal cycles and be extendible to meet the future ULSI production requirements. In this work, new barrier layer, etch stop and hardmask films, hydrogen- ated amorphous a-SiC :H (SiC), a-SiC O :H (SiCO), x x y and a-SiC N :H (SiCN) with k value less than 5.0, are x y comparatively studied. The chemical composition and bonding structure are characterized. The mechanical and electrical properties are investigated. 2. Experimental details The SiCO films were prepared by a multi-station sequential parallel-plate plasma-enhanced chemical

Upload: yh-wang

Post on 02-Jul-2016

218 views

Category:

Documents


3 download

TRANSCRIPT

Thin Solid Films 460(2004) 211–216

0040-6090/04/$ - see front matter� 2004 Elsevier B.V. All rights reserved.doi:10.1016/j.tsf.2004.01.055

A comparative study of low dielectric constant barrier layer, etch stopand hardmask films of hydrogenated amorphous Si-(C, O, N)

Y.H. Wang *, M.R. Moitreyee , R. Kumar , L. Shen , K.Y. Zeng , J.W. Chai , J.S. Pana, a a b b b b

Institute of Microelectronics, 11 Science Park Road, Singapore 117685, Singaporea

Institute of Materials Research and Engineering, 3 Research Link, Singapore 117602, Singaporeb

Received 26 May 2003; received in revised form 13 November 2003; accepted 9 January 2004

Abstract

New barrier layer, etch stop and hardmask films, including hydrogenated amorphous a-SiC :H(SiC), a-SiC O :H(SiCO), andx x y

a-SiC N :H (SiCN) films with a dielectric constant(k) approximately 4.3, are produced using the plasma-enhanced chemicalx y

vapor deposition technique. The chemical and structural nature, and mechanical properties of these films are characterized usingX-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy, and nano-indentation. The leakage current density andbreakdown electric field are investigated by a mercury probe on a metal-insulator-semiconductor structure. The properties of thestudied films indicate that they are potential candidates as barrier layer, etch stop and hardmask films for the advanced interconnecttechnology. The SiC film shows a high leakage current density(1.3=10 Aycm at 1.0 MVycm) and low breakdown field(1.2y7 2

MVycm at 1.0=10 Aycm ). Considering the mechanical and electrical properties requirements of the interconnect process,y6 2

SiCN might be a good choice, but the N content may result in via poison problem. The low leakage current(1.2=10 Aycmy9 2

at 1.0 MVycm), high breakdown field(3.1 MVycm at 1.0=10 Aycm ), and relative high hardness(5.7 GPa) of the SiCOy6 2

film indicates a good candidate as a barrier layer, etch stop, or hardmask.� 2004 Elsevier B.V. All rights reserved.

Keywords: Plasma-enhanced chemical vapor deposition technique; X-Ray photoelectron spectroscopy; Leakage current; Low dielectric constant

1. Introduction

The performance of ultra large-scale integrated circuit(ULSI) is dominated at the metal interconnect levelwhen the feature size scales down to the 180 nm andbelow. Interconnects using low dielectric constant(lowk) materials and copper metallization are being devel-oped and applied to reduce the RC delay, thus meet thedemand for increased integrated circuits performancew1,2x. Conventionally, a-Si N :H(SiN) with k value of3 4

approximately 7.0 is used for barrier and etch stop layer.But due to the high permittivity of SiN, the merit ofcopper interconnect becomes degraded by parasiticcapacitance effect. To reduce the overall dielectric con-stant in a copper damascene structure, much attentionhas been focused on developing lowk barrier layer, etchstop, and hardmask films that can prevent copper from

*Corresponding author. Tel.:q65-67705797; fax:q65-67731914.E-mail address: [email protected](Y.H. Wang).

interacting with contrasting materials in multilevel inter-connect schemesw3–8x. Additional requirements forbarrier layer, etch stop and hardmask films include goodcopper diffusion barrier properties, good insulating prop-erties, strong adhesion ability to the copper, metalbarrier, and lowk dielectric, high etch selectivity tointerlayer dielectric, and compatibility with damasceneintegration. Moreover, the films must have good stabilityunder thermal cycles and be extendible to meet thefuture ULSI production requirements. In this work, newbarrier layer, etch stop and hardmask films, hydrogen-ated amorphous a-SiC :H(SiC), a-SiC O :H (SiCO),x x y

and a-SiC N :H(SiCN) with k value less than 5.0, arex y

comparatively studied. The chemical composition andbonding structure are characterized. The mechanical andelectrical properties are investigated.

2. Experimental details

The SiCO films were prepared by a multi-stationsequential parallel-plate plasma-enhanced chemical

212 Y.H. Wang et al. / Thin Solid Films 460 (2004) 211–216

Table 1Composition, hardness, Young’s modulus, refractive index, and dielectric constant of the SiC, SiCO, and SiCN films

Sample Atomic composition(%) Hardness Young’s Refractive Dielectric

Si C O N(GPa) modulus(GPa) index constant

SiC 51.1 46.2 2.7 4.2 31 1.98 4.2SiCO 38.9 35.3 25.8 5.7 59 1.72 4.4SiCN 46.2 33.1 3.0 17.7 12 92 1.88 4.3

vapor deposition(PECVD) system. The plasma wassustained with two radio frequency(r.f.) generators at13.56 MHz and 100 kHz. The Si(100) substrates(8inch p-type single crystal wafers) were heated at 4008C during the deposition. The working pressure wasmaintained at 2.5 Torr. The precursors used were liquidtetramethylsilane(4MS, Si(CH ) ), CO gas.3 4 2

The SiC films were deposited by a single wafer,parallel plate PECVD system. The plasma was sustainedwith an r.f. generator at 13.56 MHz. The precursorsused were trimethylsilane(3MS, (CH ) SiH), He gases.3 3

The addition of He gas was to increase the 3MSdissociation efficiency. The Si(100) substrates(8 inchp-type single crystal wafers) were heated at 3508Cduring the deposition. The working pressure and r.f.power were maintained at 8.7 Torr and 460 W, respec-tively. The SiCN films were deposited via the directdissociation of 3MS in plasma using the same system.A mixture gas(He and NH) was added to increase the3

3MS dissociation efficiency and adjust the compositionand property of this barrier layer. The gas flow rate ratio3MSyNH yHe was fixed to a value of 1y2y2.5. The3

deposition temperature was 3508C. The r.f. power andworking pressure were maintained at 300 W and 3.0Torr, respectively.The chemical bonding and structure of the grown

films were characterized using a Bio-Rad QS 2200Fourier transform infrared(FTIR) spectroscopy with aresolution of 4 cm . The film thickness and refractivey1

index (at 632.8 nm wavelength) were measured on anOpti-probe system from Therma Wave. The thicknessof the films was also confirmed by scanning electronmicroscope(SEM) cross section measurements using aJEOL JSM-6700F system. The chemical composition ofthe films was obtained from X-ray photoelectron spec-troscopy(XPS) measurements. The XPS measurementswere performed ex situ in a VG ESCALAB 220i-XLsystem utilizing a non-monochromated Mg Ka X-raysource(1253.6 eV). The films were precleaned usingan Ar sputtering at 3 keV ion energy and 1.0mAyq

cm ion current density for 2 min, to remove the surface2

contaminates. Survey spectra were recorded with a passenergy of 150 and a 1 eVstep width. The pass energyand step width for narrow scans were 20 eV and 0.1eV, respectively. The resolution of the analyzer wasapproximately 0.8 eV. The error for the chemical com-

positions was"10 at.%. After a Shirley-type back-ground subtraction, the XPS narrow scan spectra wereanalyzed by peak fitting based on GaussianyLorentzian(80% Lorentzian), due to the instrumental resolution,using the manufacturer’s standard software. The lineshape was kept in the same for each component. Thefull width at half-maximum(FWHM) of the peaks waskept in the range of 1.4–1.6 eV. The precision of thephotoelectron peak position was"0.2 eV. The chargingshifts of these peaks were corrected using C–CyHbinding energy of 284.6 eV. Dielectric constant andleakage current were measured by an SSM mercuryprobe cyclic voltammetry(CV) system(SSM 495) ona metal–insulator–semiconductor structure at 1 MHz.The averagek value was obtained from the measurementof 49 sites. The Young’s modulus and hardness of thethin films were measured by a nano-indenter(MTS XP)system with a continuous stiffness measurement tech-nique at a constant strain rate condition. With thistechnique, each indent gives hardness and Young’smodulus as a continuous function of the indenter’sdisplacement into the samples. A three-side pyramid(Berkovich) diamond indenter was employed for theexperiment. Ten indentations were performed on eachsample. The typical thickness of the film investigated isapproximately 500 nm. To avoid a substrate effect, theaverage hardness and Young’s modulus were calculatedusing the load–displacement data with penetrationdepths between one tenth and one fifth of the filmthickness.

3. Results and discussion

The chemical composition of the films determined byXPS measurements is listed in Table 1. Only the peaksof Si, C, and O are observed in the XPS survey spectraof the SiC and SiCO films as shown in Fig. 1a–b. TheXPS survey spectrum of the SiCN film is shown in Fig.1c, the Si, C, N, and O peaks are detected. In Fig. 1a–c, a peak located at approximately 242 eV(Ar 2p) isdetected, which is due to the Ar sputtering. It is notedq

that the atomic concentration of oxygen of the as-received surface for the SiC and SiCN films is higherthan 20 at.%. However, after an Ar sputtering at 3q

keV and 1.0mAycm for more than 30 s, the atomic2

concentration of oxygen reaches low values in the range

213Y.H. Wang et al. / Thin Solid Films 460 (2004) 211–216

Fig. 1. The XPS survey spectra of(a) SiC, (b) SiCO, and(c) SiCNfilms obtained after an Ar sputtering at 3 keV and 1.0mAycm forq 2

120 s.

Fig. 2. Narrow scans of Si 2p and C 1s XPS spectra for the films:(a) SiC, (b) SiCO, and(c) SiCN.

of 1–4 at.%. Therefore, the much higher atomic concen-tration of oxygen on the as-received surface of SiC andSiCN films is due to the surface oxidationycontamina-tion in the atmosphere prior to the XPS measurements.The XPS narrow scans of C 1s, Si 2p, O 1s, and N

1s of the SiC, SiCO, and SiCN films were furtheremployed to examine the bonding structures in detail.For all of the films, the O 1s spectrum is composedmainly of a single peak with a binding energy corre-sponding to Si–O bond(532.2 eV). Fig. 2a shows theC 1s and Si 2p XPS spectra of the SiC film. The C 1sspectrum is best fitted by two Gaussian–Lorentzianpeaks at 283.4 eV(C–Si) and 284.6 eV(C–CyH),respectively. The Si 2p spectrum could be fitted withtwo peaks due to Si–SiyH at 99.5 eV and Si–C at100.3 eV, respectively. The origin of the presence ofSi–SiyH might be due to the slight Si-rich of the SiCfilm. Both C 1s and Si 2p spectra suggest that the majorpart of Si in the SiC film is bonded to C to form anexpected randomly amorphous Si–C network. For theSiCO film, the C 1s spectrum is decomposed into theC–Si, C–CyH, and C–OH(286.0 eV) peaks, and theSi 2p spectrum is decomposed into the Si–C, O–Si–C(101.6 eV), and Si–O(102.7 eV) peaks, as shown inFig. 2b. In addition, the O 1s spectrum of the SiCOfilm is well fitted into one peak at 532.2 eV(Si–O)with a FWHM of 1.56 eV. The high relative percentage

of peak O–Si–C in the Si 2p spectrum indicates arandomly amorphous C–Si–O network dominated film.The Fig. 2c is the XPS spectra of the C 1s and Si 2pelectron states of the SiCN film. Similarly, the C 1sspectrum is decomposed into the C–Si, C–CyH, andC–N (285.8 eV) peaks, and the Si 2p spectrum isdecomposed into the Si–C(100.3 eV) and Si–N(101.5eV) peaks. For the N 1s spectrum of the SiCN film,two peaks 397.8 eV and 398.6 eV, are attributed to

214 Y.H. Wang et al. / Thin Solid Films 460 (2004) 211–216

Fig. 3. FTIR spectra of the films:(a) SiC, (b) SiCO, and(c) SiCN.

Table 2Summary of binding energy of Si 2p, C 1s and N 1s in different bonds

Bond Binding energy(eV) Compound or chemical Binding energy(eV)this work environment references

Si–SiyH 99.5 a-Si:H, Si-rich a-SiC:H, a-Si 99.2–99.5w9–12xSi–C 100.3 a-SiC:H, a-SiC, a-SiCO:H 100.3–100.7w9,12,13xSi–N 101.5 a-SiN:H, a-SiN 101.5–101.8w14,15xO–Si–C 101.6 a-SiCO:H 101.8w9xSi–O 102.7 a-SiO :H, a-SiO2 2 102.6–103w16xC–Si 283.4 a-SiC:H, a-SiC 283.2–283.6w9,12,17xC–CyH 284.6 a-C:H, C-rich a-SiC:H, a-C 284.6w9,12,18xC–N 285.8 a-CN:H, a-CN 285.8w18xC–OH 286.0 a-SiCO:H 286.0–286.4w9,12xN–Si 397.8 a-SiN:H, a-SiN 397.1–397.8w14,17,19xN–C 398.6 a-CN:H, a-CN 398.6w14,18x

N–Si and N–C bonds, respectively. Table 2 lists theenergy positions of these peaks. It should be noted that,the decompositions are not sufficient enough to obtainprecisely the fraction of the bond, but as a roughestimation.Fig. 3 shows the FTIR spectra of the hydrogenated

amorphous SiC, SiCO, and SiCN films. All related IRvibration peaks, such as Si–H, N–H, C–H, Si–N, C–N, Si–C, and Si–O are observed, which is in agreementwith the XPS results(decomposition of C 1s, Si 2p,and N 1s narrow scans). The assignments for thevibration frequencies associated with these bonds arebriefly summarized in Table 3. Fig. 3a is the infraredabsorption spectrum of the SiC film, which reveals theprominent Si–CH rocking and Si–C stretching modes3

at ;780 cm , C–H wagging in SiCH at;1000y13

cm , Si–H stretching at;2090 cm , and C–Hy1 y1n

stretching at;2890 and 2950 cm . Three bands aty1

;1250,;1350, and;1400 cm are due to the Si–y1

CH bending vibration. For the SiCO film, as shown in3

Fig. 3b, the prominent Si–C and Si–O stretching modesare observed at;800 and 1020 cm , respectively. They1

20 cm shift of Si–C peak from 780 cm in SiC filmy1 y1

to 800 cm in SiCO is due to the oxygen atomsy1

incorporated in the network. An obvious band at 460cm is assigned to the Si–O bending. The other peaks,y1

C–H wagging at;1000 cm , Si–H stretching aty1n

;2150 cm , C–H stretching at;2900 cm , andy1 y1

three Si–CH bending bands at;1250,;1340, and3

;1400 cm , are similar as that of the SiC film. Asy1

shown in Fig. 3c for the SiCN film, the IR absorptionspectrum is more complicated than that of SiC or SiCO.The weak band at;460 cm is assigned to they1

stretching mode of Si–N. The maximum absorption inthe range of 600–1200 cm can be associated with they1

overlap of stretching modes of Si–C(approx. 800cm ) and Si–N (approx. 850 or 950 cm ), andy1 y1

bending modes of C–H(approx. 1000 cm ) and N–y1n

H (approx. 1150 cm ). Three Si–CH bending bandsy1n 3

at;1250,;1340 and;1400 cm are also detected.y1

The peak located at;1540 cm can be ascribed toy1

N–H wagging and C–N stretching vibration modes.2

The broad band at;2120 cm suggests that they1

absorption band results from the overlap of the Si–Hn

(2000–2100 cm ) and C–N (2100–2250 cm )y1 y1

stretching modes. The two resolved peaks at 2880 and2950 cm are attributed to C–H stretching modes.y1

n

The additional band at 3380 cm is due to the N–Hy1

stretching vibrations. The broad absorption peaks(Gaus-sian shape) indicate a Gaussian distribution of the

215Y.H. Wang et al. / Thin Solid Films 460 (2004) 211–216

Fig. 4. Leakage current densities vs. electrical field for the films:(a)SiC, (b) SiCO, and(c) SiCN.

Table 3Summary of IR absorption band assignments

Assignment Wavenumber(cm )y1 References

Si–N stretching, Si–O rocking 460 w20xSi–H waggingyrocking 630 w21xSi–C stretching, wagging 780, 800 w21,22xSi–N stretching 850, 950 w14,20,23,24xC–H waggingn 1000 w21xSi–O stretching 1100, 990–1065 w20,25xN–H bendingn 1150 w20xSi–CH bending3 1250, 1340, 1400 w26xN–H wagging, C–N stretching2 1550 w20,27xSi–H stretching 2000 w21,26xSi–H stretching2 2100 w21,26xC–N stretching 2100–2250 w27xC–H stretchingn 2880, 2960 w26,28xN–H stretching 3330 w20x

bonds’ length and angles, characterizing an amorphousnature of the films.The hardness and Young’s modulus of the SiC, SiCO,

SiCN films are listed in the Table 1. The SiC film hasthe weakest mechanical properties with hardness andYoung’s modulus of 4.2 GPa and 31 GPa, respectively.With the O atoms incorporated in Si–C network, thehardness and Young’s modulus of the SiCO film increaseto 5.7 GPa and 59 GPa, respectively. The SiCN filmshows the highest hardness(12 GPa) and Young’smodulus (92 GPa). It is noted that, the measuredhardness and Young’s modulus for PECVD a-SiO :H2

are 7.5 GPa and 87 GPa, respectively. For PECVD a-Si N :H, the hardness and Young’s modulus are 19 GPa3 4

and 154 GPa, respectively. Therefore, with O atomsincorporated in SiC, the mechanical properties of theSiCO film become close to that of SiO . Similarly, with2

N atoms partially replacing the C in the Si–C network,the mechanical properties of the SiCN film becomemore like a-Si N :H showing high hardness and Young’s3 4

modulus.The refractive index(at 632.8 nm) and k values

(measured at 1 MHz) of the SiC, SiCO, SiCN films arealso listed in the Table 1. Thek values of these filmsare approximately 4.3. The dielectric constant comprisesthree components due to electronic, ionic, and orienta-tional polarization and its value is frequency dependent.In the visible region, thek value results from onlyelectronic polarization and is equal to the square of therefractive index. Therefore, the electronic polarizationcontributions to the dielectric constant of SiC, SiCO,SiCN films are 3.92, 2.96 and 3.52, respectively. Theresult indicates that with O or N atoms incorporated inthe SiC network, the ionic and dipolar polarizationcontributions to the dielectric constant increase. With Cor N atoms incorporated in the SiC network, the struc-ture of SiCO or SiCN becomes hardydense as shownby the nano-indenter measurements. An increase in filmdensity will result in an increase of the ionic polarization

contribution. Moreover, the Si–O and Si–N bonds aremore ionic than the Si–C bond. Thus the ionic anddipolar polarization contributions to the dielectric con-stant increase for the SiCO and SiCN films. However,comparing to the SiCO and SiCN films, the highelectronic polarization contribution to the dielectric con-stant of SiC film is not clear.Fig. 4 plots the variations of leakage current density

against electric field for the SiC, SiCO, and SiCN films.A similar leakage current result in the electric fieldrange of 0–5 MVycm is found for the SiCO and SiCNfilms. However, a significant difference between the SiCand SiCO or SiCN appears in the leakage currentcharacteristics. Fig. 4a shows a leakage current approx-imately 1.3=10 Aycm (at 1.0 MVycm) and ay7 2

breakdown field approximately 1.2 MVycm (at1.0=10 Aycm ) for the SiC film. As illustrated byy6 2

Fig. 4b for the SiCO film, the leakage current is below1.2=10 Aycm (at 1.0 MVycm) and the breakdowny9 2

field is above 3.1 MVycm (at 1.0=10 Aycm ). They6 2

Fig. 4c reveals a leakage current below 1.5=10 Ayy9

216 Y.H. Wang et al. / Thin Solid Films 460 (2004) 211–216

cm (at 1.0 MVycm) and a breakdown field above 2.72

MV ycm (at 1.0=10 Aycm ) for the SiCN film.y6 2

Therefore, the SiC film shows a two orders of magnitudehigher leakage current and;60% lower breakdownvoltage compared to the SiCO or SiCN film. It has beenreported that a leakage current;1.0=10 Aycm (aty9 2

1.0 MVycm) and a breakdown field of 2.7 MVycm (at1.0=10 Aycm ) for the PECVD SiC film arey6 2

obtained w3–5x. Thus, our SiC deposition conditionscould be optimized to obtain a better electric property.

4. Conclusion

New barrier layer, etch stop and hardmask films(hydrogenated amorphous SiC, SiCO, and SiCN), havebeen produced using the PECVD technique. The chem-ical and structural nature of these films has been char-acterized using XPS, FTIR. The results indicate theprominent Si–C bonds in the SiC film, Si–C and Si–Obonds in the SiCO film, and Si–C and Si–N bonds inthe SiCN film. The hardness and Young’s modulus havebeen measured by a nano-indenter. The leakage currentdensity and breakdown electric field have been investi-gated by a mercury probe on a metal-insulator-semi-conductor structure. Thek values of the three films areapproximately 4.3. The properties of the studied filmsindicate that they can be used as barrier layer, etch stopand hardmask films for the advanced interconnect tech-nology. Considering the mechanical and electrical prop-erties requirement of the interconnect process, SiCNmight by a good choice, but the N content may resultin via poison problem. The high leakage current density(1.3=10 Aycm at 1.0 MVycm) and low breakdowny7 2

field (1.2 MVycm at 1.0=10 Aycm ) of the SiC filmy6 2

indicates a bad insulating property. The low leakagecurrent(1.2=10 Aycm at 1.0 MVycm), high break-y9 2

down field (3.1 MVycm at 1.0=10 Aycm ), andy6 2

relative high hardness(5.7 GPa) of the SiCO filmindicates a good candidate as a barrier layer, etch stop,or hardmask. However, further characterization of theadhesion ability to the copper, metal barrier, and lowkdielectrics, etch selectivity to interlayer dielectrics andphotoresists is needed. Finally, it should be pointed outthat our characterization results are based on theobtained films, and the film properties are stronglydepending on the deposition conditions.

References

w1x S.P. Jeng, M. Chang, T. Kroger, P. McAnally, R.H. Havemann,VLSI Tech. Dig. 7–9 June 1994, p. 73.

w2x International Technology Roadmap for Semiconductors-Inter-connect, 2001 ed., Semiconductor Industry Association, Inter-national SEMATECH, Austin, TX, 2001.

w3x P. Xu, K. Huang, A. Patel, S. Rathi, B. Tang, J. Ferguson, J.Huang, C. Ngai, M. Loboda, Proceedings of the IEEE 1999International Interconnect Technology Conference(IEEE Elec-tron Devices Society, San Francisco, CA), 24–26 May 1999,p. 109.

w4x B.Y. Tsui, K.L. Fang, S.D. Lee, IEEE Trans. Electron Devices48 (2001) 2375.

w5x M.J. Loboda, Microelectron. Eng. 50(2000) 15.w6x T. Ishimaru, Y. Shioya, H. Ikakura, M. Nozawa, Y. Nishimoto,

S. Ohgawara, K. Maeda, Proceedings of the IEEE 2001International Interconnect Technology Conference(IEEE Elec-tron Devices Society, San Francisco, CA), 4–6 June 2001, p.36.

w7x T. Ishimaru, Y. Shioya, H. Ikakura, M. Nozawa, S. Ohgawara,T. Ohdaira, R. Suzuki, K. Maeda, J. Electrochem. Soc. 150(2003) F83.

w8x C.S. Yang, K.S. Oh, J.Y. Ryu, D.C. Kim, J. Shou-Yong, C.K.Choi, H.J. Lee, S.H. Um, H.Y. Chang, Thin Solid Films 390(2001) 113.

w9x W.K. Choi, T.Y. Ong, L.S. Tan, F.C. Loh, K.L. Tan, J. Appl.Phys. 83(1998) 4968.

w10x I. Solomon, M.P. Schmidt, C. Senemaud, M.D. Khodja, Phys.Rev. B 38(1988) 13 263.

w11x M.S. Donley, D.R. Baer, T.G. Stoebe, Surf. Interface Anal. 11(1988) 335.

w12x Y.H. Wang, J. Lin, C.H.A. Chua, Mater. Sci. Eng. B 95(2002)43.

w13x B. Horetz, H.J. Michel, J. Halbritter, J. Mater. Res. 9(1994)3088.

w14x X.C. Xiao, Y.W. Li, L.X. Song, X.F. Peng, X.F. Hu, Appl.Surf. Sci. 156(2000) 155.

w15x C.D. Wagner, D.E. Passoja, H.F. Hillery, T.G. Kiniski, H.A.Six, W.T. Jansen, J.A. Taylor, J. Vac. Sci. Technol. 21(1982)933.

w16x H. Lutz, M. Bruns, F. Link, H. Baumann, Thin Solid Films332 (1998) 230.

w17x W.F.A. Besling, A. Goossens, B. Meester, J. Schoonman, J.Appl. Phys. 83(1998) 544.

w18x M. Tabbal, P. Merel, S. Moisa, M. Chaker, A. Ricard, M.Moisan, Appl. Phys. Lett. 69(1996) 1698.

w19x A. Gheorghiu, C. Senemaud, H. Roulet, G. Dufour, T. Moreno,S. Bodeur, C. Reynaud, M. Cauchetier, M. Luce, J. Appl. Phys.71 (1992) 4118.

w20x S. Sitbon, M.C. Hugon, B. Agius, F. Abel, J.L. Courant, M.Puech, J. Vac. Sci. Technol. 13(1995) 2900.

w21x F. Demichelis, C.F. Pirri, E. Tresso, T. Stapinski, J. Appl. Phys.71 (1992) 5641.

w22x E. Gat, M.A. Elkhakani, M. Chaker, A. Jean, S. Boily, H.Pepin, J.C. Kieffer, J. Durand, B. Cros, F. Rousseaux, S.Gujrathi, J. Mater. Res. 7(1992) 2478.

w23x V.S. Nguyen, S. Burton, P. Pan, J. Electrochem. Soc. 131(1984) 2348.

w24x G. Lucovsky, D.V. Tsu, J. Vac. Sci. Technol. A 5(1987) 2231.w25x M.K. Weldon, B.B. Stefanov, K. Raghavachari, Y.J. Chabal,

Phys. Rev. Lett. 79(1997) 2851.w26x H. Wieder, M. Cardona, C.R. Guarnieri, Phys. Status Solidi B

92 (1979) 99.w27x N. Mutsukura, K.I. Akita, Thin Solid Films 349(1999) 115.w28x Y. Tawada, K. Kondo, H. Okamoto, Y. Hamakawa, J. Appl.

Phys. 53(1982) 5273.