9-11 july 2003c. de la taille electronics cern summer school 20031 course 2 : deciphering a...

29
9-11 july 20 03 C. de La Taille Electronics CERN Su mmer School 2003 1 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay [email protected] CERN Summer school 2003

Upload: todd-hudson

Post on 29-Dec-2015

217 views

Category:

Documents


2 download

TRANSCRIPT

Page 1: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 1

Course 2 : deciphering a schematic

C. de LA TAILLE LAL Orsay

[email protected]

CERN Summer school 2003

Page 2: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 2

Summary of lecture 1

Charge preamplifierarchitecture

Ohm’s law enough to do electronics Without forgetting Z complex… The science of Electronics engineering

Current sensitive preamplifiers (PAI)

Charge sensitive preamplifiers (PAC) Output proportionnal to the incoming

charge

« Gain » : 1/Cf : Cf = 1 pF -> 1 mV/fC

Transforms a short pulse into a long one Low input impedance -> current sensitive Virtual resistance Rin-> stable with

capacitive detector The front-end of 90% of particle physics

detectors… But always built with custom circuits…

vout(t) = - Q/Cf

Page 3: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 3

Cf

Input

Output

Charge preamp example

Charge preamp for W-Si calorimeter at FLC… Readout of 1

cm2 Si PIN diodes

Complete schematic (DC feedback omitted)

Page 4: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 4

Basic design in analog electronics :

Three basic bricks Common emitter (CE) Common collector (CC) Common base (BC)

Numerous composites Darlington Paraphase Cascode…

Simple models hybrid π model Similar for bipolar and MOS Essential for design

+ Powerful simulation tools Spice, Spectre, Eldo…

BC

EC CC

The Art of electronics design

Page 5: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 5

Components : bipolar transistors (1)

Principle of operation : Forward bias of base emitter junction injects

carriers which are swept in the collector due to the high collector-base electric field

collector current (IC) controlled by base-emitter voltage (VBE)

Transconductance device : gm = ∂IC /∂VBE

First transistor (1949)(Brattain-Bardeen Nobel 56)

SiGe Bipolar in 0.35µm monolithic process

Page 6: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 6

Components : bipolar transistors (2)

State equation :

UT = kT/q = 26 mV : thermal potential

Is = 10-16-10-14 A : technological param.

Very wide validity range : nA -> mA

small input (base) current IB IB = IC/0 0 >>1 is the current gain : a

very misleading term !

Early effect Very small dependance of IC with

collector voltage (VCE) IC(VCE) are straight lines which cross at the

same « Early » voltage -VE (20-200V)

IC = ISexp(VBE/UT)

VBE

IC

IC

VCE

Page 7: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 7

Small signal model

Equivalent circuit around bias point Signal = small variation around DC operating

point (« bias point ») => Linearisation : signal vin << VBE

VBE -> VBE + vin => IC = IC ( 1 + vin/UT +…)

Tranconductance : gm = ∂IC /∂VBE = IC/UT

• gm depends only on bias current IC and UT= kT/q

• Ex : IC = 1 mA => gm = 1mA/26mV = 40 mA/V Input impedance = rπ

Base current => Rin = rπ = ∂VBE /∂IB = 0 /gm

Relatively large : rπ = 1-100 kΩ

• Ex : IC = 1 mA ; =100 => rπ = 2.6 kΩ Output impedance : r0

Early effect : Rout = r0 = ∂VCE /∂IC = VE/IC VE is the Early voltage = 10-100 V

Large value : 10k-10MΩ• Ex : Ic=1 mA ; VE=100V => r0 = 100 kΩ

Low frequency hybrid model of bipolar

Schematic drawing of NPN transistor

Page 8: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 8

High frequency hybrid model of bipolar

Hybrid model

Simple hybrid model : Voltage controlled current source

gmvBE

Transconductance gm = IC/UT

Large input resistance rπ = 0 /gm

Large output resistance r0 = VA/IC

Frequency response Include capacitors :

• Base-emitter junction Cπ

• Base collector junction Cμ

=> β varies with frequency : (ω) = gmzπ (ω)

β(ω) = β0 / [ 1 + j β0(Cπ + Cμ)/gm]

β(f) = 1 for f = fT (transition frequency) fT is a function of IC but asymptotic to a max FT

Low frequency hybrid model of bipolar

Page 9: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 9

Components : MOS transistors

Strong inversion : quadratic approximation

ID= ½ µnCox W/L (VGS-VT)²• VT : threshold voltage : technology

dépendant

• µn carrier mobility, Cox gate thickness

• W and L : dimensions = “designer’s choice”

Voltage controlled current source No gate current : IG=0

Substrat P

Drain

Sour

ce

GateSi-poly

Gate Oxyde SiO2

N+N+

W

L

P

N+N+

VGS

VDS

VSB

Depletion regionChannel

VT

ID

VGS

Page 10: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 10

Components : MOS transistors

Weak inversion : exponential law when VGS close to VT

ID = ID0 exp(VGS/nUT) (1 < n < 2)

Similar to bipolar with β0 -> ∞

Early effect Small dependance of ID with VDS

Similar to bipolar transistor, but smaller VA

Body effect Effect of back gate : change in

effective channel thickness MOS is a 4 terminals device

ID

VDS

5 µm MOSFET (1985)

Page 11: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 11

MOS hybrid model :

Small signal equivalent circuit Voltage-controlled (VGS) current source (ID)

Transconductance : gm = ∂ID /∂VGS

• Strong inversion : gm = (µnCox W/L ID) ½

• Weak inversion : gm = ID/nUT

Input impedance : CGS

Output impedance : 1/gDS = VE/ID• VE : Early voltage VE = L

Capacitance :• Gate-Source : CGS ~ 2/3 Cox W L

• Gate-Drain : CGD ~ 1/3 Cox W L

weak inversion

Strong inversion

IC

Similar hybrid π model as the bipolar gm remains gm (but smaller)

rπ -> ∞

r0 -> 1/gDS

Page 12: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 12

Common emitter (CE) configuration

Emitter common to input and output Input on the base Output on the collector “common source” with MOS

Low frequency characteristics Input impedance Rin = r (=0/gm) Output impedance: Rout = r0 (=VA/IC) Voltage gain : G = vout/vin = - gm RL

Inverting amplifier

Transconductance stage Large Zin : voltage sensitive

input Large Zout : current driven

output Transconductance gm determined

by bias current IC

Common emitterconfiguration

Equivalent circuit of CC

Page 13: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 13

Common emitter frequency response

Frequency response Include capacitors : Cπ, Cμ Effect of Cμ: Miller effect

= apparent input capacitance CMi

CMi = (1 + gmRL)Cμ

Gain : Av = -gmRL/[1 + jRS(Cπ+CMi)] Ex : RS=1 kΩ RL=20kΩ gm=1 mA/V

Cπ=2.5 pF Cμ=0.5 pF => CMi = 10 pF tau=12.5ns

Time response H(t) = F -1 { 1/jω R/(1+jωRC) }

= R [ 1 - exp(-t/ τ) ] τ = RS(Cπ+CMi) Similar calculation as photodiode preamp

Slow configuration

Frequency response of CE

Page 14: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 14

Cf

Input

Output

Charge preamp example

Find the common emitter…

Page 15: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 15

Common collector (CC) :

Collector common to input and output Input on the base Output on the emitter = Common drain for MOS

Low frequency characteristics Input impedance Rin = r + 0RE

Output impedance: Rout = RS/0+1/gm

Voltage gain : Av = vout/vin = 1/(1+1/gm RE) ≤ 1

Non inverting

Voltage follower or “emitter follower” Large Zin : voltage sensitive input Small Zout : voltage driven output Unity gain buffer : “the emitter follows

the base”

Frequency response : ~FT Small apparent input capacitance

Common collectorconfiguration

Equivalent circuit of CC

Page 16: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 16

Cf

Input

Output

Charge preamp example

Find the Common collector…

Page 17: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 17

Common base (CB) :

Collector common to input and output Input on the emitter Output on the collector

Low frequency characteristics Input impedance Rin = 1/gm

Output impedance: Rout = (1+gmRS)r0

Current gain : Ai ~ 1 Non inverting

Current conveyor Small Zin : current sensitive

input Large Zout : current driven

output Unity gain current conveyor

Frequency response : ~FT Very fast Excellent isolation input/output

Common baseconfiguration

Equivalent circuit of CC

Page 18: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 18

Cf

Input

Output

Charge preamp example

Find the Common Base…

Page 19: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 19

Appendix 1 : simple composites :

Cascode = CE + CB Equivalent to CE Larger output impedance Rout =

(1+gm2/gDS1)/gDS2

No Miller effect

Paraphase = CC + CB Equivalent to non-inverting CE Transconductance : gm/2

Darlington = CC + CE Equivalent to CE Larger input impedance Reduced Miller effect

Cascode

Paraphase

Darlington

Page 20: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 20

Appendix 2 : more composites

Differential pair Similar to common emitter Input impedance : Rin = 2r

Transconductance : iout = gm/2 vind

Conversion differential / common mode

White follower : CC + EC Closed loop composite Input impedance : Rin = 1 2 RE

Output impedance : Rout = (RS + r1)/ 12

Voltage gain : Av = vout/vin = 1/(1+Rout/RL) ~1

=> super voltage follower

“Super common base” Input impedance : Rin = 1 / gm1gm2RC

=> super current conveyor

Page 21: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 21

Current mirrors :

Several functions Biasing : current source Active load = high virtual resistor Current amplifier

Simple mirror characteristics : Same VBE => same current Input impedance : Rin = 1/gm1

Output impedance : Rout = 1/r02

• Can be increased with composites Current ratio : IC2/IC1 = 1

• Can be increased by changing the area Several copies can be made

Current conveyor Small Zin : current sensitive

input Large Zout : current driven

output Unity gain current conveyor

Current mirror

Page 22: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 22

Cf

Input

Output

Charge preamp example

Find the mirrors…

Page 23: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 23

Cf

Input

Output

Charge preamp equivalent circuit

Bias points : The designer’s choice

Here : IC3 = 100µA

IC2 = 100µA

IC1 = 500µA 100 µA

600 µA

100 µA

Page 24: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 24

Charge preamp equivalent circuit

Parameters Assuming : 0 = 100

VA = 100V MOS = BIPOLAR :

gm = IC/26mV Output load :

RL=10k

Replace transistors by hybrid model…

Calculate open loop gain vout/vin

Q2 : CBIC2=100µAgm2=4mA/VRin2=250Ω

Rout2=9MΩ

Q3 : CCIC3=100µAgm3=4mA/VRin3=1MΩ

Rout3=1250Ω

Q1 : CEIC1=500µA

gm1=20mA/V

r1=5kΩ

r01=2kΩ

Small signal parameters of transistors in signal path

Page 25: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 25

Charge preamp example

Equivalent circuit

gm1

Open loop gain :

Ex : gm1=20mA/V , R0=500kΩ, C0=1pF => G0=104 ω0=2106 G0ω0=2 1010 = 3 GHz !

vout/vin = - gm1 R0 /(1 + jω R0 C0)

vinvout

R0 C0R0 = Rout2//Rin3//r04

Page 26: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 26

Charge preamp performance

Amplifier gain: G0 = 104

G0ω0 = 2 1010 rad/s

PAC configuration with Cf=1pF Gain : 1 V/pC Input impedance : Rin = 1/ G0ω0 Cf = 50

Ω Can even terminate a cable ! Charge preamplifier

architecture Speed :

With Cd=10pF, tau = 500 ps

f-3dB = 1/2π 5 10-10 = 300 MHz

Page 27: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 27

Examples (2) : OP620

Analysis of the OP620 Input stage : differential

NPN pair : equivalent to CE Cascode : current conveyor. Output stage : push-pull =

CC unity gain buffer

Equivalent half circuit CE + CB + CC Similar to charge preamp

above !

Performance G0 = 1000

G0ω0 = 200 MHz

Page 28: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 28

Examples (3)

LM741 : an old classic ! Find paraphase, Darlington, mirrors 3 stages : Paraphase=CE, Darlington=CE, Push-pull = CC G0 = 200 000, GBW = 1 MHz

Page 29: 9-11 july 2003C. de La Taille Electronics CERN Summer School 20031 Course 2 : deciphering a schematic C. de LA TAILLE LAL Orsay Taille@lal.in2p3.fr CERN

9-11 july 2003 C. de La Taille Electronics CERN Summer School 2003 29

Zf

Z0

More charge preamps

D0 Lar calorimeter charge preamplifer

2”

FET

driverpreamp

Input

Output

Préampli deCharges ©Radeka 1968