3.2 gbps channel-adaptive configurable mimo detector for...

13
3.2 Gbps Channel-Adaptive Configurable MIMO Detector for Multi-Mode Wireless Communication Farhana Sheikh 1 & Chia-Hsiang Chen 1 & Dongmin Yoon 1 & Borislav Alexandrov 1 & Keith Bowman 1 & Anthony Chun 2 & Hossein Alavi 1 & Zhengya Zhang 3 Received: 24 February 2015 /Revised: 5 November 2015 /Accepted: 1 December 2015 # Springer Science+Business Media New York 2016 Abstract A configurable, channel-adaptive K-best multiple- input, multiple-output (MIMO) detector for multi-mode wire- less communications that adapts computation to varying chan- nel conditions to achieve high energy-efficiency is presented. An 8-stage configurable MIMO detector supporting up to a 4 × 4 MIMO array and BPSK to 16-QAM modulation schemes has been implemented and simulated in 0.80 V, 22 nm Tri-gate CMOS process. Dynamic clock gating and power gating enable on-the-fly configuration and adaptive tuning of search radius K to channel response which results in 10 to 51 % energy-efficiency improvement over non- adaptive K-best MIMO detectors. During unfavorable chan- nel conditions, the MIMO detector satisfies target bit error rate (BER) by setting K = 5. For favorable channel conditions, K is reduced to 1, where 22 nm circuit simulations show 68 % energy reduction. At 1.0GHz target frequency, the total power consumption is 15 mW (K = 1) to 35 mW (K = 5), resulting in energy-efficiency of 14.2pJ/bit (K = 1) to 44.7pJ/bit (K = 5) and 3.2Gbps throughput. Keywords Multiple input . Multiple output (MIMO) detector . Channel-adaptive . LTEsystems . IEEE802.11n/ac . K-best . Sphere decoding . Multi-mode communication . Reconfigurable 1 Introduction New advanced wireless communication standards such as IEEE 802.11n/ac and 3GPP LTE Advanced Release 10/11 take advantage of a multiple-input, multiple-output (MIMO) communication schemes to enable increased spectral efficien- cy and high throughput data rates. For example, IEEE 802.11n allows for up to 4×4 antenna array (up to 4 streams with 4 transmit and 4 receive antennas); IEEE 802.11 ac calls for up to an 8×4 array (8 AP and 4 STA antennas); and 3GPP LTE Advanced release 10 [1] specifies up to an 8 × 8 antenna array. The enhancement in spectral efficiency and higher throughput comes at significant computational cost: work load profiling that we completed indicates that MIMO detection at the re- ceiver can consume up to 42 % of the compute cycles in the physical layer baseband processing. The goal of this work is to present a configurable MIMO detector that can support mul- tiple standards and adapt its computation to different channel conditions to improve energy-efficiency and reduce computa- tional complexity. * Farhana Sheikh [email protected] Chia-Hsiang Chen [email protected] Dongmin Yoon [email protected] Borislav Alexandrov [email protected] Keith Bowman [email protected] Anthony Chun [email protected] Hossein Alavi [email protected] Zhengya Zhang [email protected] 1 Intel Labs, Intel Corporation, 2111 NE 25th Ave, Mailstop: JF2-58, Hillsboro, OR 97124, USA 2 Intel Labs, Intel Corporation, 3600 Juliette Lane, Santa Clara, CA 95054, USA 3 Department of EECS, University of Michigan, Ann Arbor, 1301 Beal Ave, Ann Arbor, MI 48109-2122, USA J Sign Process Syst DOI 10.1007/s11265-015-1093-2

Upload: others

Post on 10-Sep-2019

17 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for ...vlsisp.engin.umich.edu/wp-content/uploads/sites/334/2017/11/sheikh... · 3.2 Gbps Channel-Adaptive Configurable MIMO Detector

3.2 Gbps Channel-Adaptive Configurable MIMO Detectorfor Multi-Mode Wireless Communication

Farhana Sheikh1& Chia-Hsiang Chen1

& Dongmin Yoon1& Borislav Alexandrov1 &

Keith Bowman1& Anthony Chun2

& Hossein Alavi1 & Zhengya Zhang3

Received: 24 February 2015 /Revised: 5 November 2015 /Accepted: 1 December 2015# Springer Science+Business Media New York 2016

Abstract A configurable, channel-adaptive K-best multiple-input, multiple-output (MIMO) detector for multi-mode wire-less communications that adapts computation to varying chan-nel conditions to achieve high energy-efficiency is presented.An 8-stage configurable MIMO detector supporting up to a4 × 4 MIMO array and BPSK to 16-QAM modulationschemes has been implemented and simulated in 0.80 V,22 nm Tri-gate CMOS process. Dynamic clock gating andpower gating enable on-the-fly configuration and adaptivetuning of search radius K to channel response which resultsin 10 to 51 % energy-efficiency improvement over non-

adaptive K-best MIMO detectors. During unfavorable chan-nel conditions, theMIMO detector satisfies target bit error rate(BER) by setting K=5. For favorable channel conditions, K isreduced to 1, where 22 nm circuit simulations show 68 %energy reduction. At 1.0GHz target frequency, the total powerconsumption is 15 mW (K=1) to 35 mW (K=5), resulting inenergy-efficiency of 14.2pJ/bit (K=1) to 44.7pJ/bit (K=5)and 3.2Gbps throughput.

Keywords Multiple input .Multiple output (MIMO)detector .Channel-adaptive .LTEsystems .IEEE802.11n/ac .

K-best . Sphere decoding .Multi-mode communication .

Reconfigurable

1 Introduction

New advanced wireless communication standards such asIEEE 802.11n/ac and 3GPP LTE Advanced Release 10/11take advantage of a multiple-input, multiple-output (MIMO)communication schemes to enable increased spectral efficien-cy and high throughput data rates. For example, IEEE 802.11nallows for up to 4×4 antenna array (up to 4 streams with 4transmit and 4 receive antennas); IEEE 802.11 ac calls for upto an 8×4 array (8 AP and 4 STA antennas); and 3GPP LTEAdvanced release 10 [1] specifies up to an 8×8 antenna array.The enhancement in spectral efficiency and higher throughputcomes at significant computational cost: work load profilingthat we completed indicates that MIMO detection at the re-ceiver can consume up to 42 % of the compute cycles in thephysical layer baseband processing. The goal of this work is topresent a configurable MIMO detector that can support mul-tiple standards and adapt its computation to different channelconditions to improve energy-efficiency and reduce computa-tional complexity.

* Farhana [email protected]

Chia-Hsiang [email protected]

Dongmin [email protected]

Borislav [email protected]

Keith [email protected]

Anthony [email protected]

Hossein [email protected]

Zhengya [email protected]

1 Intel Labs, Intel Corporation, 2111 NE 25th Ave, Mailstop: JF2-58,Hillsboro, OR 97124, USA

2 Intel Labs, Intel Corporation, 3600 Juliette Lane, SantaClara, CA 95054, USA

3 Department of EECS,University ofMichigan, AnnArbor, 1301 BealAve, Ann Arbor, MI 48109-2122, USA

J Sign Process SystDOI 10.1007/s11265-015-1093-2

Page 2: 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for ...vlsisp.engin.umich.edu/wp-content/uploads/sites/334/2017/11/sheikh... · 3.2 Gbps Channel-Adaptive Configurable MIMO Detector

AMIMO detector is used to determine the most likely datasequence transmitted by combining the multiple input streamsat the receiver (see Fig. 1). As depicted in Fig. 1, the goal ofthe MIMO detector is to determine the transmitted vectorwhich is a vector of all transmitted signals at each of thetransmit antennas. The complexity of detection arises due tothe fact that each received signal at each receive antenna isaffected by all the transmitted signals and noise in the com-munication channel. There are a number of different algo-rithms used for MIMO detection as summarized in Table 1.Optimal Maximum Likelihood (ML) detection theoreticallyachieves the minimum bit-error rate (BER) but it is also themost expensive to implement since its complexity grows ex-ponentially with increasing number of (transmit) antennas,resulting in large area and power requirements for large anten-na array systems. Sub-optimal detectors, such as zero-forcingor minimum mean square error (MMSE), exhibit linear orpolynomial computational complexity but behave poorly interms of BER performance in low SNR conditions. Near-ML detectors, such as sphere decoders with terminationcriteria, can achieve significantly better BER performance inlow SNR channels than linear detectors but at the cost ofpolynomial computational complexity.

In order to achieve high performance or low BER at re-duced computational complexity relative to ML detection, thesphere decoding (SD) algorithm [2] is used to achieve near-ML detection performance by examining only a subset ofsolutions within a specified search radius [3]. Theoretically,the SD algorithm with termination criteria has cubic or poly-nomial computational complexity with respect to the numberof antennas when the antenna array size is relatively small(less than 8×8) and the modulation order is not high (less than64-QAM). Two types of algorithms are used to traverse thesearch space: depth-first search [4] and K-best breadth-firstsearch [2]. In this work, we focus on K-best breadth-first

search which enables regularized datapath interconnect andparallelism.

The rest of this paper is organized as follows. Section 2reviews different MIMO detection algorithms, the SD algo-rithm, and advantages/disadvantages of different searchcriteria. Section 3 presents a summary of prior publicationsin this area. Section 4 and Section 5 detail the design andimplementation of the channel-adaptive reconfigurableK-bestMIMO detector. Section 6 presents simulation results in22 nm CMOS and Section 7 concludes the paper.

1.1 Notation

Superscript T denotes the transpose. Real and imaginary partsof a complex number are denoted asℜ[⋅] and ℑ[⋅]. Upper- andlower-case bold letters indicate matrices and column vectors,respectively. Ai, k indicates the (i, k) th entry of matrix A. INdenotes the N×N identity matrix, 0M ×N denotes the complexvaluedM×N matrix with entries all identically zero, and (1+j)M ×N denotes the complex valued M×N matrix with entriesall identically (1+ j). ℂ is the set of complex numbers, E{⋅}denotes the statistical expectation, ‖ ⋅ ‖ denotes the 2-norm, and[⋅] denotes rounding to the nearest valid constellation point.

2 MIMO Systems and Design Challenges

Figure 1 shows the system architecture for a generic MIMOsystem. At the transmitter, a coded data stream is de-multiplexed onto Nt antennas. The data streams may be mod-ulated using different constellation sets of quadrature ampli-tude modulation (QAM) symbols. The use of multiple trans-mit channels allows the MIMO system to maximally use lim-ited spectrum and deliver data at very high rates. At the re-ceiver, the signals are processed by the analog front-end into

MIMOEncoder,Demux,

Modulation

RF toBaseband

Tx-1

Tx-2

Tx-NT Rx-NR

Rx-1

Rx-2

MIMO Channel

MIMODetector

ChannelDecoder

Channel Estimation

Rx-1

Rx-2

Rx-NR

H

Figure 1 MIMO systemoverview.

J Sign Process Syst

Page 3: 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for ...vlsisp.engin.umich.edu/wp-content/uploads/sites/334/2017/11/sheikh... · 3.2 Gbps Channel-Adaptive Configurable MIMO Detector

in-phase (I) and quadrature (Q) components for each receivedsignal at each antenna. The digital front-end (DFE) performscarrier frequency offset compensation, synchronization, andequalization. The MIMO detector at the receiver combineseach of the complex received signals before further processingin the channel decoder.

As antenna arrays increase to 8 × 8 and higher to meetincreasing performance requirements, the complexity ofMIMO detection in the physical downlink baseband process-ing grows exponentially, taking up to 42 % of compute cycleswhich results in prohibitively large area and power require-ments for the receiver. This assessment is based on work loadprofiling carried out in a physical layer simulator developed inMATLAB. The ideal MIMO detector has low area/powercosts but is able to provide consistent required BER perfor-mance based on application needs in different types of channelconditions and for different wireless standards. Next we math-ematically model the MIMO system which sets the basis forthe hardware optimization and implementation.

2.1 MIMO System Model

A MIMO system with Nt transmit antennas and Nt receiveantennas, operating in a symmetric M-QAM scheme, withlog2M bits per symbol is modeled by:

y ¼ Hsþ v; ð1Þ

where s ¼ s1; s2; …sNt½ � T , si∈Sð Þ is theNt-dimensional com-plex information symbol vector transmitted. The set S isthe constellation set of the QAM symbols, and y ¼y1; y2;…; yNr

� �T is the Nr-dimensional complex information

symbol vector received. The equivalent baseband model ofthe Rayleigh fading channel between the transmitter and re-ceiver is described by a complex valuedNr×Nt channel matrix

H. The vector v ¼ v1; v2;…; vNr½ � T represents the Nr-dimen-sional complex zero-meanGaussian noise vector with varianceσ2 [5].

The ML detector estimates the transmitted signal bysolving:

s ¼ argmin~s∈SNt

y−H~s��� ���2; ð2Þ

where ŝ represents the candidate complex information

symbol vector and s^

is the estimated transmitted sym-bol vector. Equation (2) represents the closest pointproblem [6] which is an exhaustive search through the

set of all possible lattice points in SNt for the globalbest in terms of Euclidean distance between the receivedsignal y and H~s. Effectively, Eq. (2) describes a tree

search where each of the lattice points in SNt is evalu-ated to find the path through the tree that minimizes theglobal error. Each transmit antenna contributes twolevels to the search tree for real-domain MIMO detec-tion: one real and one imaginary. Only one level in thetree is required for each antenna if complex-domain de-tection is employed. The goal is to find the closestvector ŝ to the original transmitted symbol vector s giv-en vector y. Equation (2) is generally non-deterministicpolynomial hard (NP-hard).

The channel matrix H can be factored into two simplermatrices using QR factorization, where Q is a Nr×Nt ortho-normal unitary matrix and R is a Nt×Nt upper triangular ma-trix such that H=QR. Equation (2) is then reformulated as:

s ¼ argmin~s∈SNt

y^

−R~s

����������2

; ð3Þ

Table 1 MIMO detectionalgorithm tradeoffs. Detector type BER

performanceComputationalcomplexity

In a Large MIMO system

Optimal Detectors

•Maximum Likelihood (ML)

• Sphere decoder (SD)

Optimal Exponential Heavy computation (prohibitively higharea/power)

Sub-optimal detectors

• Zero Forcing (ZF)

• Minimum Mean SquareError (MMSE)

• V-BLAST

• Successive InterferenceCancellation (SIC)

Poor Linear, Polynomial Inaccurate (error propagation)

Near-ML detectors

• SD with termination criteria

• K-best SD, LR-aided

• Markov Chain Monte Carlo

Near optimal Polynomial Feasible (potentially high power/area)

J Sign Process Syst

Page 4: 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for ...vlsisp.engin.umich.edu/wp-content/uploads/sites/334/2017/11/sheikh... · 3.2 Gbps Channel-Adaptive Configurable MIMO Detector

where y^¼ QTy. Equation (3) is obtained by multiplying y in

Eq. (1) byQTwhich results inH~s ¼ QTQR~s ¼ R~s. The diag-onal elements of R are real and since it is upper triangular,symbol detection begins from the last row (i.e., top node in thetree) andmoves up through the matrix in several steps until theunknowns in the first row (i.e., last level in the tree) are deter-mined [3]. Several non-optimal and near-optimal algorithmshave been proposed in the literature to traverse the searchspace to determine ŝ such that the total error is minimized.They are summarized in the next section and in Table 1.

2.2 MIMO Detection Algorithms

Several algorithms have been proposed to address the com-plexity of MIMO detection in the receiver, offering differenttradeoffs between power and performance. Table 1 gives anoverview of MIMO detection techniques and qualitativetradeoffs. Among the MIMO detection techniques listed inTable 1 and shown in Fig. 2, the ML detector minimizes theBER performance through exhaustive search, but complexitygrows exponentially with increasing number of antennas [3].In contrast, linear detectors (LDs) – such as zero-forcing andMMSE detectors – and successive interference cancellation(SIC) detectors that have polynomial complexity suffer fromsignificantly higher BER for the same signal-to-noise ratio(SNR). Markov Chain Monte Carlo methods [7] perform wellin low SNR channel conditions but exhibit poor performancein high SNR channels. The SD detector using depth-firstsearch without termination criteria results in optimal BER asin the case of a ML detector but requires prohibitively largearea and power, and may never reach its solution in boundedtime. Sphere decoding using breadth-first search with termi-nation criteria can achieve near-optimal performance with

polynomial computational complexity in the case where an-tenna array sizes and modulation orders are relatively small,i.e., 8×8 antenna array size and 64-QAM modulation. How-ever, such detectors may still require high area or power asantenna arrays increase in size. In this work, we focus ourimplementation on SD detection with breadth-first searchand limit the number of candidates evaluated in each step to

K candidates with minimum partial distance from y^. The next

section details the K-Best SD detection algorithm with termi-nation criteria.

2.3 K-Best Sphere Decoding with Termination Criteria

The main advantage of depth-first search is that ML perfor-mance can be achieved and radius shrinking can be used toprune the search to meet performance constraints. The advan-tages of the K-Best breadth-first search over the depth-firstsearch is the regular datapath interconnect and potential forparallelization to improve throughput. The K-Best tree searchfor MIMO [2] is a particular subset of breadth-first tree searchalgorithms.

It represents a middle ground between the complexity ofthe ML detector and linear detectors, finding a better sub-optimal solution to the closest point search than the lineardetectors, with less complexity than theML detector as shownin Fig. 2. Figure 2 shows that the K-Best SD solution can spanthe BER vs. SNR tradeoff space by adjusting K based onapplication BER requirements and channel conditions. In thiswork, we take advantage of this property to create aconfigurable detector that behaves as a linear detector whenchannel SNR is high by setting K=1 and when SNR is low, Kis increased to a predetermined maximum value. This enablesadaptation of computational complexity to channel

Signal to Noise Ratio (SNR) in dB0 5 10 15 20 25 30 35

10-4

Bit-

erro

rRat

e(B

ER)

10-3

10-2

10-1

1Sub-optimal Detector (e.g. Zero-forcing, MMSE)

ML Detector (theoretical optimum)(Nr x Nt) x 2(L x Nt) multiplications

(e.g. 16x216 for 4x4, 16-QAM)

(Nr x Nt) multiplications(e.g. 16 for 4x4, 16-QAM)

Low Complexity

Minimum BER(Nr x Nt) x f (L x Nt) multiplications

K-Best SD spans this spacedepending on size of K

Figure 2 MIMO detectionalgorithm tradeoffs.

J Sign Process Syst

Page 5: 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for ...vlsisp.engin.umich.edu/wp-content/uploads/sites/334/2017/11/sheikh... · 3.2 Gbps Channel-Adaptive Configurable MIMO Detector

conditions, thereby having the ability to control power con-sumption of theMIMO detector in the receiver based on chan-nel SNR. The adaptation to channel conditions is discussedafter summarizing the K-Best SD algorithm as follows.

Since complex signals (i.e., I and Q) are being processed, areal domain realization of the tree search results in a single2Nt-dimensional search (i.e., one for the in-phase signal com-ponent and one for the quadrature component). The breadthfirst search begins with the Nt

th layer. For each nth layer, thealgorithm computes the K best partial candidates [s1

(n), s2(n),…,

sK(n)], where a partial candidate si

(n) represents the ith paththrough the tree from the root node to level n, and is given

by si;n�

nð Þ; sni;nþ1;…; si;Ntnð Þ� T. The error at each step is

measured by the partial Euclidean distance (PED). This isthe accrued error at a given level of the tree, for a given paththrough the tree. Clearly, the K candidates at level n representthe K partial candidates with the minimum PED among all thechildren of the K candidates of the (n+1-st level, where thedistance is calculated via:

PED nð Þi ¼

Xj¼n

Nt

y^

j−Xk¼ j

N t

R j;ksnð Þi;k

!224

35: ð4Þ

For an arbitrary level of the tree, the K best nodes arecollected, and passed to the next level for consideration. In

QAMMappingTransmitter(S)

Channel(H,AWGN)

Receiver(Y = H*S+AWGN)

Inputs

(Y,H) K-bestMIMO detector

S’

(Y,H,S,AWGN)PED checking

PED

PED_goldenK-best checking &

debugging

S

Statistical analysis

Figure 4 MATLAB model ofchannel-adaptive K-best MIMOdetector.

MIMOEncoder,

Interleaver,Modulation,

Demux,

RF toBaseband

Tx-1

Tx-2

Tx-NT Rx-NR

Rx-1

Rx-2

MIMO Channel

MIMODetector

ChannelDecoder

Channel Estimation

Rx-1

Rx-2

Rx-NR

H

CQI and UserFeedback

CQI

Figure 3 CQI feedback tochannel-adaptiveMIMO detector.

J Sign Process Syst

Page 6: 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for ...vlsisp.engin.umich.edu/wp-content/uploads/sites/334/2017/11/sheikh... · 3.2 Gbps Channel-Adaptive Configurable MIMO Detector

the last step, the K paths through the tree are evaluated todetermine the path with the minimum total error.

3 Prior Art

Previous published work describes bothK-best and depth-firstsearch SD algorithms for MIMO detection. In [8], authorspresent a 192Mbps 4×4 MIMO for IEEE 802.11n supporting16-QAMOFDM using Ordered Successive Interference Can-cellation algorithm (OSIC). This design incorporated all of thebaseband functions including AGC, Digital Down Conver-sion (DDC), IFFT, Channel Estimator, QR decompositionandMIMOdetector for four RX antennas and was implement-ed in a 0.25 μm process.

The work in [4] presents two 4x4 depth-first search SDdetectors implemented in a 0.25 μm process that achieve 73and 169Mbps using a slightly a modified Schnorr-Euchner(SE) enumeration scheme that operates directly on complexconstellations [9]. Both of these implementations support16QAM and process one node per cycle. While the first im-plementation uses the l2-norm, the second version uses the l∞-norm that reduces the number of visited nodes and increasesthroughput substantially.

In [2], authors implement a K-best SD detector also usingSE enumeration for 4×4 16QAM. Both hard and soft outputdecoders were implemented; the hard-output version was in-stantiated in a 0.35 μm process and consumed 626 mW at100 MHz with at 2.8 V supply and provides a throughput of53Mbps. The soft-output version was implemented in a0.13 μm process and had a throughput of 106Mbps at a clockrate of 200 MHz; power numbers were not reported in thispaper.

The most energy-efficient results published to date relatingto SD detection are presented in [10] and [11] where a 16-corearchitecture using a hybrid K-best breadth-first, depth-firstsearch approach results in a scalable MIMO detector with a

hard-output SD kernel. The hybrid design combines the betterdecoding performance of the depth-first approach with thefaster throughput of the breadth-first search method. In [10]the 90 nm design supports 2×2 to 16×16 configurations andBPSK to 64-QAMmodulation schemes with estimated powerconsumption from synthesis of 33 mWand a peak data rate of1.5Gbits/s with 16×16 64QAM in a 16 MHz bandwidth. In[11], the authors describe their implementation of the samekernel in 65 nm that dissipates 5.8 mW for the 4×4 LTEstandard and also provides a peak data rate of 960Mbps for8×8 64QAM and dissipates 13.93 mW with an energy effi-ciency of 15pJ/bit.

More recent work published in [12] presents a non-deterministic depth-first SD decoder in 65 nm supporting upto 4×4 64-QAM MIMO, resulting in 335Mbps throughputand power consumption of 36 mWat 333 MHz at 1.2 V. Notethat this design enables the SD throughput to be adjustedbased on SNR by tuning the parameter T corresponding tothe number of leaves of the tree that are visited [13]. Thethroughput ranges from 296 Mb/s at 14.1 dB SNR to807 Mb/s at 15.55 dB SNR.

30%

40%

50%

60%

70%

80%

90%

100%

18 21 24 27 30

etaRnoitcudeRrorrE

1=Kot

dezilamro

N

Channel SNR (dB)

4x4 MIMO, 16-QAM, K-Best SD MIMO Detec�onFlat-Fading Rayleigh Channel

K=7K=6K=5

K=4

K=3

K=2

17%

3%4%

Figure 6 Error reduction rate for K-best MIMO.

Sub-Op�malK-Best(K=1)K-Best(K=3)K-Best(K=5)K-Best(K=7)Maximum-Likelihood

Signal to Noise Ratio (SNR) in dB0 5 10 15 20 25 30 35

10-4

Bit-

erro

rRat

e(B

ER)

10-3

10-2

10-1

1Flat-fading, Rayleigh channel, 4x4, 16-QAM system,

floating-point simulation

Figure 5 4 × 4, 16-QAM K-BestMIMO Simulation.

J Sign Process Syst

Page 7: 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for ...vlsisp.engin.umich.edu/wp-content/uploads/sites/334/2017/11/sheikh... · 3.2 Gbps Channel-Adaptive Configurable MIMO Detector

However, all these previously published works (except[12]) keep the search parameters fixed to some pre-determined value and all computational units are activethroughout the MIMO detection. The work presented in thispaper distinguishes itself from previously published work inthat our MIMO detector adapts its computation based onchannel SNR conditions and application BER targets to re-duce total energy and computational complexity by tuning K.In this work, a single detector is implemented that can approx-imate a linear detector when channel conditions are very goodand a near-ML detector when channel conditions are poor.

4 Channel-Adaptive K-Best Sphere Decoder

This section details the new MIMO detecting approach basedon adaptation to channel conditions to achieve high energy-efficiency. Channel-adaptive K-best SD detection automati-cally adjusts computation to fluctuating factors in the MIMOchannel, such as wide dynamic SNR range, different fadingpaths, and varying BER target requirements driven by appli-cations or user experience. In contrast to previous solutions,we implement feedback from channel and CQI estimation (seeFig. 3) to adapt the K-best SD MIMO detector to reduce

computation and power during favorable channel conditionsand application BER targets. In previous publications, thenumber of search paths are fixed throughout the entire lifetimeof the K-best SD detection.

In the LTE standard, the channel quality indicator (CQI) isa 4-bit value that the user equipment must estimate whichindicates channel quality which is sent to the transmitter toadapt the modulation scheme to channel conditions to maxi-mize channel efficiency [1]. Reference [1] provides more de-tails on how the 4-bit CQI value is determined and how oftenit must be relayed to the transmitter. In this work we leveragethe CQI so that we can dynamically vary K depending onchannel quality as shown in Fig. 3. This creates a closed feed-back loop inside the receiver, where channel quality is fedback to the MIMO detector at frequent intervals to adapt theK-Best SD MIMO detection to channel conditions. If K isarbitrarily large (e.g., to positive infinity), the search becomesexhaustive as inML detection. IfK=1, the detector reduces itscomputation to linear MMSE detection. Tuning K betweenthese two extreme limits allows traversal of the BER vs.SNR vs. computational complexity tradeoff space as shownin Fig. 2.

The control algorithm adjusts the search radius K based onwhether channel SNRmeets certain threshold requirements. A

ri,i

^2

PED(i,K)

K-entry

SN’- Si+1’

Si’SN’- Si+1’

PED(i+1)

SN’-Si’

PED(i)

×

×Re{SN’-Si’}

Im{SN’-Si’}

Re{ri,N - ri,i+1}

Im{ri,N - ri,i+1}

+ -

Yi’ -

-

LUT

ri,i

2ri,i

ri,N - ri,i+1 Yi’ ri,i

MergeUnit(i+1

stage)

MergeUnit

(i stage)

ith stage

×

+

+

Figure 8 Detailedimplementation of single stage.

L3,R

E

L3,IM

Channel Matrix (R)Received Signal (Y)

Adaptive KController

K candidates

K PEDs

Scheduling signalclk_gate [K-1:0]

K bitsto each K entry

clk

L0,R

E

L0,IM Output

Signal (S’)

Figure 7 System overview ofchannel-adaptive K-best MIMOdetector.

J Sign Process Syst

Page 8: 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for ...vlsisp.engin.umich.edu/wp-content/uploads/sites/334/2017/11/sheikh... · 3.2 Gbps Channel-Adaptive Configurable MIMO Detector

small look-up table is used for this purpose where the 16possible CQI values map to K values and this value is usedto turn on/off computational units. For example in a 4×4 16-QAM MIMO system, when the channel has high SNR(>25 dB), then the search radius is set to K=1 and additionalcompute elements are power-gated off. In low SNR conditions(<10 dB), the search radius is set to K=5 and all computeelements are active.

The adaptive MIMO detector, supporting up to 4 × 4array and from BPSK to 16-QAM modulation, targets amaximum K = 5 based on the worst-case channel re-sponse. Figure 4 shows the Matlab model for the K-bestMIMO detector which is used to evaluate its perfor-mance as shown in Fig. 5 as compared to a ML detec-tor and a sub-optimal linear MMSE detector. The modelallows users to change design parameters including sizeof the antenna array (e.g., 2 × 2, 2 × 4, 4 × 4, 8 × 8, andso on), the modulation scheme from BPSK to 256-QAM,and the search radius K. Simulation results shown inFig. 5 for a 4 × 4 MIMO system with 16-QAM modula-tion under a standard Rayleigh fading channel with whiteGaussian noise show that when K= 1, the K-Best algo-rithm provides better performance than a sub-optimaldetector.

Figure 5 also shows that by adjusting K the entireSNR vs. BER tradeoff space can be traversed using asingle K-best SD MIMO detector. However, for thehardware implementation, the maximum K needs to bedetermined for the largest supported configuration. Thissimulation is carried out using the MATLAB model de-scribed in Fig. 4 where K is swept from K= 1 to K= 7and the impact on BER is determined by how much the

error decreases as K is increased. Simulation results inFig. 6 show that when K> 5, the incremental error re-duction rate becomes marginal (<5 %) for the K-bestSD detector supporting up to 4 × 4, 16-QAM, indicatingthat near-optimum results are possible without exhaus-tive search. Hence, for the detector presented in thiswork, maximum K is chosen to be 5. The hardwareimplementation in 22 nm CMOS is presented next.

5 Adaptive K-Best SD Detector Implementation

K-best SD MIMO detection is typically realized as a multi-stage pipeline since no trace-back is required. Using a breadth-first search implementation, pre-determined maximum Kpaths through the search tree are processed in parallel usinga parallel architecture [10]. In contrast to previously publishedwork, the MIMO detector presented here can varyK from 1 to5 depending on channel SNR using the CQI as a controlling

Table 2 Summary of 22 nmCMOS synthesis results (4 × 4, 16-QAM).

Detecting Method Channel-Adaptive K-best SD

Technology 22 nm CMOS, 0.80 V

Clock frequency 1.0GHz

Total core area 30,655 μm2

Latency (K= 1 to K= 5) 42–70 cycles

Total power (K= 1 to K= 5) 15.1–35.5 mW

Energy per bit (K= 1 to K= 5) 14.2–44.7 pJ/bit

Throughput 3.2Gbps

0

2

4

6

8

10

12

14

16

18

L3RE L3IM L2RE L2IM L1RE L1IM L0RE L0IM

)%(

aerAlatoTfoegatnecreP

Computa�on Stage

MergeK EntryBI-Sort

22nm CMOS, 0.80V, fclk = 1.0GHzFigure 9 Percentage distributionof total area across computationstages.

J Sign Process Syst

Page 9: 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for ...vlsisp.engin.umich.edu/wp-content/uploads/sites/334/2017/11/sheikh... · 3.2 Gbps Channel-Adaptive Configurable MIMO Detector

input. We implement an 8-stage channel-adaptive K-best SDdetector in System Verilog which supports up to a 4×4 anten-na configuration and from BPSK to 16-QAM modulation.The design is implemented in 22 nm CMOS [14] and simu-lated for different configurations.

An overview block diagram of the channel-adaptive K-bestMIMO detector is shown in Fig. 7. There are 8 stages in thepipeline to support both I and Q processing for each of themaximum 4 received signals at each of the 4 receive antennas.The CQI is used as an input to a look-up table to generatecontrol signals that control the power-gating and clock-gating of compute elements. At the input of the MIMO detec-tor, it is assumed that QR decomposition as described inSection 2 has already been completed, such that the R matrixis an input to the MIMO detector along with the received

signal vector y^. The adaptive K controller takes the CQI as

input to generate the clk_gate and scheduling signals used forpower gating.

Each stage of the pipeline consists of four main blocks:Branch Interference (BI) cancellation Unit, a sorting circuit,

storage of K-best candidates, and a Merge Unit. The details ofthese blocks are shown in Fig. 8. The BI unit calculates theinterference from detected signals on the symbol candidateand mitigates the interference using multipliers and addersas shown in the first block. The BI unit constitutes the firstpart of the calculation necessary for SE enumeration and thesecond block which constitutes a simple sorting circuit savesthe enumeration decision in the LUTwhich saves the currentcandidate list. The sorting circuit sorts the potential symbolcandidates based on the SE enumeration scheme and PED.The K-entry storage block stores the K-best candidates thathave been found so far and updates the PEDs based onEq. (4) for each of the candidate paths through the tree. TheMerge Unit selects the K-best symbol candidates for eachcycle based on the updated PEDs for the path traversedthrough the tree and propagates them to the next stage.

An adaptive-K control unit uses a simple look-up-table(LUT) based on the 4-bit CQI value to output power-gatingand clock-gating signals such as clk_gate to turn off the K-entry storage blocks that are not required in good channelconditions. For example, if the CQI is between 1101 and1111 (indicating good channel conditions), then clk_gate sig-nal is 00001 indicating thatK=1 and all but one of theK-entrystorage blocks is required. The BI unit, the sorting circuit, theMerge Unit in each stage remain inactive for (Kmax−K) cycleswhenK<Kmax. These units are clock-gated off during inactivecycles. Using the CQI signal from CQI estimation in the re-ceiver as input to theMIMOdetector creates closed-loop feed-back inside the receiver as shown earlier in Figure.

Pipeline scheduling and time interleaving are implementedto eliminate stalls and improve throughput. Analysis showsthat the K-entry block can introduce stalls and reduce theutilization of other three blocks if implemented withouttime-interleaving. For example, the Merge Unit takesK cyclesto selectK candidates, causing stalls for K cycles and resulting

K-Best(K=1)

K-Best(K=5)

SNR (dB)0 5 10 15 20 25 30 35

10-4

Bit-

erro

rRat

e(B

ER)

10-3

10-2

10-1

1

40

K=1

K=5

14.2pJ/bit

44.7pJ/bit

Target BER

Figure 11 High BER applicationchannel adaptation case study.

0

0.2

0.4

0.6

0.8

1

1 2 3 4 5

5=Kot

dezilamro

N

Search Radius, K

Norm. Power Norm. Energy/Bit

68%58%

Figure 10 Effect of adapting K on power and energy efficiency.

J Sign Process Syst

Page 10: 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for ...vlsisp.engin.umich.edu/wp-content/uploads/sites/334/2017/11/sheikh... · 3.2 Gbps Channel-Adaptive Configurable MIMO Detector

in a throughput penalty. These stalls are prevented and re-source utilization is improved by doubling the size of the K-entry block to accommodate time-interleaving. The adaptiveK-Best SD MIMO detector described above is simulated in22 nm CMOS and the results are presented in the followingsection.

6 Simulation Results in 22 nm CMOS

The configurable, channel-adaptive K-best SD MIMO detec-tor, supporting up to 4×4 array configuration and modulationschemes up to 16-QAM, was simulated in 22 nm Tri-gateCMOS [14] for area and power estimates at 1.0GHz targetfrequency and 0.80 V supply voltage. The total power con-sumed in the MIMO detector varies from 15 mW (K=1) to35 mW (K=5) with energy-efficiency of 14.2pJ/bit (K=1) to44.7pJ/bit (K=5). The latency per process array is dependenton K and is given by (35+7×K): 42 cycles when K=1 and70 cycles when K=5. At 1.0GHz, the simulated throughput is3.2Gbps. The area distribution across stages and compute

blocks is given in Fig. 9. The K-entry blocks across all stagesconsume 78 % of the total area whereas the BI unit, sortingcircuits, and merge units make up the rest of the area. A sum-mary of the simulation results using default activity and inputtoggle factors is given in Table 2.

The effect of adapting K to channel conditions is shown inFig. 10. As K is reduced from 5 to 1, there is a 68 % reductionin energy per bit and 58 % reduction in total power. Thissimulation includes the reduced activity of blocks that areinactive when K<Kmax. When K is dynamically adjusted toprovide a constant BER over a varying SNR conditions, gainsin energy-efficiency are realized by tuning K to channel con-ditions as outlined in the next section.

6.1 High BER Case Study

Consider a high BER application where the target BER is setto 10−1. Assuming typical range of wireless received signalpower is -70 to -90dBm and the thermal noise floor is set to -111dBm, we consider the 10 to 30 dB SNR range as shown in

Table 3 Performance comparison with published works.

ML and Near-MLMIMO detectors

Garrett ISSCC04 [16]

Burg JSSC2005 [4]

Shabany ISSCC2009 [17]

Yang VLSI-C2010 [11]

Winter ISSCC2012 [12]

This work

Array size 4 × 4 4 × 4 4 × 4 8 × 8 4 × 4 4 × 4

Modulation QPSK 16-QAM 64-QAM 64-QAM 64-QAM 16-QAM

Method Exhaustive search Depth first search K-best Hybrid breadth-first/depth-first N/A K-Best

Technology 180 nm 250 nm 130 nm 65 nm 65 nm 22 nm

Throughput (Mb/s) 28 73 (ML) 169 (N-ML) 655 960 335 3200

Energy/bit (pJ/bit) N/A N/A 200 15 55 14.2–44.7

K-Best(K=1)

K-Best(K=3)

K-Best(K=5)

010-4

Bit-

erro

rRat

e(B

ER)

10-3

10-2

10-1

1

SNR (dB)5 10 15 20 25 30 35 40

Target BER

K=114.2pJ/bit

K=544.7pJ/bit

K=328.2 pJ/bitFigure 12 Low BER application

channel adaptation case study.

J Sign Process Syst

Page 11: 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for ...vlsisp.engin.umich.edu/wp-content/uploads/sites/334/2017/11/sheikh... · 3.2 Gbps Channel-Adaptive Configurable MIMO Detector

Fig. 11 which displays BER curves for the channel adaptiveK-best MIMO detector operating with K=5 and K=1. At anSNR ≤15 dB, we are forced to operate the detector with K=5,dissipating 44.7pJ/bit. When channel SNR is greaterthan15dB, K is adapted to one and energy dissipation reducesto 14.2pJ/bit, resulting in 51 % energy savings assuming thatchannel SNR varies uniformly from 10 dB to 30 dB.

6.2 Low BER Case Study

Figure 12 shows an application with a target BER lower than10−2. In this case, when channel SNR is less than 21 dB, theMIMO detector must operate withK=5 to achieve the desiredBER target. When channel conditions improve slightly(21 dB<SNR ≤26 dB) then K can be reduced to 3, resultingin 28.2pJ/bit energy dissipation. If the channel SNR improvessuch that SNR>26 dB, then K can be reduced to 1. The totalenergy reduction in this case is 23 % assuming channel SNRvaries uniformly from 10 to 30 dB. Over a range of differenttarget BER and channel conditions, an average of 10 to 51 %energy reduction can be achieved using channel-adaptive K-best MIMO detection.

7 Conclusions and Future Work

We have presented a unique approach to MIMO detection thatuses channel information to adapt the search radius of a K-bestSD detector, thereby enabling linear complexity in high SNRchannel conditions, while maintaining BER performance dur-ing low SNR channel conditions. The estimated energy-reduction through simulations ranges from 10 to 51%, depend-ing on target BER and channel SNR. During unfavorable SNRchannel conditions, theMIMO detector satisfies the target BERby operating atK=5.When channel SNR is high, 22 nm circuitsimulations demonstrate 68 % reduction in energy-per-bit bysetting K=1, while maintaining target BER. The channel-adaptive MIMO detector achieves 3.2Gbps throughput at atarget frequency of 1.0GHz at 0.80 V supply and dissipatesmaximum 44.7pJ/bit when all compute elements are active.Comparison to prior work is given in Table 3.

In future, we plan to extend and optimize the channel-adaptive MIMO detector to support up to 8×8 array configu-rations and 64-QAM modulation schemes. We also plan tomodify this architecture to support soft-output MIMO detec-tion. In [15] the authors develop a method to modify a hard-output SD MIMO detector to include soft-outputs at an areaoverhead of 58 %. In our proposed architecture we would alsoneed to modify the number of times that a node is visited andthe leaf enumeration procedure. As in [15] the PED calculationwould remain the same and some small amount of additionalmemory would be required to track soft output metrics.

Acknowledgments The authors thank M. Wu, R. Iyer, K. Stewart, V.De, R. Forand, G. Taylor, V. Ilderem, W. H.Wang, I. Perez-Gonzalez, M.Jorgovanovic, M. Weiner, and G. Chen for encouragement anddiscussions.

References

1. 3GPP standards website: www.3gpp.org2. Guo, Z., &Nilsson, P. (2006). Algorithm and implementation of the

K-best sphere decoding for MIMO detection. IEEE Journal onSelected Areas of Communications, 24(3), 491–503.

3. Chiueh, T. D., Tsai, P. Y., & Lai, I. W. (2012). Baseband ReceiverDesign for Wireless MIMO-OFDM Communications 2nd Edition.Singapore: Wiley.

4. Burg, A. (2005). VLSI Implementation of MIMO Detection Usingthe Sphere Decoding Algorithm. IEEE Journal of Solid-StateCircuits, 40(7), 1566–1577.

5. Mahdavi, M., & Shabany, M. (2013). BNovel MIMO detectionalgorithm for high-order constellations in the complex domain^,in IEEE Transactions on VLSI Systems, 12:5.

6. Agrell, E., Eirksson, T., Vardy, A., & Zeger, K. (2002). Closestpoint search in lattices. IEEE Transactions on InformationTheory, 48(8), 2201–2214.

7. Laraway, S. A., & Farhang-Boroujeny, B. (2009). Implementationof a Markov Chain Monte Carlo Based Multiuser/MIMO Detector.IEEE Transactions on Circuits and Systems – I, 56(1), 246–255.

8. Perels, D. et. al. (2005). BASIC Implementation of aMIMO-OFDMTransceiver for 192Mbps WLANs^, in ESSCIRC Digest ofTechnical Papers, Grenoble, France.

9. Schnorr, C. P., & Euchner, M. (1994). Lattice basis reduction:Improved practical algorithms and solving subset sum problems.Math. Programming, 66, 181–191.

10. Yang, C. H., & Markovic, D. (2009). A Flexible DSPArchitecturefor MIMO Sphere Decoding. IEEE Transactions on Circuits andSystems-I, 56(10), 2301–2314.

11. Yang, C. H., Yu, T. H., & Markovic, D. (2010). BA 5.8 mW 3GPP-LTE compliant 8x8 MIMO sphere decoder chip with soft-outputs^,in Technical Digest of Technical Papers for IEEE 2010 Symposiumon VLSI Circuits, Hawaii USA.

12. Winter, M. (2012). BA 335 Mb/s 3.9 mm2 65nm CMOS flexibleMIMO detection-decoding engine achieving 4G wireless datarates^, in 2012 ISSCC Digest of Technical Papers, San Francisco,USA.

13. Adeva, E. P. et al. (2011). BVLSI Architecture for soft-output tuplesearch sphere decoding^, IEEE Workshop on Signal ProcessingSystems.

14. Jan, C. H. et. al. (2012). BA 22 nm SoC platform technology fea-turing 3-D tri-gate and High-k/Metal gate, optimized for ultra lowpower, high performance and high density SoC applications^, inProceedings of IEDM, 4–7.

15. Studer, C., Burg, A., & Bolcskei, H. (2008). Soft-Output SphereDecoding: Algorithms and VLSI Implementation. IEEE Journal ofSelected Areas in Communications, 26(2), 290–300.

16. Garret, D. et. al. (2004). BA 28.8 Mb/s 4x4 MIMO 3G high-speeddownlink packet access receiver with normalized least mean squareequalization^, in Proceedings of 2004 I.E. International Solid-StateCircuits Conference, 15–19 February 2004, San Francisco, CA, pp.420–536.

17. Shabany, M. & Gulak, P. G. (2009). BA 0.13μm CMOS 655Mb/s4x4 64-QAM K-Best MIMO Detector^, in Proceedings of 2009I.E. International Solid-State Circuits Conference, 8–12 February2009, San Francisco, CA, pp. 256–257.

J Sign Process Syst

Page 12: 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for ...vlsisp.engin.umich.edu/wp-content/uploads/sites/334/2017/11/sheikh... · 3.2 Gbps Channel-Adaptive Configurable MIMO Detector

Farhana Sheikh (IEEE SM’14,IEEE M’93) received the B.Eng. de-gree in Systems and Computer Engi-neering (with high distinction, Chan-cellor’s Medal) from Carleton Univer-sity, Ottawa, Canada, in 1993 and theM.Sc. and Ph.D. degrees in ElectricalEngineering and Computer Sciencesfrom the University of California,Berkeley, in 1996 and 2008, respec-tively. In 1993, Farhana was selectedas one of 100 Canadians to Watch inCanada’s national magazine,Macleans. From 1993 to 1994 sheworked at Nortel Networks as a soft-

ware engineer in firmware and embedded system design. From 1996 to 2001,she was at Cadabra Design Automation, now part of Synopsys as softwareengineer and senior manager. Her research interests include low-power digitalCMOS design, energy-efficient high-performance circuits next generationwireless systems, wireless security, cryptography, and signal/image process-ing. She has co-authored several publications in VLSI circuit design and hasfiled six patents on accelerators for wireless communication, cryptography,and 3-D graphics at Intel Corporation. She worked as a Staff Research Sci-entist in the Circuit Research Lab, part of Intel Labs from 2008 to 2014. In2014, she became Senior Staff Scientist and Technical Manager of DigitalCommunications Lab, inWireless Communications Research, Intel Labs. Dr.Sheikh was a recipient of the Association of Professional Engineers of On-tario GoldMedal for AcademicAchievement, the NSERC’67 scholarship forgraduate studies, and the Intel Foundation Ph.D. Fellowship. In 2012, shereceived the ISSCC Distinguished Technical Paper award.

Chia-Hsiang Chen (IEEE S’10-M’14) received the B.S. degree inelectrical engineering and computerscience honor program from the Na-tional Chiao Tung University,Hsinchu, Taiwan, in 2008, and theM.S. and Ph.D. degrees in electricalengineering from the University ofMichigan, Ann Arbor, in 2012 and2014, respectively. He was a recipi-ent of the Intel Ph.D. Fellowship in2014. In 2015, he joined Intel Cor-poration as a senior research scientistin Digital Communication Lab inWireless Communication Research,

Intel Labs. His work has focused on error-resilient, low-power and high-performance VLSI circuits and systems for computing, communications andsignal processing to leverage circuits, architectures, and algorithms.

Dongmin Yoon received the B.S.degree in electrical engineering fromSeoul National University, Seoul,Korea, in 2009, and the M.S. andPh.D. degree in electrical engineer-ing from the University ofMichigan,Ann Arbor, in 2011 and 2015, re-spectively. Since 2015, he has beenwith Intel Corporation where he isan analog engineer. His research in-terests include ultra-low power cir-cuit design and wireless transceiver.

Borislav Alexandrov received hisB.S. in Electrical and ComputerEngineering fromCornell Universi-ty in May 2010. Since August 2010he has been working towards thePhD degree at the department ofElectrical and Computer Engineer-ing at the Georgia Institute of Tech-nology. He has held several under-graduate and graduate internshipswith Intel, Qualcomm, and AMD.His research interests are in digitaland analog integrated circuit designand the thermal and power manage-ment of next generation chips.

Keith A. Bowman (S’97-M’02) re-ceived the B.S. degree in electricalengineering from North CarolinaState University, Raleigh, NC in1994 and the M.S. and Ph.D. de-grees in electrical engineering fromthe Georgia Institute of Technology,Atlanta, GA in 1995 and 2001, re-spectively. He is currently a SeniorStaff Engineer in the Processor Re-search Team at Qualcomm in Ra-leigh, NC. He is responsible forresearching and developingvariation-tolerant circuit design so-lutions for enhancing the perfor-

mance and energy efficiency of Qualcomm Snapdragon processors. From2001 to 2004, he worked as a Senior Computer-Aided Design (CAD)Engineer in the Technology-CAD Group at Intel in Hillsboro, OR todevelop and support statistical-based models, methodologies, and soft-ware tools to predict the performance and power variability of Intel mi-croprocessors. From 2004 to 2012, he was a Staff Research Scientist inthe Circuit Research Lab at Intel in Hillsboro, OR. In this role, he devel-oped circuit techniques to mitigate the impact of device and circuit pa-rameter variations on the performance and energy efficiency of Intelmicroprocessors. He has published over 70 technical papers in refereedconferences and journals and presented over 25 tutorials on variation-tolerant circuit designs.

Anthony Chun (IEEE M’90) re-ceived the BS,MS and PhD degreesfrom Stanford University in 1983,1984 and 1990, respectively. Since2000 he has been a Research Scien-tist at Intel Corporation. His researchinterests include wireless communi-cation and speech processing algo-rithms and architectures.

J Sign Process Syst

Page 13: 3.2 Gbps Channel-Adaptive Configurable MIMO Detector for ...vlsisp.engin.umich.edu/wp-content/uploads/sites/334/2017/11/sheikh... · 3.2 Gbps Channel-Adaptive Configurable MIMO Detector

Hossein Alavi As a senior researchscientist in Intel Labs, is leading re-search on RF front end for cellularand connectivity radios. He joinedIntel Corporation in 2004 as the Di-rector of Radio Integration Lab(RIL) where he directed researchon wireless transceiver SoCs onIntel's leading edge process technol-ogy. Prior to Intel, he was a univer-sity professor and founder and di-rector of Wireless and Radar Re-search Center in Isfahan Universityof Technology in Iran, visiting pro-fessor at University of Toronto,founder of two startup companiesdeveloping broadband powerline

modem technology, and senior scientist in Com Dev space communica-tion in Canada. He received his Ph.D. in Electrical Engineering fromMichigan State University in 1984. His fundamental work on OptimumPower Control for Cellular Radios created the foundation for power con-trol techniques used extensively in Cellular Wireless protocols. Alavi haspublished over 30 research papers, holds 10 US patents, and is a reviewerfor multiple IEEE Transactions.

Zhengya Zhang (S’02–M’09) re-ceived the B.A.Sc. degree in com-puter engineering from the Univer-sity of Waterloo, Canada, in 2003,and the M.S. and Ph.D. degrees inelectrical engineering from the Uni-versity of California, Berkeley, in2005 and 2009, respectively. SinceSeptember 2009, he has been withthe Department of Electrical Engi-neering and Computer Science atthe University of Michigan, AnnArbor, where he is currently an As-sociate Professor. His research is inthe area of low-power and high-performance VLSI circuits and sys-

tems for computing, communications and signal processing. Dr. Zhangwas a recipient of the National Science Foundation CAREER Award in2011, the Intel Early Career Faculty Honor Program Award in 2013, theDavid J. Sakrison Memorial Prize for outstanding doctoral research inEECS at UC Berkeley, and the Best Student Paper Award at the Sympo-sium on VLSI Circuits. He serves an Associate Editor for the IEEETransactions on Circuits and Systems–I: Regular Papers, the IEEE Trans-actions on Circuits and Systems–II: Express Briefs, and the IEEE Trans-actions on Very Large Scale Integration (VLSI) Systems.

J Sign Process Syst