2d to 3d mos technology evolution for circuit designers...mos technology evolution for circuit...

87
IEEE Solid-State Circuits Society – SCV Distinguished Lecturer Seminar 09/12/2012 2D to 3D MOS Technology Evolution for Circuit Designers Alvin Loke 1 , Ray Stephany 1 , Andy Wei 2 , Bich-Yen Nguyen 3 , Tin Tin Wee 1 , John Faricelli 1 , Jung-Suk Goo 2 , and Shawn Searles 1 1 Advanced Micro Devices 2 GlobalFoundries 3 Soitec AUTHORIZATION All copyrights to the material contained in this document are retained by us and our employers.

Upload: others

Post on 26-Sep-2020

4 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

IEEE Solid-State Circuits Society – SCV Distinguished Lecturer Seminar 09/12/2012

2D to 3DMOS Technology Evolution

for Circuit DesignersAlvin Loke1, Ray Stephany1, Andy Wei2,

Bich-Yen Nguyen3, Tin Tin Wee1, John Faricelli1, Jung-Suk Goo2,

and Shawn Searles1

1Advanced Micro Devices2GlobalFoundries

3Soitec

AUTHORIZATIONAll copyrights to the material contained in this document are retained by us and our employers.

Page 2: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

CMOS Scaling Still Alive…

Slide 1

Keating, Synopsys [1]

Intel 22nmtri-gate finFETin production

• Leading foundries frantically after manufacturable tri-gate

Page 3: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Our Objective• Understand how MOSFET structure has evolved• Learn about enabling technologies• Understand why it has evolved this way

Slide 2

L=35nm

SiGe

L=35nmL=35nm

SiGe

L=35nm

SiGe

L=35nmL=35nm

SiGe

Page 4: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Outline• Motivation• MOSFET & Short-Channel Fundamentals• 130nm MOSFET Fabrication• Strain Engineering (90nm & Beyond)• High-K / Metal-Gate (45nm & Beyond)• Fully-Depleted (22nm & Beyond)• Tri-Gate FinFETs• Conclusions

Slide 3

Page 5: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

The Basis of All CMOS Digital ICs

Slide 4

• Charging and discharging a capacitor… very quickly!• For shorter delay and lower power

• Cload reduce parasitics (wires, gates, junctions, …)• VDD reduce logic swing• Ieff move charge quicker

pull-down logic

pull-up logic

inputs eff

DDload

eff

loaddelay I

VCI

Qt

fVCP DDloaddynamic2

Page 6: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Important MOSFET Current Parameters

Slide 5

0.0

0.5

1.0

1.5

0.0 0.2 0.4 0.6 0.8 1.0

I D (m

A)

VDS

(V)

VGS

0.5V

0.7V

1.0V

0.2V

IDsat

IDoff

IDlow

IDhigh

IDeff

IDeff estimates effective inverter current drawn during switching event, more realistic and way less optimistic than IDsat

typical analog usageVGS=VT to VT+0.2V

Na et al., IBM [3]

IDlin

2,

,2

2

DDDSDDGS

DDDSDD

GS

VVVVIDIDhigh

VVVVIDIDlow

IDhighIDlowIDeff

28nm, VDD=1.0V

Page 7: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Flatband Condition (VGS=VFB)

Slide 6

p-typebody

poly gate

n+

sourcen+

drain

siliconsurface

source-to-body

depletion

drain-to-bodydepletion

p+ bodycontact

VDS

VGS

VBS

EC

EV

Ei

qbqs

EF

EF

siliconsurface

M O S

qs = qb

Energy Band

Diagram

Page 8: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Onset of Surface Inversion (s=0)

Slide 7

p-typebody

poly gate

n+

sourcen+

drainp+ bodycontact

VDS

VGS

VBS

surfaceundoped

M O S

Energy Band

Diagram

qs

qs = 0

qb

– – –

+ ++

+

+ charge terminating on – charge

Page 9: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Onset of Strong Surface Inversion (VGS=VT)

Slide 8

p-typebody

poly gate

n+

sourcen+

drainp+ bodycontact

VDS

VGS

VBS M O S

Energy Band

Diagram

– – –

+

– – –

– – – – – – – – – – – –

+ + ++ + + ++ + + ++ + + ++ + + ++ + +

–– – –

ox

depbFBT C

QVV 2

ss

qb

qsqVT

qs = qb

inversionlayer

Page 10: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Lower the Surface Barrier

Slide 9

VGS > VTVDS > 0 (net source-to-drain current flow)Carriers easily overcome source barrierSurface is strongly inverted

VGS VTVDS = 0 (no net current)Source barrier loweredSurface is inverted

VGS = 0VDS = 0 (no current)Large source barrier(back-to-back diodes)

electroncurrent

Sze [4]

Page 11: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Quantifying Charge to Move s by 2b

Slide 10

• Assume uniformly doped p-type body

• How much body must be depleted to reach strong inversion?

––

––

––

––

––

––

qN

xdgate

++++++

++++ body

NqNx bSi

d122

ddep qNxQ

x

V

0 xd

2b

Si

db

qNx

2

22

dxEV

xE0

xd

Si

dqNx

Si

QdAE

Page 12: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

The Roads to Higher Performance

Slide 11

sourcedrain

channel

Decrease L – steepen the hill

sourcedrain

channellithographyscaling

Increase µ – move carriers faster

sourcedrain

channel

strain engineering

sourcedrain

channelIncrease Cox – move more carriers

high-K dielectricmetal gate

Must contain parasitic R & C from undoing all the IFET gains

Page 13: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Short-Channel Effects (SCEs)

VDD not scaling as aggressively as L Higher channel electric fields

– Velocity saturation– Mobility degradation

Slide 12

gate

n+

sourcen+

drain

source-to-bodydepletion

drain-to-bodydepletion

L

L

VT

VDS

VT

DIBL

Page 14: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Overcoming Short-Channel Effects

Improve gate electrostatic control of channel charge• Higher body doping but higher VT

• Shallower source/drain but higher Rs

• Thinner tox but higher gate leakage• High-K dielectric to reduce tunneling• Metal gate to overcome poly depletion• Fully-depleted structures (e.g., fins)

Stressors for mobility enhancement

Slide 13

gate

n+

sourcen+

drain

dopingx j

1

Page 15: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

drawn

drawnDS L

WIIGST VV

0

• Onset of strong inversion near impossible to measure

• Sweep log IDS vs. VGS

• Find VGS when IDS crosses user-specified threshold I0normalized to W/L

VGS

log IDShigh VDS low VDS

I0×W/L

VTsat VTlin

• Foundry-specific I0 ~ 10 to 500 nA• No physical connection to

“fundamental” VT definition

DIBL

Slide 14

Constant-Current VT Measurement

Loke et al., AMD [5]

Page 16: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Not So Fundamental After All

• Body doping has increased by 2–3 orders of magnitude over the decades

• Surface way more conductive at strong inversion condition using “fundamental” VT definition

• What matters is how much OFF leakage you get for a given ON current

• IDoff vs. IDsat (or IDeff) universal plots have become more useful to summarize device performance

Slide 15

M O S

Energy Band

Diagram

fsfs

qb

qfsqVT

qs = qb

inversionlayer

ox

depbFBT C

QVV 2

Page 17: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

IOFF–ION Universal Plots

Slide 16

Comparison of 90nm Technology Foundry Vendors

1.2V1.0V1.2V1.0V

NMOSPMOS

0.1

1.0

10.0

100.0

1000.0

0 200 400 600 800 1000 1200

OFF

Lea

kage

Cur

rent

(nA

/m

)

ON Drive Current (A/m)

• High ION high IOFF & low ION low IOFF

• OFF leakage prevents VT from scaling with gate length• Several VT’s enable trade-off between high speed vs. low leakage

log (IDS)

VGSVT1 VT2

IOFF1

IOFF2

VDD

ION1ION2

Page 18: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Subthreshold Leakage

Slide 17

• MOSFET is not perfectly OFF below VT

• VG s lower source-to-channel barrier• Gradually more carriers diffuse from source to drain• Capacitive divider between gate and undepleted body

Cox

CSibody

gate

VG

VB

source

drainVG

Siox

oxGs CC

CV

source drain

s

Page 19: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Subthreshold Slope

Slide 18

• Planar 28nm: S = 100–110mV/dec at 25°C

• Want tight coupling of VG to s but have to overcome CSi

• Large Cox thinner gate oxide, HKMG• Small CSi lower body doping, FD-SOI, finFET• Get diode limit when Cox & CSi 0 (η = 1)

• Reducing S enables lower VT , VDD & power for same IOFF

ox

SioxB

CCC

qTkS

10ln

VB

VG

s

ox

Siox

CCCdecmVS

/60 at 25°C

• VG needed for 10 change in current

CSi

Cox

Page 20: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Drain-Induced Barrier Lowering (DIBL)

Slide 19

• OFF leakage gets worse at higher VD

• E field from drain charge terminating in body, reducing gate charge required to reach VT

• Characterized as VT reduction for some VD

• Planar 28nm: 150–160mV for VD =1V• Reducing DIBL also enables lower VDD & power for same IOFF

reduction of barrier heightat edge of source

VDD

source draingate

source drain

+++++

–––

+

+++++++ +

––

––

–––

E field

Page 21: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

3-Way Competition for Body Charge

Slide 20

What’s happening to surface potential?

p-well

gate

VB

source

drain

VD

drain

VG

VD

source

drainVG

source

drain|VB|

DIBL

bodyeffect

gate control(what we want)

Page 22: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Outline• Motivation• MOSFET & Short-Channel Fundamentals• 130nm MOSFET Fabrication• Strain Engineering (90nm & Beyond)• High-K / Metal-Gate (45nm & Beyond)• Fully-Depleted (22nm & Beyond)• Tri-Gate FinFETs• Conclusions

Slide 21

Page 23: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

130nm MOSFET Fabrication

Slide 22

Well Implantation

2 n-well p-well

Gate Oxidation &Poly Definition

3

gate oxide

Source/Drain Extension& Halo Implantation

4halos

Spacer Formation &Source/Drain Implantation

5

Salicidation

6

silicide

PMOS NMOS

Shallow Trench Isolation

1 STIoxidep-Si substrate

Page 24: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Shallow Trench Isolation

Slide 23

1

2

3

4

5

Advantages over LOCOS• Reduced active-to-active

spacing (no bird’s beak)• Planar surface for gate

lithography

Deposit & pattern thin Si3N4etch mask & polish stop

Etch silicon around active area –profile critical to minimize stress

Grow liner SiO2, then deposit conformal SiO2 – void-free deposition is critical

CMP excess SiO2

Recess SiO2Strip Si3N4 polish stop

Page 25: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

p-wellSTIoxide

STIoxide

Well Implant Engineering

Slide 24

Retrograded well dopant profile(implants before poly deposition)

Shallow/steep surface channel implant • VT control• Slow diffusers critical (In, Sb)

Very deep high-dose implant• Latchup prevention• Noise immunity• Faster diffusers (B, As/P)

Sequence implant to reduce ion channeling, especially for shallow implant

Depth

SubstrateDoping

substratebackground

Deeper subsurface implant• Extra dopants to prevent subsurface

punchthrough under halos• Prevent parasitic channel inversion on

STI sidewall beneath source/drain• Faster diffusers (B, As/P)

Page 26: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Gate Oxide Growth

Slide 25

• Need two gate oxide tox’s – thin for core FET & thick for I/O FET

1 2 3

• Oxide is grown, not deposited• Need high-quality Si-SiO2 interface with low Qf & Dit

• Gate oxide is really made of silicon oxynitride (SiOxNy)• Nitrogen prevents boron diffusion from p+ poly to channel• Improves GOI (gate oxide integrity) reliability• Side benefit – increased ox

Grow 1st oxide Strip oxide for core FET Grow 2nd oxide

I/O FETgate oxide

core FETgate oxide

Si substrate

gateoxide

Page 27: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Poly Gate Definition

Slide 26

Si substrate

• Process control is everything – resist & poly etch chamber conditioning is critical (don’t clean residues in tea cups or woks)

• Trim more for smaller CD (requires tighter control)• Less trimming if narrower lines can be printed immersion litho

poly-Si

1 2 3

anti-reflection layer (ARL)

gateoxide

resist

Pattern resist Trim resist (oxygen ash)

Etch gate stack

polygate

• Gate CD way smaller than lithography capability

Page 28: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Channel & Source/Drain Engineering

Slide 27

polygate

self-aligned source/drain extension implant (n-type)

p-well

1

dielectric spacerformation

p-well

polygate3

self-aligned source/drain implant (n-type)

4

p-well

polygate

halos

self-aligned high-tilt halo/pocket implant (p-type)

p-well

polygate2

Page 29: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Benefits of Halo and Extension

Slide 28

Resulting structure• Less short-channel effect• Shallow junction where

needed most• Low junction capacitance

polygate

halos

Not to be confused with LDD in I/O FET• Same process with spacers but Iightly doped drain (LDD) is

used for minimizing peak electric fields that cause hot carriers & breakdown

• Extensions need to be heavily doped to minimize series resistance

Different halo & extension/LDD implants for each FET variant

halos

Page 30: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Self-Aligned Silicidation (Salicidation)

Slide 29

• Need to reduce poly & diffusion Rs, or get severe IFET degradation

1

Deposit sicilide metal (Ti, Co, Ni)

RTA1 (low temperature)Selective formation of metal silicide from metal reaction with Si

welldiffusion

2

Strip unreacted metal

3

RTA2 (high temperature)Transforms silicide into low-phase by consuming more Si

4

poly

STI

• TiSix CoSix Ni/PtSix• Scaling requires smaller grain size to minimize Rs variation

Page 31: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Outline• Motivation• MOSFET & Short-Channel Fundamentals• 130nm MOSFET Fabrication• Strain Engineering (90nm & Beyond)• High-K / Metal-Gate (45nm & Beyond)• Fully-Depleted (22nm & Beyond)• Tri-Gate FinFETs• Conclusions

Slide 30

Page 32: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

The Roads to Higher Performance

Slide 31

sourcedrain

channel

Decrease L – steepen the hill

sourcedrain

channellithographyscaling

Increase µ – move carriers faster

sourcedrain

channel

strain engineering

sourcedrain

channelIncrease Cox – move more carriers

high-K dielectricmetal gate

Page 33: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Mechanical Stresses & Strains

Slide 32

AreaForceStress

atomic spacing > equilibrium spacing

Tension(positive stress)

Compression(negative stress)

atomic spacing < equilibrium spacing

vs.

0

Strain

• Stretching / compressing FET channel atoms by as little as 1%can improve electron / hole mobilities by several times

• Strain perturbs crystal structure (energy bands, density of states, etc.) changes effective mass of electrons & holes

• Increase ION for the same IOFF without increasing COX

Page 34: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Longitudinal Uni-Axial Strain

Slide 33

tension (stretch atoms apart) faster NMOS

compression (squeeze atoms together) faster PMOS

• Most practical means of incorporating strain for mobility boost• Want 1-3GPa (high-strength steel breaks at 0.8GPa)• How? Deposit strained materials around channel

• Material in tension wants to relax by pulling in• Material in compression wants to relax by pushing out

Page 35: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Transferring Strain from Material A to B

Slide 34

A AB

A AB

A AB

more A

less B

limitedscalability

need short channel

Page 36: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Ways to Incorporate Uni-Axial Strain

Slide 35

• NMOS wants tension, PMOS wants compression

• Un-Intentional (comes for free)• Shallow Trench Isolation – NMOS / PMOS

• Intentional (requires extra processing)• Stress Memorization Technique – NMOS • Embedded-SiGe Source/Drain – PMOS • Embedded-SiC Source/Drain – NMOS • Dual-Stress Liners – NMOS & PMOS

• Strain methods are additive

Page 37: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers Slide 36

Shallow Trench Isolation (STI)NMOS & PMOS

• STI oxide under compression• High-Density Plasma CVD SiO2 process (alternating deposition/etch)

deposits intrinsically compressive oxide for good trench fill• 10 CTE mismatch between Si & SiO2 increases compression when

cooled from deposition temperature• Migrated to High Aspect Ratio Process (HARP) fill in recent nodes less compressive oxide

Plummer et al., Stanford [6] Bianchi et al., AMD [7]

Page 38: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Stress Memorization Technique (SMT)NMOS

Slide 37

Ion (µA/µm)

I off(A

/µm

)

600 800 1000 1200 140010-9

10-8

10-7

10-6

10-5

control

disposable tensile nitride

stressor

tensile

Amorphize poly & diffusion with silicon implant

Deposit tensile nitride

Anneal to make nitride more tensile and transfer nitride tension to crystallizing amorphous channel

Remove nitride stressor (tension now frozen in diffusion)

1

2

3

4

Chan et al., IBM [8]

Page 39: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Periodic Table Trends

Slide 38

lattice spacing bandgap

• Compound semiconductor like SixGe1-x has lattice spacing & bandgap between Si & Ge

• Same idea with SixC1-x

Page 40: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Embedded-SiGe Source/Drain (e-SiGe)PMOS

Slide 39

P

P

Etch source/drain recess

Grow SiGe epitaxially in recessed regions

2

SiGe SiGe

• SiGe constrained to Si lattice will be in compression

• Compressive SiGe source/drain transfers compression to Si channel

Ion (µA/µm)

I off

(A/µ

m)

200 300 400 500 700

10-9

10-8

10-7

600

1

L=35nm

SiGe

L=35nmL=35nm

SiGe

• e-SiC is similar but introduces tension instead• Epitaxial SiC much tougher to do than SiGe

Chan et al., IBM [8]

Page 41: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers Slide 40

Dual-Stress LinersNMOS & PMOS

• Deposit tensile/compressive PECVD SiN (PEN) liners on N/PMOS• Liner stress is dialed in by liner deposition conditions (gas flow,

pressure, temperature, etc.)

TPEN for NMOS CPEN for PMOS

tensile compressive

tensile compressive

Chan et al., IBM [8]

Page 42: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Strain Relaxation

Slide 41

When materials of different strain come together…

Material A Tensile Material B Compressive

• Both materials will relax at the interface• Extent of relaxation is gradual, depends on distance from interface• No relaxation far away from interface

interface

Page 43: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Strain Depends on Channel Location

Slide 42

Xi et al., UC Berkeley [9]

• SA, L & SB specify where channel is located along active area

SA L SB

• Critical for modeling device mobility change due to STI, SMT & e-SiGe/e-SiC

• Strain at source & drain ends of channel may be different

• Important consideration for matching, e.g., current mirrors

STIeffectonly

Page 44: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Longitudinal DSL Proximity

Slide 43

• Opposite device type nearby in longitudinal direction reduces impact of stress liner mutually slow each other down

• Opposite PEN liner absorbs/relieves stress introduced by PEN

h n _ s tr _ w n w p _ in fp -1 1 -1 5

0.7

0 .75

0.8

0 .85

0.9

0 .95

1

1.05

0 0.2 0 .4 0 .6 0 .8 1 1.2

W NW P L o n g . Dis tan ce (u m )

Ieff

Rat

ioData Mode l

CPEN TPEN

PMOS NMOS

CPEN TPEN

PMOS NMOS

PMOS Longitudinal Proximity

Faricelli, AMD [10]

Page 45: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Transverse DSL Proximity

Slide 44

• Both NMOS & PMOS like tension in transverse direction, unlike longitudinal direction

• NMOS near PMOS in width direction helps PMOS, hurts NMOS

0.880.9

0.920.940.960.98

11.021.041.06

0 0.2 0.4 0.6 0.8 1 1.2

Transverse Distance

Norm

aliz

ed Id

eff

Data W=0.4Model W=0.4Data W=1.25Model W=1.25

desiredNMOS strain

desiredPMOS strain

CPEN

TPEN

PMOS

NMOS

PMOS Transverse ProximityCPEN

TPEN

PMOS

NMOS

Faricelli, AMD [10]

Page 46: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Outline• Motivation• MOSFET & Short-Channel Fundamentals• 130nm MOSFET Fabrication• Strain Engineering (90nm & Beyond)• High-K / Metal-Gate (45nm & Beyond)• Fully-Depleted (22nm & Beyond)• Tri-Gate FinFETs• Conclusions

Slide 45

Page 47: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

The Roads to Higher Performance

Slide 46

sourcedrain

channel

Decrease L – steepen the hill

sourcedrain

channellithographyscaling

Increase µ – move carriers faster

sourcedrain

channel

strain engineering

sourcedrain

channelIncrease Cox – move more carriers

high-K dielectricmetal gate

Page 48: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Direct Tunneling Gate Leakage

Slide 47

• tox had to scale with channel length to maintain gate control

• Less SCE• Better FET performance

• Significant direct tunneling for tox< 2nm

EOT (Å)0 5 10 15 20 25 30

Gat

e Le

akag

e (A

/cm

2 )

10-510-410-310-210-1100101102103104

High PerformanceLow PowerSiO2 TrendlineNitrided oxide

McPherson, Texas Instruments [11]

EOT = Equivalent Oxide Thickness

• High-K gate dielectric achieves same Cox with much thicker tox

EOTtC ox

gate

gateox

Page 49: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers Slide 48

• Even heavily-doped poly is a limited conductor• Discrepancy between electrical & physical thicknesses since charge

is not intimately in contact with oxide interface

surface charge centroid few Å’s awayfrom oxide interface

n+ poly gate

p-well

gate oxide

poly depletion (band bending)

gate charge centroid few Å’s away from oxide interface

Wong, IBM [12]

Cox

1.5nm (15Å)

poly-Sigate

Sisubstrate

gateoxide

Poly Depletion & Charge CentroidDielectric Only Half the Story

Page 50: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Enter High-K Dielectric + Metal-Gate

Slide 49

• High-K Dielectric (HK)• Hf-based material with K~20–30 (Zr-based also considered)• Need to overcome hysteretic polarization• High deposition temperature for good film quality

• Metal-Gate (MG)• Thin conductive film intimately in contact with high-K dielectric

to set gate work function M VFB VT• Want band-edge M, i.e., NMOS @ EC & PMOS @ EV

(just like n+ poly & p+ poly) different MG for NMOS & PMOS• Typically complex stack of different metal layers secret sauce• Conductive fill metal on top of M-setting metal-gate

• Key challenges• INTEGRATION, INTEGRATION, INTEGRATION• M shifts when exposed to dopant activation anneals• Getting the right VT for both NMOS & PMOS

Page 51: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Atomic Layer Deposition

Slide 50

• Deposit monolayer at a time using sequential pulses of gases • Introduce one reactant at a time & purge before introducing next

reactant• Key to precise film thickness control of HKMG stack • e.g., SiO2 (SiCl4+H2O) HfO2 (HfCl4+H2O) TiN (TiCl4+NH3)

Introduce pulse of HfCl4 gas

Monolayer adsoprtion of HfCl4 Introduce pulse of H2O gas

Surface reaction to form HfO2repeat cycle for desired number of monolayers

ICKnowledge.com [13]

Page 52: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

HK-First / MG-First Integration

Slide 51

• Obvious extension of poly-Si gate integration• Seems obvious & “easy” at first but plagued with unstable work

function when HKMG is exposed to activation anneals• Especially problematic with PMOS VT coming out too high

Deposit HKDeposit MG1

Pattern MG1Deposit MG2

Pattern MG2Deposit gatePattern gates / MGs / HK

Implant/anneal S/ D Form silicideDeposit/CMP ILD0Form contacts

321 4

Page 53: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

GlobalFoundries 32nm-SOI

Slide 52

Horstmann et al., GlobalFoundries [14]

poly/SiON HKMG

+35%

IDsat (µA/µm)

IDof

f(nA

/µm

)

poly/SiON HKMG

+25%

IDsat (µA/µm)

IDof

f(nA

/µm

)

NMOS PMOS

epi-cSiGe to set channel M

Page 54: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

HK-First / MG-Last Integration

Slide 53

• High thermal budget available for middle-of-line• Low thermal budget for metal gate more gate metal choices• Enhanced strain when sacrificial poly is removed & resulting

trench is filled with gate fill metal

Deposit HK / gatePattern gate / HK

Implant/anneal S / DForm silicideDeposit ILD0CMP ILD0 to expose top of gateRemove gate

Deposit MG1Pattern MG1Deposit MG2Pattern MG2

Deposit gate-fillCMP gate-fill / MGsDeposit more ILD0Form contacts

321 4

Page 55: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Intel 45nm

Slide 54

NMOS PMOS

Auth et al, Intel [15]

Page 56: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

HK-Last / MG-Last Integration

Slide 55

• Same advantages as HK-first / MG-last integration• Overcomes EOT scaling limitations in HK-first / MG-last• Need to postpone silicidation to after contact etch• Need trench contacts to accommodate silicide metal deposition• DSL relax & no longer useful since contacts cut through FET width

Deposit oxide / gatePattern gate / oxide

Implant/anneal S / DDeposit ILD0CMP ILD0 to expose top of gateRemove gate/oxide

Deposit HKDeposit MG1Pattern MG1Deposit MG2Pattern MG2

Deposit gate-fillCMP gate-fill / MGsDeposit more ILD0Pattern contactsForm silicideForm contacts

321 4

Page 57: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Intel 32nm

Slide 56

Packan et al, Intel [16]

Page 58: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Outline• Motivation• MOSFET & Short-Channel Fundamentals• 130nm MOSFET Fabrication• Strain Engineering (90nm & Beyond)• High-K / Metal-Gate (45nm & Beyond)• Fully-Depleted (22nm & Beyond)• Tri-Gate FinFETs• Conclusions

Slide 57

Page 59: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

What Does Fully-Depleted Really Mean?

Slide 58

• Consider what happens when SOI layer thins down

• Conservation of charge cannot be violated• So once body is fully depleted, extra gate charge must be balanced

by charge elsewhere, e.g., beneath buried oxide• If substrate is insulator, then charge must come from source/drain

p-well

substrate

buried oxide

source drain

p-well

substrate

source drain

fully-depleted when turned on

depletion region

depletion region

Page 60: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Benefits of Lower DIBL & S

Slide 59

• Fully-depleted options• Planar: FD-SOI, Bulk with retrograded well• 3-D: FinFET or Tri-Gate – SOI or Bulk

log (IDS)

VGSVTsat VTlin

IDoff

VDD

IDsatIDlin

log (IDS)

VGSVDD

log (IDS)

VGSVDDVTsat VTlin

IDoff

IDsatIDlin

Same SLower DIBL

Lower SSame DIBL

Maintain IDsat & IDoff

VTsat VTlin

IDsatIDlin

IDoff

Page 61: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

The Big Deal with Lower DIBL

Slide 60

Higher performance for the same IDsat & IDoff

L. Wei et al, Stanford [17]

Page 62: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Body Thickness for Fully-Depleted

Slide 61

qNx bSi

d 22

p-well

substratedepletion

region

dx

1015 1016 1017 1018 1019 10201

10

100

1000

Body Doping, N (cm-3)x d

(nm

)

fullydepleted

partiallydepleted

Page 63: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Fully-Depleted Planar on SOI

Slide 62

K. Cheng et al, IBM [18]

• a.k.a. ET (Extremely Thin) or UTBB (Ultra-Thin Body & BOX) SOI to refer to very thin SOI and Buried Oxide (BOX) layers

• SOI Si layer is so thin that charge mirroring gate charge comes from beneath BOX

buried oxide

substrate

thick to reduce series resistance & apply stress

Page 64: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Thin BOX to Suppress SCE

Slide 63

• Fully-depleted alone does not eliminate SCE• Field lines from drain are still competing for body charge• If body is fully depleted, these field lines cannot terminate in the

body since there’s no charge to terminate to no DIBL• Charge elsewhere must be nearby or field lines from drain will

terminate on source charge

T. Skotnicki, STMicroelectronics [19]

Page 65: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Performance Tuning with Backgate Bias

Slide 64

Yamaoka et al., Hitachi [20]

• Like a “body effect” in planar bulk with CSi spanning SOI & BOX• Backgate bias can modulate both NMOS and PMOS VT at 80mV/V• Not option in finFETs but finFET subthreshold slope is better

T. Skotnicki, STMicroelectronics [21]

Page 66: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Fully-Depleted Planar on Bulk

Slide 65

Fujita et al., Fujitsu & SuVolta [22]

1 Low-doped layer for RDF reduction (fully depleted)

2 VT setting layer for multiple VT devices3 Highly-doped screening layer to

terminate depletion4 Sub-surface punchthrough prevention

Reduced RDF for tighter VTcontrol & lower SRAM VDDmin

Page 67: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Random Dopant Fluctuation (RDF)

Slide 66

0

10

20

30

40

50

130nm 90nm 65nm 45nm

minimumlengthNMOS

VT

(mV

)

Auth, Intel [15]

• RDF more prevalent with scaling since number of dopants is decreasing with each MOS generation

• Why does RDF impact magically disappear in fully-depleted?

??

Page 68: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

RDF in Conventional MOS

Slide 67

• Back to basics• Conservation of charge• Electric field lines start at +ve charge & end at –ve charge

• Random locations of dopant atoms• Lengths of field lines exhibit variation• Integrated field (voltage or band bending) has VT variation

poly gate

n+

sourcen+

drain– – –

+

– – –

+ + ++ + + ++ + + +

–– – –

dxEV

partially depleted

Page 69: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Why Fully-Depleted Eliminates RDF

Slide 68

poly gate

n+

sourcen+

drain– – –

+

– – –

+ + ++ + + ++ + + +

–– – –

poly gate

n+

sourcen+

drain

+ + + ++ + + ++ + + +

– – – – – – – – – – – –

undoped

partially depleted fully depleted

• In fully-depleted SOI, field lines from gate cannot terminate in the undoped body (no charge there)

• Mirror charges are localized beneath BOX• Lengths of field lines have very tight distribution• VT variation is small• However, VT now very sensitive to dimensional variation,

e.g., SOI and BOX thickness

Page 70: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Outline• Motivation• MOSFET & Short-Channel Fundamentals• 130nm MOSFET Fabrication• Strain Engineering (90nm & Beyond)• High-K / Metal-Gate (45nm & Beyond)• Fully-Depleted (22nm & Beyond)• Tri-Gate FinFETs• Conclusions

Slide 69

Page 71: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

What is Fully-Depleted Tri-Gate?

Slide 70

M. Bohr, Intel [24]

32nm planar 22nm tri-gate

• Channel on 3 sides• Fin width is quantized

(SRAM & logic implications)Hu, UC Berkeley [23]

Page 72: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Tri-Gate FinFETs in Production

Slide 71

Truly impressive!!!fingate

32nm planar 22nm tri-gate

M. Bohr, Intel [24]

Page 73: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Conventional Wafer Surface Orientation & Channel Direction

Slide 72

0° notch

x (100)

y (010)

z (001)

(100)

• Wafer normal is (100), current flows in <110> direction• Tri-Gate FinFET: top surface (100), sidewall surfaces (110)

Page 74: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Mobility Dependence on Surface Orientation & Direction of Current

Slide 73

NMOS PMOS

Yang et al., IBM [25]

• Strain-induced mobility boost also depends on surface orientation & channel direction – not as strong for current along sidewalls vs. top of fin

top of fin

sidewalls of fintop of fin

sidewalls of fin

Page 75: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Fin Patterning – Sidewall Image Transfer

Slide 74

• Standard approach for patterning fins down to 60nm pitch (Intel 22nm)

• In principle, pitch can go down to ~40nm without double patterning

1 Deposit & pattern sacrificial mandrel

2 Deposit & etch spacer

4 Etch target material using spacer as hard mask

5 Remove spacer mask

substrate to etch

mandrel

spacer

3 Remove mandrelhard mask

for patterning

Page 76: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Process Flow Summary I

Slide 75

• Example shows tri-gate on SOI but bulk flow is similar

• Pattern fins using SIT• Deposit/CMP STI oxide• Recess STI oxide by fin height• Deposit, CMP & pattern poly

fin

buried oxide

gate oxide on top & both sidewalls of fin

• Deposit spacer dielectric & etch, leaving spacer on gate sidewalls

• Spacer must be removed on fin sidewall

Paul, AMD [26]

Page 77: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Process Flow Summary II

Slide 76

• Recess fins• Grow Si epitaxially to merge fins

together for reduced source/drain resistance

• Induce uni-axial channel strain by growing e-SiGe or e-SiC

• Source/drain dopants come from in situ doping during epi

• Deposit ILD0 & CMP to top of poly• Do replacement-gate HKMG

module• Deposit & pattern contact dielectric• Form trench contacts (note

overlap capacitance to gate)

epigrowth

trench contact

metal gate

Paul, AMD [26]

Page 78: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Some Tri-Gate Considerations

Slide 77

• Field lines of from gate terminates at base of fins

• Fin base must be heavily doped for fin-to-fin isolation

• Dimensional variation of fins device variation

• Current density is not uniform along width of device – VT & S varies along sidewall

• Series resistance vs. overlap capacitance

trench contact

metal gate

Page 79: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Pacifying The Multi-VT Addiction

Slide 78

• 8 VT’s typical in 28nm (NMOS vs. PMOS, thick vs. thin oxide)• Methods of achieving multiple VT

1. Bias channel length• Exploit SCE (VT rolloff with shorter L)• Increase L for lower ION & IOFF

2. Implant fin body with different dose• Field lines from gate must terminate on available

body dopants before terminating at base of fin• Prone to RDF

3. Integrate different metal gate M • Already 2 M s in standard HKMG flow• More complex integration

Page 80: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Intel 22nm TEM Cross-Sections

Slide 79

Auth, Intel [27]

Single fin (along W)

Epi merge (along W)

NMOS (along L)

PMOS (along L)

Page 81: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Intel 22nm Performance at 0.8V

Slide 80

0.1

1

10

100

1000

0.6 0.8 1 1.2 1.4 1.6

IOFF

(nA

/m

)

IDSAT (mA/m)

VDD = 0.8V

HP: 1.26 mA/m

MP: 1.07 mA/m

SP: 0.88 mA/m

32nm

0

1

10

100

1000

0.6 0.8 1 1.2 1.4

IOFF

(nA

/m

)

IDSAT (mA/m)

VDD = 0.8V

HP: 1.10 mA/m

MP: 0.95 mA/m

SP: 0.78 mA/m

32nm

1.E-09

1.E-08

1.E-07

1.E-06

1.E-05

1.E-04

1.E-03

1.E-02

-1.0 -0.6 -0.2 0.2 0.6 1.0

IDSA

T (A

/m

)

VGS (V)

0.80V

SS ~69mV/decDIBL ~46 mV/V

SS ~72mV/decDIBL ~50 mV/V

NMOSPMOS

0.05V

0.80V

0.05V

Auth, Intel [27]

NMOS

PMOS

Page 82: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Conclusions

Slide 81

• Digital needs will continue to drive CMOS scaling but at slower pace

• Expect new learning in 20nm & 14nm as we cope with fin design & layout

• Exciting time to be designing

Page 83: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

References I[1] M. Keating, “Science fiction or technology roadmap: a look at the future of SoC design,” in SNUG San Jose Conf., Mar.

2010.[2] L. Bair, “Process/product interactions in a concurrent design environment,” in Proc. IEEE Custom Integrated Circuits Conf.,

pp. 779782, Sep. 2007.[3] M. Na et al., “The effective drive current in CMOS inverters,” in IEEE Int. Electron Devices Meeting Tech. Dig., pp. 121–

124, Dec. 2002. [4] S.M. Sze, Physics of Semiconductor Devices (2nd ed.), John Wiley & Sons, 1981.[5] A. Loke et al., “Constant-current threshold voltage extraction in HSPICE for nanoscale CMOS analog design,” in SNUG

San Jose Conf., Mar. 2010.[6] J. Plummer et al., Silicon VLSI Technology– Fundamentals, Practice and Modeling, Prentice-Hall, 2000.[7] R. Bianchi et al., “Accurate modeling of trench isolation Induced mechanical stress effects on MOSFET electrical

performance,” in IEEE Int. Electron Devices Meeting Tech. Dig., pp. 117-120, Dec. 2002.[8] V. Chan et al., “Strain for CMOS performance improvement,” in Proc. IEEE Custom Integrated Circuits Conf., pp. 667–674,

Sep.2005. [9] X. Xi et al., BSIM4.3.0 MOSFET Model – User’s Manual, The Regents of the University of California at Berkeley, 2003 [10] J. Faricelli, “Layout-dependent proximity effects in deep nanoscale CMOS,” in Proc. IEEE Custom Integrated Circuits

Conf., pp. 1–8, Sep.2010.[11] J. McPherson, “Reliability trends with advanced CMOS scaling and the implications on design,” in Proc. IEEE Custom

Integrated Circuits Conf., pp. 405–412, Sep. 2007.[12] P. Wong, “Beyond the conventional transistor,” IBM J. Research & Development, pp. 133–168, vol. 2-3, no. 46, Mar. 2002.[13] www.ICKnowledge.com[14] M. Horstmann et al., “Advanced SOI CMOS transistor technologies for high-performance microprocessor applications,” in

Proc. IEEE Custom Integrated Circuits Conf., pp. 149–152, Sep. 2009.[15] C. Auth, “45nm high-k + metal-gate strain-enhanced CMOS transistors,” in Proc. IEEE Custom Integrated Circuits Conf.,

pp. 379–386, Sep. 2008.[16] P. Packan et al., “High performance 32nm logic technology featuring 2nd generation high-k + metal gate transistors,” in

IEEE Int. Electron Devices Meeting Tech. Dig., pp. 1–4, Dec. 2009.

Slide 82

Page 84: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

References II[17] L. Wei et al., “Exploration of device design space to meet circuit speed targeting 22nm and beyond,” in Proc. Int. Conf.

Solid State Devices and Materials, pp. 808–809, Sep. 2009.[18] K. Cheng et al., “Fully depleted extremely thin SOI technology fabricate by a novel integration scheme featuring implant-

free, zero-silicon-loss, and faceted raised source/drain,” in IEEE Symp. VLSI Technology Tech. Dig., pp. 212–213, Jun.2009.

[19] T. Skotnicki, “CMOS technologies – trends, scaling and issues ,” in IEEE Int. Electron Devices Meeting Short Course, Dec. 2010.

[20] M. Yamaoka et al., “SRAM circuit with expanded operating margin and reduced stand-by leakage current using thin BOX FD-SOI transistors,“ IEEE J. Solid-State Circuits, vol. 41, no.11, Nov. 2006.

[21] T. Skotnicki, “Competitive SOC with UTBB SOI,” in Proc. IEEE SOI Conf., Oct. 2011.[22] K. Fujita et al., “Advanced channel engineering achieving aggressive reduction of VT variation for ultra-low power

applications,” in IEEE Int. Electron Devices Meeting Tech. Dig., pp. 32.3.1–32.3.4, Dec. 2011.[23] C. Hu, “FinFET 3D transistor and the concept behind it,” in IEEE Electron Device Soc. Webinar, Jul. 2011.[24] M. Bohr, “22 nm tri-gate transistors for industry-leading low power capabilities,” in Intel Developer Forum, Sep. 2011.[25] M. Yang et al., “Hybrid-orientation technology (HOT): opportunities and challenges,” IEEE Trans. Electron Devices, vol. 53,

no. 5, pp. 965–978, May 2006.[26] S. Paul, “FinFET vs. trigate: parasitic capacitance and resistance”, AMD Internal Presentation, Aug. 2011.[27] C. Auth et al., “A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors,

self-aligned contacts and high density MIM capacitors,” in IEEE Symp. VLSI Technology Tech. Dig., pp. 131–132, Jun. 2012.

Slide 83

Page 85: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers

Acknowledgments

Slide 84

Thanks to the authors of the countless published material used as illustrations in this presentation

Page 86: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers Slide 85

Dave PulfreyUBC

Bruce WooleyStanford

Krishna SaraswatStanford

Simon WongStanford

Tom LeeStanford

Jim PlummerStanford

Ying-Keung LeungGlobalFoundries

Tom CynkarAvago

Gary RayIntel

Jeff WetzelSVTC

Chintamani PalsuleSionyx

Martin WedepohlUBC

Bob BarnesAgilent

Dick DowellAvago

Bich-Yen NguyenSoitec

Wei-Yung HsuApplied Materials

Qi-Zhong HongTI

Tom LiiTI

Ron KennedyAvago

Mark HorowitzStanford

John BravmanBucknell

Paul TownsendSBA Materials

Joe McPhersonTI

Rick HernandezPMC-Sierra

Phil FisherAvago

Greg KovacsStanford

Steve KuehneLSI

Charles MooreAvago

Michael OshimaAMD

Jim PfiesterAvago

Mike GilsdorfAvago

Tin Tin WeeAMD

Matt AngyalIBM

Bruce DoyleAMD

Emerson FangApple

Andy WeiGlobalFoundries

Jung-Suk GooGlobalFoundries

Ray StephanyAMD

The Shoulders I Humbly Stand On

Shawn SearlesAMD

John FaricelliAMD

Dennis FischetteAMD

Tom TiedjeUniv. Victoria

Gerry TalbotAMD

Changsup RyuSamsung

Justin LeungIntel

Takamaro KikkawaHiroshima Univ.

Larry BairAMD

Page 87: 2D to 3D MOS Technology Evolution for Circuit Designers...MOS Technology Evolution for Circuit Designers Alvin Loke1, Ray Stephany1, Andy Wei 2, Bich-Yen Nguyen3, Tin Tin Wee1, John

© Loke et al., 2D to 3D MOS Technology Evolution for Circuit Designers Slide 86