2006 fall mrs presentation: "gas cluster ge infusion for si(1-x)ge(x) strained-layer...

13
Abstract Materials processing with a gas cluster ion beam (GCIB) is an emerging technology that produces novel material properties in the near-surface (<40nm) regime. Results are presented from a series of GCIB infusions of GeH 4 into Si(100) substrates for the purpose of producing a strained Si (1-x) Ge x layer relative to the Si substrate. A broad range of post-GCIB anneal schedules over a range of 400 ºC to 1200 ºC was investigated. Selected samples were analyzed by RBS/channeling, cross-sectional TEM, and SIMS to assess composition and crystal quality. High-resolution axial scans about the <110> channeled direction were surveyed for evidence of lattice strain (tetragonal distortion). Comparison is made to a commercial epitaxial Si 75 Ge 25 film. 1

Upload: thomas-g-tetreault

Post on 13-Apr-2017

191 views

Category:

Documents


2 download

TRANSCRIPT

Abstract

Materials processing with a gas cluster ion beam (GCIB) is an emerging technology that produces novel material properties in the near-surface (<40nm) regime. Results are presented from a

series of GCIB infusions of GeH4 into Si(100) substrates for the purpose of producing a strained Si(1-x) Gex layer relative to

the Si substrate. A broad range of post-GCIB anneal schedules over a range of 400 ºC to 1200 ºC was investigated. Selected samples were analyzed by RBS/channeling, cross-sectional TEM, and SIMS to assess composition and crystal quality.

High-resolution axial scans about the <110> channeled direction were surveyed for evidence of lattice strain

(tetragonal distortion). Comparison is made to a commercial epitaxial Si75 Ge25 film.

1

Gas Cluster Ion Beam (GCIB)

Atmospheric Front End

High Voltage Electronics

Facilities

User Interface

Low Voltage Electronics

Beam-Line

Process Chamber

Atmospheric Front End

High Voltage Electronics

Facilities

User Interface

Low Voltage Electronics

Beam-Line

Process Chamber

Gas clusters are formed by adiabatic expansion of a jet of gas introduced into high vacuum through a nozzle. Ionization and acceleration produce a directed, energetic chemical beam for unique materials processing.

2

GCIB “Infusion”~30 Å~30 Å

Si(100)

typical

GeH4 /Ar cluster impact

“infused” Ge

amorphized region [2]2 – 40nm scales to (keV)1/3

At impact, the cluster immediately dissociates and a transient (<10psec) thermal and pressure spike [1] defines the amorphized infusion region.

High energy (keV) clustereffects a low energy/atom (<10eV/atom) processing of the surface.

Result is extreme chemical and physical reactions in the near- surface region independent of dopant mass.

dopant

3

ExperimentSubstrate: 200mm Si(100) oxide stripped

Process: GeH4 /Ar infused at 30keVInfusion dose: 3.6E16 Ge/cm2

Cleaved for tube-furnace anneals in overpressure of UHP N2

Various anneal schedules included VLTA (very low temperature anneal) steps and HTA (high temp. anneal) steps.

VLTA HTASingle StepTwo Step 375 º - 550 ºC 700 º - 1200 ºC

550 ºC – 900 ºC

4

Ge Profile vs. Anneal

1E+19

1E+20

1E+21

1E+22

1E+23

0 200 400 600 800 1000 1200Depth (Å)

Con

cent

ratio

n (G

e at

oms/

cm3 )

700C_1 Hr900C_10min400C_ 1Hr + 900C_1 Hr400C_1Hr + 1000C_10min400C_1Hr + 1200C_5min

SIMS Profiles

Selected post-anneal samples showing anneal schedules.5

Cross-sectional TEM(on-axis imaging)

6

Surface

As-infused 400 ºC+900 ºC 400 ºC+1200 ºC

• As-infused has 240Å deep amorphous region• Higher temperature (>900 ºC) necessary to reduce defects• 1200 ºC has lower contrast and indicates Ge diffusion

Cross-sectional TEM(on-axis imaging)

7

Surface

400 ºC+900 ºC 400 ºC+1200 ºC

All high temperature anneals resulted in regrowth epitaxial to Si(100) substrate.

8

RBS/channeling2.0 MeV @ 169.7º scattering

400 ºC - 1 Hr. + 1000 ºC - 10 Min.

0

100

200

300

400

500

600

700

100 200 300 400 500 600 700Channel

Cou

nts

Random<100>

Si

Ge

58% aligned

to Si<100>

700 ºC - 1 Hr.

050

100150200250300350400450500

100 300 500 700 900Channel

Cou

nts

Random<100> Si

d

Ge

8% aligned to Si<100>

900 ºC - 10 Min.

0

50

100

150

200

250

300

350

400

450

500

100 300 500 700 900Channel

Cou

nts

Random<100>

Si

Ge

19% aligned

to Si<100>

400 ºC - 1 Hr. + 900 ºC - 1 Hr.

0

50

100

150

200250

300

350

400

450

500

100 300 500 700 900Channel

Cou

nts

Random<100> Si

Ge

44% aligned

to Si<100>

9

RBS/channeling

Comparison of Ge infusion (400º/1200 ºC) with 340Å Epi Si75 Ge25

Comparison of Ge Infusion to 340Å EPI

0

10

20

30

40

50

60

70

400 500 600 700 800 900Channel

Cou

nts

Si

Ge signal

3Xto show detailEPI control

Infusion

<100> χmin

Si GeInfused: 17% 18%

EPI: 11% 12%

Determination of Lattice Strain

SiGe

Si(100)

(a) (b)

10

Origin of “kink angle”

Presence of a “kink angle” θκ denotes tetragonal elongation εT along the <100> axisdue to the Poisson effect from constraint in the {100} plane. (a) from [3] and (b) from [4]

εT

11

Channeling scan about <110>

Axial scan about <110> for the 400º/1200ºC anneal. A kink angle of 0.05º indicates tetragonal distortion (strain) relative to the Si(100) lattice.

Normalized Angular Scan about <110>6th order Polynomial Fit

00.10.20.30.40.50.60.70.80.9

1

-0.50 -0.30 -0.10 0.10 0.30 0.50Tilt axis theta (degree)

Nor

mal

ized

Yie

ld

0.075ºSi

θκ = 0.05ºGe

0.025º

3.8 MeV He+

12

SummaryGCIB Ge infusion of silicon with appropriate annealing can

produce recrystallization of a graded SiGe layer of comparable crystal quality to commercial epitaxial growth methods. High

temperature anneals above 900 ºC are required to reduce defects such as stacking faults. Highly localized lattice strain in the form of tetragonal distortion is demonstrated. Quantitativeassignment of strain is not straightforward due to Ge gradient.

Modeling of this is underway.

Acknowledgments

The authors gratefully acknowledge the efforts of Jie Zhu at SUNY-Albany for countless late-night hours of data collection at the accelerator and Allysa Vanderpot

for tireless sample preparation and annealing. We extend our sincere thanks toKevin Jones, University of Florida, for our XTEM micrographs.

References

13

1. I. Yamada, J. Matsuo, N. Toyoda, A. Kirkpatrick, Materials Science and EngineeringReports, 34 (6), 231-295 (2001).

2. J. Borland, J. Hautala, M. Gwinn, T. G. Tetreault, W. Skinner, “USJ and strained-Siformation using infusion doping and deposition” in Solid State Technology, May 2004,p. 53.

3. M. Xu, Z. Atzmon, A. Schroer, B. Wilkens, and J. W. Mayer in Materials Synthesisand Processing Using Ion Beams, edited by R. J. Culbertson, O. W. Holland, K. S. Jones and K. Maex, (Mater. Res. Soc. Symp. Proc. 316, Pittsburgh, PA, 1993) pp.679-684.

4. B. J. Robinson, D. A. Thompson, Y. Yang, B. K. Garside, J. A. Davies and P. E. Jessop,Vacuum, 39, (2-4), 133-135 (1989).