vlsi technology 2

Upload: sriram

Post on 02-Apr-2018

227 views

Category:

Documents


1 download

TRANSCRIPT

  • 7/27/2019 VLSI technology 2

    1/78

    Lithography

  • 7/27/2019 VLSI technology 2

    2/78

    Lithography is the process of transferring patterns of geometric

    shapes in a mask to a thin layer of radiation-sensitive materials

    (called resist) covering the surface of a semiconductor wafer

  • 7/27/2019 VLSI technology 2

    3/78

    Resolution is defined to be the minimum feature

    dimension that can be transferred with high fidelity to

    a resist film on a semiconductor wafer

    Registration is a measure of how accurately patterns

    on successive masks can be aligned or overlaid with

    respect to previously defined patterns on the samewafer

    Throughput is the number of wafers that can beexposed per hour for a given mask level and is thus a

    measure of the efficiency of the lithographic process

  • 7/27/2019 VLSI technology 2

    4/78

    An IC fabrication facility requires a clean room, particularly in

    lithography areas. Airborne particles settling on semiconductor

    wafers and lithographic masks behave as opaque patterns that can besubsequently transferred to the wafers.

    Particle 1 may

    result in the

    formation of a

    pinhole in theunderlying layer

    Particle 2 may

    cause constriction

    of current flow inthe metal runner

    Particle 3 may lead to a short circuit between the two

    conducting regions and render the circuit useless

  • 7/27/2019 VLSI technology 2

    5/78

    Clean RoomIn a clean room, the total

    number of dust particles

    per unit volume must be

    tightly controlled along

    with other parameterssuch as temperature,

    humidity, pressure, and so

    on.

    A class X clean room is

    usually defined to be one

    that has a dust count of

    Xparticles (diameters of

    0.5 m or larger) per

    cubic foot.

    For modern lithographic processes, a class 10 or better clean room is required

  • 7/27/2019 VLSI technology 2

    6/78

    Optical Lithography Shadow Printing

    Contact printing yields very highresolution (~ 1 m), but suffers from

    major drawback caused by dust

    particles or silicon specks

    accidentally embedded into themask.

    Proximity printing is not as prone toparticle damage. However, the small gap

    between the mask and wafer (typically 10

    m to 50 m) introduces optical diffraction

    at the feature edges on the photomasks andthe resolution is degraded.

  • 7/27/2019 VLSI technology 2

    7/78

    Shadow Printing

    The minimum linewidth that can be printed, lm

    , in shadow

    printing is roughly given by

    lm = (g)1/2

    where is the wavelength of the exposure radiation and g is

    the gap between the mask and the wafer and includes thethickness of the resist. For typical values of (~ 0.4 m) and g

    (~ 50 m), lm

    is on the order of 4.5 m. The above equation

    imparts that the minimum linewidth can be improved byreducing the wavelength (that is, going to deep UV spectral

    region) or the gap g.

  • 7/27/2019 VLSI technology 2

    8/78

    Projection Printing

    In order to circumvent

    problems associated with

    shadow printing, projectionprinting exposure tools have

    been developed to project an

    image of the mask patternsonto a resist-coated wafer

    many centimeters away from

    the mask. The small imagearea is scanned or stepped

    over the wafer to cover the

    entire surface.

  • 7/27/2019 VLSI technology 2

    9/78

    The resolution of a projection

    system is given by

    lm

    = /NA

    where lis the wavelength of the

    exposure radiation and NA isthe numerical aperture given by

    NA = sin n

    where denotes the refraction index of the imaging medium ( = 1 in air) and is

    the half angle of the cone of light converging to a point image at the wafer.

    n

    The depth of focus, z, can be expressed as

    z = lm/ 2 tan lm/2 sin = / [2 (NA)2]nResolution can be enhanced by reducing . This explains the trend towards

    shorter wavelength in optical lithography.

  • 7/27/2019 VLSI technology 2

    10/78

    Mask Defects

    The number of mask defects has a

    profound effect on the final IC yield,

    which is defined as the ratio of good

    chips per wafer to the total number ofchips per wafer. As a first-order

    approximation,

    Y exp{-DA}where Yis the yield, D is the average

    number of "fatal" defects per unit

    area, and A is the area of an IC chip.

    If D remains the same for all masklevels, N, then

    Y exp{-NDA}

  • 7/27/2019 VLSI technology 2

    11/78

    Phase

    ShiftMask

    The phase-shifting layer that covers adjacent apertures reverses the sign of the

    electric field. Although the absolute intensity at the mask is unchanged, the

    electric field of these images at the wafer, shown by the dotted line, can be

    canceled (right figure). Consequently, images that are projected close to one

    another can be separated completely. A 180o phase change occurs when a

    transparent layer of thickness d=

    / 2 (n 1), where n is the refraction index and is the wavelength, covers one aperture as shown in the figure.

  • 7/27/2019 VLSI technology 2

    12/78

    A photoresist is a radiation-sensitive compound. For positive resists, the

    exposed region becomes more soluble and thus more readily removed in

    the developing process. The net result is that the patterns formed in the

    positive resist are the same as those on the mask. For negative resists,the exposed regions become less soluble, and the patterns engraved are

    the reverse of the mask patterns

    A positive photoresist consists of three constituents: photosensitive

    compound, base resin, and organic solvent. Prior to exposure, the

    photosensitive compound is insoluble in the developer solution. After

    irradiation, the photosensitive compound in the exposed pattern areasabsorbs energy, changes its chemical structure, and transforms into a

    more soluble species. Upon developing, the exposed areas are expunged

    Photoresists

  • 7/27/2019 VLSI technology 2

    13/78

    Negative photoresists are polymers combined with aphotosensitive compound. Following exposure, the

    photosensitive compound absorbs the radiation energy and

    converts it into chemical energy to initiate a chain reaction,

    thereby causing cross-linking of the polymer molecules.

    The cross-linked polymer has a higher molecular weight

    and becomes insoluble in the developer solution. After

    development, the unexposed portions are removed

    One major drawback of a negative photoresist is that the

    resist absorbs developer solvent and swells, thus limitingthe resolution of a negative photoresist

  • 7/27/2019 VLSI technology 2

    14/78

    The left figure depicts a typical

    exposure response curve for a positive

    resist. The resist has a finite solubility

    in the developer solution even prior to

    exposure. At a threshold energy, ET,

    the resist becomes completely soluble.

    ET

    therefore corresponds to the

    sensitivity of the photoresist. Another

    parameter, , is the contrast ratio and

    is given by:1

    1ln

    = E

    ET

    A larger implies a more rapid

    dissolution of the resist with an

    incremental increase of exposure

    energy and results in a sharper image.

    The edges of the resist image are

    generally blurred due to diffraction.

    The right figure shows the response

    curve for a negative photoresist. Thesensitivity of a negative photoresist is

    defined as the energy required to retain

    50% of the original resist film thickness

    in the exposed region.

  • 7/27/2019 VLSI technology 2

    15/78

    Pattern TransferThe wafer is placed in a clean room

    that typically is illuminated with

    yellow light as photoresists are not

    sensitive to wavelengths greater than

    0.5 m. The wafer is held on a

    vacuum spindle, and approximately 1

    cm3 of liquid resist is applied to the

    center of the wafer. The wafer is than

    spun for about 30 seconds. The

    thickness of the resulting resist film,

    lR

    , is directly proportional to its

    viscosity as well as the percent solid

    content indigenous to the resist, and

    varies inversely with the spin speed.

    For spin speeds in the range of 1000

    to 10000 rpm, film thicknesses on the

    order of 0.5 to 1 m can be obtained.

  • 7/27/2019 VLSI technology 2

    16/78

    The wafer is then given a pre-exposure bake (80oC to 100oC) to

    remove solvent and improve adhesion. The wafer is aligned withrespect to the mask in an optical lithographic system prior to

    exposure to UV or deep UV light. For a positive photoresist, the

    exposed portions are dissolved in the developer solution. The wafer is

    then rinsed, dried, and then put in an ambient that etches the exposedinsulating layer but does not attack the resist. Finally, the resist is

    stripped, leaving behind an insulator image (or pattern) that is the

    same as the opaque image on the mask. For a negative photoresist,the exposed area becomes insoluble, and the final insulator pattern is

    the reverse of the opaque image on the mask.

    The insulator image can be employed as a mask for subsequentprocessing. For instance, ion implantation can be performed to dope

    the exposed regions selectively.

  • 7/27/2019 VLSI technology 2

    17/78

    Lift-Off Technique

    This method works if the film thickness is smaller than that of the

    photoresist

  • 7/27/2019 VLSI technology 2

    18/78

    Lithographic Techniques

    El t lith h ff hi h l ti b f th ll l th f

  • 7/27/2019 VLSI technology 2

    19/78

    Generation of micron and submicron

    resist geometries

    Highly automated and preciselycontrolled operation

    Greater depth of focus

    Direct patterning without a mask

    Electron lithography offers high resolution because of the small wavelength of

    electrons (< 0.1 nm for 10-50 keV electrons). The resolution is not limited by

    diffraction, but by electron scattering in the resist and the various aberrations of the

    electron optics. Electron lithography has the following advantages:

    The biggest disadvantage of electron

    lithography is its low throughput

    (approximately 5 wafers / hour at less than

    0.1 m resolution). Therefore, electronlithography is primarily used in the

    production of photomasks and in situations

    that require small number of custom

    circuits.

  • 7/27/2019 VLSI technology 2

    20/78

    Electron Resists For a positive electron resist, the

    polymer - electron interactioncauses chain scission, that is,

    broken chemical bonds. The

    irradiated areas can be dissolved

    in a developer solution that attackslow molecular - weight material.

    Common positive electron resists

    are poly (methyl methacrylate),

    abbreviated PMMA, and poly(butene-1 sulfone), abbreviated

    PBS. Positive electron resists

    typically have resolution of 0.1m

    or better.When electrons impact a negative electron resist, polymer linking is induced.

    Poly (glycidyl methacrylate-co-ethyl-acrylate), abbreviated COP, is a common

    negative electron resist. Like a negative photoresist, COP swells during

    developing, and resolution is limited to about 1m.

  • 7/27/2019 VLSI technology 2

    21/78

    X-Ray LithographyX-ray lithography employs a shadow

    printing method similar to optical

    proximity printing. The x-ray

    wavelength (0.4 to 5 nm) is much

    shorter than that of UV light (200 to

    400 nm). Hence, diffraction effects are

    reduced and higher resolution can be

    attained. For instance, for an x-ray

    wavelength of 0.5 nm and a gap of 40

    m, lm

    is equal to 0.2 m. X-ray

    lithography has a higher throughput

    when compared to e-beam lithography

    because parallel exposure can be

    adopted. However, on account of the

    finite size of the x-ray source and the

    finite mask-to-wafer gap, a penumbral

    effect results which degrades the

    resolution at the edge of a feature.

  • 7/27/2019 VLSI technology 2

    22/78

    The penumbral blur, , on the edge of the resist image is given by

    = ag/L

    where a is the diameter of the x-ray source, gis the gap spacing, and L is the

    distance from the source to the x-ray mask. Ifa = 3 mm, g= 40 m, and L =

    50 cm, is on the order of 0.2 m.

    An additional geometric effect is the lateral magnification error due to the

    finite mask-to-wafer gap and the non-vertical incidence of the x-ray beam.

    The projected images of the mask are shifted laterally by an amount d,

    called runout given by

    d= rg/L

    where rdenotes the radial distance from the center of the wafer. For a 125-mm wafer, the runout error can be as large as 5 m forg= 40 m and L =

    50 cm. It is compensated for during the mask making process.

  • 7/27/2019 VLSI technology 2

    23/78

    Ion Lithography

    Ion lithography may achieve higher resolution than optical, x-ray, or electron

    lithographic techniques because ions undergo no diffraction and scatter much less

    than electrons. In addition, resists are more sensitive to ions than to electrons andthere is the possibility of a resistless wafer process. However, ion beams are larger

    than electron beams. Due to the slow throughput, the most important application

    of ion lithography is the repair of masks for optical or x-ray lithography.

    The figure depicts the

    computer trajectory of 50

    H+

    ions implanted at 60keV. The spread of the ion

    beam at a depth of 0.4 m

    is only 0.1 m.

    O ti l lith h i th i t

  • 7/27/2019 VLSI technology 2

    24/78

    Conventional optical

    lithography is considered

    difficult for a design rule ofmuch less than 0.1 m due to

    its resolution limit. For deep

    sub-micrometer structures,

    the two remaining optionsare electron beam direct

    writing or x-ray lithography.

    Perfect x-ray masks are

    difficult to make and thethroughput of electron

    lithography is slow (the

    throughput varies as the

    reciprocal of the square ofthe minimum feature length).

    For mass production, the cost

    and footprint (required floor

    area) of the machine mustalso be minimized.

    Optical lithography is the main stream

    technology and some commercial resists can

    resolve down to 0.1 m or lower

  • 7/27/2019 VLSI technology 2

    25/78

    Etching

  • 7/27/2019 VLSI technology 2

    26/78

  • 7/27/2019 VLSI technology 2

    27/78

    Wet Chemical Etching

    (1) Transportation of

    reactants to the reactingsurface (e.g. by diffusion)

    (2) Chemical reactions atthe surface

    (3) Transportation of the

    products from the surface

    (e.g. by diffusion)

  • 7/27/2019 VLSI technology 2

    28/78

    Silicon Wet EtchingFor semiconductor materials, wet chemical etching usually

    proceeds by oxidation, accompanied by dissolution of the oxide.

    For silicon, the most commonly used etchants are mixtures of

    nitric acid (HNO3) and hydrofluoric acid (HF) in water or aceticacid (CH3COOH). The reaction is initiated by promoting

    silicon from its initial oxidation state to a higher oxidation state:

    Si + 2h+ Si2+

  • 7/27/2019 VLSI technology 2

    29/78

    The holes (h+) are produced by the autocatalytic process:

    HNO3 + HNO2 2NO2- + 2h+ + H2O2NO2

    - + 2H+ 2HNO2

    Si2+ combines with OH- (formed by dissociation of H2O) toform Si(OH)2 which subsequently liberates H2 to form SiO2:

    Si(OH)2 SiO

    2+ H

    2

    SiO2 then dissolves in HF:

    SiO2

    + 6HF H2

    SiF6

    + H2

    O

    The overall reaction can be written as:

    Si + HNO3 + 6HF H2SiF6 + HNO2 + H2O + H2

  • 7/27/2019 VLSI technology 2

    30/78

    Although water can be used as a diluent, acetic acid is

    preferred as the dissociation of nitric acid can be retarded inorder to yield a higher concentration of the undissociated

    species

    At very high HF and low HNO3 concentrations, the etching

    rate is controlled by HNO3, because there is an excess

    amount of HF to dissolve any SiO2 formed At low HF and high HNO3 concentrations, the etch rate is

    controlled by the ability of HF to remove SiO2 as it is being

    formed. The etching mechanism is isotropic, that is, not

    sensitive to crystallographic orientation

  • 7/27/2019 VLSI technology 2

    31/78

    Insulating / Metal Film Etching

    Etching of insulating and metal films is usually

    performed with chemicals that dissolve thesematerials in bulk form and involves their

    conversion into soluble salts and complexes

    Film materials will etch more rapidly than their

    bulk counterparts

    The etching rates are higher for films that possesspoor microstructures or built-in stress, are non-

    stoichiometric, or have been irradiated

  • 7/27/2019 VLSI technology 2

    32/78

    Isotropic / Anisotropic Etching

    Wet etching is usually isotropic,undercutting the layer underneath

    the mask and resulting in a loss of

    resolution in the etched pattern If patterns are required with

    resolution much smaller than the

    film thickness, anisotropic etching(i.e., 1 > A

    f> 0) must be used:

    Af

    = 1 l/hf

    = 1 - vl/v

    v

    where Af is the degree ofanisotropy, and v

    land v

    vare the

    lateral and vertical etch rates,

    respectively. For isotropic etching,

    vl= v

    vandA

    f= 0

  • 7/27/2019 VLSI technology 2

    33/78

    Plasma

    A plasma is a fully or partially ionized gas composed

    of ions, electrons, and neutrals. The plasma most

    useful to ULSI processing is a weakly ionized plasma

    called glow discharge containing a significant density

    of neutral particles (>90% in most etchers). Althoughplasma is neutral in a macroscopic sense, it behaves

    quite differently from a molecular gas, because it

    consists of charged particles that can be influenced by

    applied electric and magnetic fields

    A plasma is produced when an

  • 7/27/2019 VLSI technology 2

    34/78

    p p

    electric field is applied across two

    electrodes between which a gas is

    confined at low pressure, causing

    the gas to break down and become

    ionized.

    Simple DC (direct current) power

    can be used to generate plasma,

    but insulating materials require

    AC (alternate current) power to

    reduce charging.

    In plasma etching, an RF (radio frequency) field is usually used to

    generate the gas discharge. One reason for doing so is that the electrodesdo not have to be made of a conducting material. Besides, electrons can

    gain sufficient energy during oscillation to cause more ionization by

    electron neutral atom collisions. As a result, the plasma can be

    generated at pressures lower than 10-3 Torr.

    The free electrons released by photo ionization or field emission from a

  • 7/27/2019 VLSI technology 2

    35/78

    The free electrons released by photo-ionization or field emission from a

    negatively biased electrode create the plasma. The free electrons gain

    kinetic energy from the applied electric field, and in the course of theirtravel through the gas, they collide with gas molecules and lose energy.

    These inelastic collisions serve to further ionize or excite neutral species

    in the plasma via the following reaction examples:

    e- + AB A- + B+ + e- (Dissociative attachment)e- + AB A + B + e- (Dissociation)e- + A A+ + 2e- (Ionization)

    Some of these collisions cause the gas molecules to be ionized and create

    more electrons to sustain the plasma. Therefore, when the applied

    voltage is larger than the breakdown potential, the plasma is formedthroughout the reaction chamber. Some of these inelastic collisions can

    also raise neutrals and ions to excited electronic states that later decay by

    photoemission, thereby causing the characteristic plasma glow.

  • 7/27/2019 VLSI technology 2

    36/78

    Interaction of plasmas with surfaces is often

    divided into two components: physical andchemical

    A physical interaction refers to the surfacebombardment of energetic ions accelerated acrossthe plasma sheath, and the loss of kinetic energy by

    the impinging ions causes ejection of particlesfrom the sample surface

    Chemical reactions are standard electronic bondingprocesses that result in the formation ordissociation of chemical species on the surface

    Plasma Etching Mechanism

  • 7/27/2019 VLSI technology 2

    37/78

    Plasma Etching Mechanism

    Sputtering Systems

  • 7/27/2019 VLSI technology 2

    38/78

    Sputtering Systems (Top) Sputtering - etching system: Inertgas ions accelerated by the applied

    electric field sputter off the surface.There is essentially no sputtering of the

    sidewalls to attain a high degree of

    anisotropy, but the selectivity is poor.

    In reactive ion etching (RIE), the noble

    gas plasma is replaced by a molecular

    gas plasma similar to that in plasma

    etching. Under appropriate conditions,both RIE and plasma etching can give

    high selectivity and a high degree of

    anisotropy

    (Bottom) Parallel - plate plasma etching system: The plasma is confined between

    the two closely spaced electrodes. Molecular gases containing one or more

    halogen atoms are fed through the gas ring.

    Ion-Assisted The etching rate can be enhanced by

  • 7/27/2019 VLSI technology 2

    39/78

    Reaction

    g y

    ion-assisted chemical reactions.

    This figure depicts the etch rate as a

    function of flow rate of XeF2

    molecules with and without 1 keV

    Ne+ bombardment. The lateral etchrate depends only on the ability of

    XeF2

    molecules to etch silicon in the

    absence of energetic ions impacting

    the surface, whereas the vertical etch

    rate is a synergistic effect due to both

    Ne+ bombardment and XeF2

    molecules.

    The degree of anisotropy can

    generally be enhanced by increasing

    the energy of the ions

    When a gas is mixed with one or

  • 7/27/2019 VLSI technology 2

    40/78

    When a gas is mixed with one or

    more additive gases, both the etch

    rate and selectivity can be altered.

    In this example, the etching rate of

    SiO2

    is approximately constant for

    addition of up to 40% hydrogen,

    while the etch rate for silicon drops

    monotonically and is almost zero at

    40% H2.

    Selectivity (ratio of the etch rate of

    silicon dioxide to silicon) exceeding

    45:1 can be achieved with CF4 - H2reactive ion etching. This process is

    thus useful when etching a SiO2

    layer that covers a polysilicon gate.

  • 7/27/2019 VLSI technology 2

    41/78

    Lift Off versus Direct Etching

  • 7/27/2019 VLSI technology 2

    42/78

    Lift-Off versus Direct Etching

    Disadvantages of the

    lift-off technique:

    (1) Rounded feature

    profile

    (2) Temperature

    limitations

    (> 200oC to 300oC)

  • 7/27/2019 VLSI technology 2

    43/78

    Polysilicon and Dielectric Film

    Deposition

  • 7/27/2019 VLSI technology 2

    44/78

    Thin Films in Microelectronics

    Polycrystalline silicon or polysilicon

    Doped or undoped silicon dioxide

    Stoichiometric or plasma-deposited silicon

    nitride

    Polysilicon

  • 7/27/2019 VLSI technology 2

    45/78

    Polysilicon

    Gate electrode material in MOS devices

    Conducting materials for multilevel

    metallization

    Contact materials for devices with shallow

    junctions

    Polysilicon can be undoped or doped with elements such as As,

    P, or B to reduce the resistivity. The dopant can beincorporated in-situ during deposition, or later by diffusion or

    ion implantation. Polysilicon consisting of several percent

    oxygen is a semi-insulating material for circuit passivation.

  • 7/27/2019 VLSI technology 2

    46/78

    Dielectric Thin Films

    Insulation between conducting layers

    Diffusion and ion implantation masks

    Diffusion sources (doped oxide)

    Capping doped films to prevent dopant loss

    Gettering impurities

    Passivation to protect devices fromimpurities, moisture, and scratches

    Ph h d d ili di id l f d t

  • 7/27/2019 VLSI technology 2

    47/78

    Phosphorus-doped silicon dioxide, commonly referred to

    as P-glass or phosphosilicate glass (PSG), is especiallyuseful as a passivation layer because it inhibits the

    diffusion of impurities (such as Na), and it softens and

    flows at 950o

    C to 1100o

    C to create a smooth topographythat is beneficial for depositing metals

    Borophosphosilicate glass (BPSG), formed by

    incorporating both boron and phosphorus into the glass,flows at even lower temperatures between 850oC and

    950oC

    The smaller phosphorus content in BPSG reduces theseverity of aluminum corrosion in the presence of

    moisture

  • 7/27/2019 VLSI technology 2

    48/78

    Silicon nitride is a barrier to sodium diffusion, isnearly impervious to moisture, and has a low

    oxidation rate

    The local oxidation of silicon (LOCOS) processalso uses silicon nitride as a mask

    The patterned silicon nitride will prevent the

    underlying silicon from oxidation but leave the

    exposed silicon to be oxidized

    Silicon nitride is also used as the dielectric forDRAM MOS capacitors when it combines with

    silicon dioxide

    Physical Vapor Deposition (PVD)

  • 7/27/2019 VLSI technology 2

    49/78

    Physical Vapor Deposition (PVD)

    Evaporation: A vapor is first generated by evaporating a

    source material in a vacuum chamber and then transported

    from the source to the substrate and condensed to a solid filmon the substrate surface.

    Sputtering: The process involves the ejection of surfaceatoms from an electrode surface by momentum transfer from

    the bombarding ions to the electrode surface atoms. The

    generated vapor of electrode material is then deposited on thesubstrate. Sputtering processes, unlike evaporation, are very

    well controlled and generally applicable to all materials such

    as metals, insulators, semiconductors, and alloys.

    Typical Sputtering System

  • 7/27/2019 VLSI technology 2

    50/78

    Typical Sputtering System

    Chemical Vapor Deposition (CVD)

  • 7/27/2019 VLSI technology 2

    51/78

    Chemical Vapor Deposition (CVD)

    Atmospheric-pressure chemical vapor deposition (APCVD) Low-pressure chemical vapor deposition (LPCVD)

    Plasma-enhanced chemical vapor deposition (PECVD)

    Since the steps in a CVD process are sequential the one that

  • 7/27/2019 VLSI technology 2

    52/78

    Since the steps in a CVD process are sequential, the one that

    occurs at the slowest rate will determine the deposition rateand the rate-determining steps can be grouped into gas-phaseand surface processes

    Gas-phase processes dictate the rate at which gases impinge on

    the surface and since such transport processes occur by gas-phase diffusion proportional to the diffusivity of the gas andthe concentration gradient across the boundary layer, they are

    only weakly influenced by the deposition temperature The surface reaction rate is greatly affected by the depositiontemperature. At low temperature, the surface reaction rate isreduced so much that the arrival rate of reactants can exceed

    the rate at which they are consumed by the surface reactionprocess. Under such conditions, the deposition rate is surface-reaction-rate-limited, and at high temperature, it is usuallymass-transport-limited.

    Arrhenius Equation

  • 7/27/2019 VLSI technology 2

    53/78

    q

    R = A exp {-qEa/kT}where R is the deposition rate, A is the

    frequency factor, q is the electronic

    charge, Ea

    is the activation energy, k is

    the Boltzmann's constant, and T is the

    absolute temperature

    The activation energy calculated from the

    slope of the straight-line plots is roughly1.7 eV.

    At high temperature, the reaction becomes faster than the rate at which unreacted

    silane arrives at the surface. The reaction in this temperature regime is mass-transport limited, as exemplified by the high temperature (or small 1/T) data. The

    linear portion of the lines show the surface-reaction limited conditions, that is, the

    rate of reaction is slower than the rate of reactant arrival.

    Characteristics and Applications of CVD Processes

  • 7/27/2019 VLSI technology 2

    54/78

    Process Advantages Disadvantages Applications

    APCVD Simple reactor, Poor step coverage, Doped/undoped

    (low T) fast deposition, particle contamination, low T oxides

    low temperature low throughput

    LPCVD Excellent purity High temperature, Doped/undoped

    & uniformity, low deposition rate high T oxides,

    conformal step silicon nitride,

    coverage, large polysilicon,wafer capacity, tungsten,

    high throughput WSi2

    PECVD Low temperature, Chemical (e.g. H2

    ) Passivation

    fast deposition, and particle (nitride), low T

    good step contamination insulators over

    coverage metals

    Simple Commercial Hot-Wall, Low-

  • 7/27/2019 VLSI technology 2

    55/78

    S p e Co e c a ot Wa , ow

    Pressure Reactor for High WaferCapacity Deposition of Polysilicon

    Horizontal Tube

  • 7/27/2019 VLSI technology 2

    56/78

    Horizontal Tube

    APCVD Reactor

    Gas Injection-TypeContinuous-Processing

    APCVD Reactor

    Plenum-Type

    Continuous-ProcessingAPCVD Reactor

  • 7/27/2019 VLSI technology 2

    57/78

    Parallel Plate

    PECVD Reactor

    Single Wafer

    PECVD Reactor

    Typical Commercial PECVD System

  • 7/27/2019 VLSI technology 2

    58/78

    Common Gases Used in CVD

  • 7/27/2019 VLSI technology 2

    59/78

    Flammable

    Exposure ToxicLimits in Air Limit

    Gas Formula Hazards (vol %) (ppm)

    Ammonia NH3 Toxic, corrosive 16-25 25Argon Ar Inert -- --

    Arsine AsH3 Toxic -- 0.05

    Diborane B2H6 Toxic, flammable 1-98 0.1

    Dichlorosilane SiH2Cl2 Toxic, flammable 4-99 5Hydrogen H2 Flammable 4-74 --

    Hydrogen chloride HCl Toxic, corrosive -- --

    Nitrogen N2 Inert -- --

    Nitrogen Oxide N2O Oxidizer -- --

    Oxygen O2 Oxidizer -- --

    Phosphine PH3 Toxic, flammable Pyrophoric 0.3

    Silane SiH4 Toxic, flammable Pyrophoric 0.5

    Polysilicon Deposition

  • 7/27/2019 VLSI technology 2

    60/78

    Polysilicon is deposited by pyrolyzing silane between 575oC and 650oC in alow-pressure reaction:

    SiH4 (g) Si (s) + 2H2 (gas)

    Either pure silane or 20 to 30% silane in nitrogen is bled into the LPCVD

    system at a pressure of 0.2 to 1.0 torr. The properties of the LPCVD

    polysilicon films are determined by the deposition pressure, silane

    concentration, deposition temperature, and dopant content.

    Polysilicon can be doped by adding phosphine, arsine, or diborane to the

    reactants (in-situ doping). Adding diborane causes a large increase in the

    deposition rate because diborane forms borane radicals, BH3, that catalyzegas-phase reactions and increase the deposition rate. In contrast, adding

    phosphine or arsine causes a rapid reduction in the deposition rate, because

    phosphine or arsine is strongly adsorbed on the silicon substrate surface

    thereby inhibiting the dissociative chemisorption of SiH4.

    The dopant concentration in diffused polysilicon often exceeds the

    solid solubility limit, with the excess dopant atoms segregated at the

  • 7/27/2019 VLSI technology 2

    61/78

    grain boundaries.

    The high resistivity

    observed for lightly

    implanted polysilicon is

    caused by carrier traps

    at the grain boundaries.

    Once these traps aresaturated with dopants,

    the resistivity decreases

    rapidly and approachesthat for implanted

    single-crystal silicon.

    Silicon Dioxide Deposition

  • 7/27/2019 VLSI technology 2

    62/78

    Silicon dioxide films can be deposited at lower than 500oC

    by reacting silane, dopant (phosphorus in this example), and

    oxygen under reduced pressure or atmospheric pressure

    SiH4 (g) + O2 (g) SiO2 (s) + 2H2 (g)

    4PH3 (g) + 5O2 (g) 2P2O5 (s) + 6H2 (g)

    The process can be conducted in an APCVD or LPCVD

    chamber. The main advantage of silane-oxygen reactions is

    the low deposition temperature allowing films to be depositedover aluminum metallization. The primary disadvantages are

    poor step coverage and high particle contamination caused by

    loosely adhering deposits on the reactor walls.

    Silicon dioxide can be deposited at 650oC to 750oC in an

  • 7/27/2019 VLSI technology 2

    63/78

    p

    LPCVD reactor by pyrolyzing tetraethoxysilane,Si(OC2H5)4. This compound, abbreviated TEOS, is

    vaporized from a liquid source

    Si(OC2H5)4 (l) SiO2 (s) + by-products (g)

    The by-products are organic and organosilicon

    compounds. LPCVD TEOS is often used to deposit the

    spacers beside the polysilicon gates. The process offers

    good uniformity and step coverage, but the high

    temperature limits its application on aluminuminterconnects.

    Sili b d it d b LPCVD t b t 900oC b

  • 7/27/2019 VLSI technology 2

    64/78

    Silicon can be deposited by LPCVD at about 900oC by

    reacting dichlorosilane with nitrous oxide

    SiCl2H2 (g) + 2N2O (g) SiO2 (s) + 2N2 (g) + 2HCl (g)

    This deposition technique provides excellent uniformity,

    and like LPCVD TEOS, it is employed to depositinsulating layers over polysilicon. However, this oxide is

    frequently contaminated with small amounts of chlorine

    that may react with polysilicon causing film cracking.

    Plasma-assisted CVD requires the control and optimization of

    h d i f d d l i ddi i

  • 7/27/2019 VLSI technology 2

    65/78

    the RF power density, frequency, and duty cycle in addition to

    the conditions similar to those of an LPCVD process such as gas

    composition, flow rate, deposition temperature, and pressure.

    Like LPCVD at low temperature, the PECVD process is

    surface-reaction-limited, and adequate substrate temperaturecontrol is necessary to ensure film thickness uniformity.

    By reacting silane and oxygen or nitrous oxide in plasma,silicon dioxide films can be formed by the following reactions:

    SiH4 (g) + O2 (g) SiO2 (s) + 2H2 (g)

    SiH4 (g) + 4N2O (g) SiO2 (s) + 4N2 (g) + 2H2O (g)

    Step CoverageA uniform or conformal step coverage depicted in (a)

    results when reactants or reactive intermediates

    adsorb and then migrate promptly along the surface

  • 7/27/2019 VLSI technology 2

    66/78

    before reacting.When the reactants adsorb and react without

    significant surface migration, the deposition rate is

    proportional to the arrival angle of the gas molecules.

    If the mean free path of the gas is much larger thanthe dimensions of the step (b), the arrival angle and

    consequently the film thickness vary. The film is thin

    along the vertical walls and may have a crack at the

    bottom of the step caused by self-shadowing.

    When there is minimal surface mobility and the mean

    free path is short, the arrival angle at the top of the

    step is 270o

    , thus giving a thicker deposit. The arrivalangle at the bottom of the step is only 90o, and so the

    film is thin (c). The thick cusp at the top of the step

    and the thin crevice at the bottom combine to give a

    re-entrant shape that is particularly difficult to coverwith metal.

    Reflow

  • 7/27/2019 VLSI technology 2

    67/78

    Doped oxides used as diffusion sources contain 5 to 15

    weight % of the dopant

    Doped oxides for passivation or interlevel insulation

    contain 2 to 8 wt. % phosphorus to prevent the diffusion of

    ionic impurities to the device

    Phosphosilicate glass (PSG) used for the reflow process

    contains 6 to 8 wt. % phosphorus

    Reflow

    O id ith l h h t ti ill t

  • 7/27/2019 VLSI technology 2

    68/78

    Oxides with lower phosphorus concentrations will not

    soften and flow, but higher phosphorus concentrations can

    give rise to deleterious effects for phosphorus can react

    with atmospheric moisture to form phosphoric acid which

    can consequently corrode the aluminum metallization

    Addition of boron to PSG further reduces the reflow

    temperature without exacerbating this corrosion problem

    Borophosphosilicate glass (BPSG) typically contains 4 to 6

    wt. % P and 1 to 4 wt. % B

    SEM photographs (3200x) showing

    surfaces of 4.6 wt. % P-glass annealed

  • 7/27/2019 VLSI technology 2

    69/78

    g

    for different times in steam at 1100oC

    0 minute 20 minutes

    40 minutes 60 minutes

    SEM cross sections (10,000x) of samples with

    different weight percent of phosphorus

  • 7/27/2019 VLSI technology 2

    70/78

    different weight percent of phosphorus

    annealed in steam at 1100oC for 20 minutes

    0.0 wt. % P 2.2 wt. % P

    4.6 wt. % P 7.2 wt. % P

    Planarization The step coverage ofdeposited oxides can be

  • 7/27/2019 VLSI technology 2

    71/78

    p

    improved by planarization oretch-back techniques.

    Since the organic resistmaterial has a low viscosity,

    reflow occurs during

    application or the subsequent

    bake. The sample is then

    plasma etched to remove all

    the organic coating and part of

    the PSG, as long as theetching conditions are selected

    to remove the organic material

    and PSG at equal rates.

    Silicon Nitride Deposition

  • 7/27/2019 VLSI technology 2

    72/78

    (1) Stoichiometric silicon nitride (Si3N4) can be deposited at

    700oC to 800oC at atmospheric pressure:

    3SiH4 (g) + 4NH3 (g) Si3N4 (s) + 12H2 (g)

    (2) Using LPCVD, silicon nitride can be produced by reactingdichlorosilane and ammonia at temperature between 700oC and

    800oC:

    3SiCl2H2 (g) + 4NH3 (g) Si3N4 (s) + 6H2 (g)The reduced-pressure technique has the advantage of yielding

    good uniformity and higher wafer throughput.

    Silicon Nitride Plasma Deposition

  • 7/27/2019 VLSI technology 2

    73/78

    Hydrogenated silicon nitride films can be deposited by reactingsilane and ammonia or nitrogen in plasma at reduced temperature:

    SiH4 (g) + NH3 (g) SiN : H (s) + 3H2 (g)

    SiH4 (g) + N2 (g) 2SiN : H (s) + 3H2 (g)

    Plasma-assisted deposition is conducted at low temperature by

    reacting the gases in a glow discharge. Two plasma depositedmaterials, plasma deposited silicon nitride (SiNH) and plasma

    deposited silicon dioxide, are useful in VLSI. On account of the

    low deposition temperature, 300oC to 350oC, plasma nitride can be

    deposited over the final device metallization. Plasma-deposited

    films contain large amounts of hydrogen (10 to 35 atomic %)

    bonded to silicon as Si-H, to nitrogen as N-H, and to oxygen as Si-

    OH and H2O.

    Properties of Silicon Nitride Films

  • 7/27/2019 VLSI technology 2

    74/78

    Deposition LPCVD Plasma

    Temperature (o

    C) 700-800 250-350Composition Si

    3N

    4(H) SiN

    xH

    y

    Si/N ratio 0.75 0.8-1.2

    Atom % H 4-8 20-25

    Refractive index 2.01 1.8-2.5Density (g/cm3) 2.9-3.1 2.4-2.8

    Dielectric constant 6-7 6-9

    Resistivity (ohm-cm) 1016 106-1015

    Dielectric strength (106V/cm) 10 5Energy gap (eV) 5 4-5

    Stress (109 dyne/cm2) 10T 2C-5T

    Rapid Thermal Chemical Vapor

    Deposition (RTCVD) System

  • 7/27/2019 VLSI technology 2

    75/78

    Deposition (RTCVD) System

    RTCVD requires higher

    temperature but shorter time

    to deposit the same materialcompared to LPCVD.

    Temperature is the switchthat turns the RTP

    deposition process on or off,

    avoiding the long ramp-up

    and ramp-down times

    required in conventional

    methods.

    To be commercially viable, RTCVD systems

  • 7/27/2019 VLSI technology 2

    76/78

    y , y

    must be able to process a single wafer in 1 to 2minutes, giving a corresponding throughput of 30to 60 wafers per hour

    For relatively thick deposited films of 100 to 200nm, this requires deposition rates greater than 100nm/min

    In comparison, the typical deposition rate inconventional batch LPCVD processes is about 10nm/min

    The RTCVD of SiO2 by pyrolysis of TEOS is believed to

  • 7/27/2019 VLSI technology 2

    77/78

    occur by the reaction:

    Si(OC2H5)4 SiO2 (s) + 2H2O + 4C2H4

    Below 800oC, the deposition rate is controlled by surface

    reaction processes with activation energy of 3.3 eV. This

    large sensitivity to temperature thus demands tight

    temperature control for thin oxide deposition at lowtemperature. Above 800oC, the deposition rate of SiO2approaches 100 nm/min with a lower activation energy,

    which meets the throughput and deposition controlrequirements of the RTCVD system. The high operating

    temperature makes it infeasible for back end steps in

    multilevel-metallization technologies that use aluminium.

    Thin oxide applications include in-situ deposition of MOS gate

    structures where Si surface cleaning, gate oxide formation, and

    polysilicon gate electrode deposition would all occur in a low-

  • 7/27/2019 VLSI technology 2

    78/78

    polysilicon gate electrode deposition would all occur in a low

    pressure, multi-chamber cluster tool. RTCVD yields high-quality

    films through the use of ultraclean gases in a chamber with a highly

    regulated ambient, and examples of these reactions are:

    SiH4 + O2 SiO2 + 2H2

    SiH4 + 2N2O SiO2 + 2N2 + 2H2O

    3SiH2Cl2 + 10NH3 Si3N4 + 6NH4Cl + 6H2

    3SiH4 + 4NH3 Si3N4 + 12H2

    SiH4 Si + 2H2