increased productivity for assertion-based verification (abv) increased productivity for...

Download INCREASED PRODUCTIVITY FOR ASSERTION-BASED VERIFICATION (ABV) INCREASED PRODUCTIVITY FOR ASSERTION-BASED VERIFICATION (ABV) DESIGNED FROM THE GROUND UP

If you can't read please download the document

Upload: neal-paulson

Post on 14-Dec-2015

218 views

Category:

Documents


0 download

TRANSCRIPT

  • Slide 1

INCREASED PRODUCTIVITY FOR ASSERTION-BASED VERIFICATION (ABV) INCREASED PRODUCTIVITY FOR ASSERTION-BASED VERIFICATION (ABV) DESIGNED FROM THE GROUND UP TO ENABLE ABV Slide 2 Slide 3 Slide 4 RELAX TURNS YOUR ABV NIGHTMARE INTO VISIONS OF BLISS INTO VISIONS OF BLISS Slide 5 Candidate signals for assertions Candidate signals for assertions Assertions Slide 6 Enables Systematic Approach To ABV Cost Effectively !!! Slide 7 IDENTIFY SIGNALS RANK CANDIDATES PLACE IN BUCKETS ESTIMATE THE COST OF ADDING ASSERTIONS BASED ON THE TOP 20 TO 30% OF CANDIDATES ESTIMATE THE COST OF ADDING ASSERTIONS BASED ON THE TOP 20 TO 30% OF CANDIDATES Slide 8 CREATE ALL LEVELS OF ASSERTIONS WITHOUT CODING ASSERTIONS CREATE ALL LEVELS OF ASSERTIONS WITHOUT CODING ASSERTIONS Simple assertions or assertion libraries in minutes Any level of complex temporal assertions easily created and debugged Slide 9 Concurrency Temporal Canvas Temporal Widgets Time Slide 10 Drag & Drop Temporal Widgets Automatic Layout & Connection COMPLETELY ELIMINATE THE NEED TO LEARN ASSERTION LANGUAGES Slide 11 Instantly Generate SVA Code with Single Click Instantly Generate SVA Code with Single Click Slide 12 CREATE ASSERTION TEST BENCH AUTOMATICALLY VIEW ASSERTION BEHAVIOR IMMEDIATELY Slide 13 Automatic creation of corresponding Coverage Properties Automatic creation and management of Bind Files Automatic documentation for Verification Plan Automatic assertion control from Test Bench Automatic creation of corresponding Coverage Properties Automatic creation and management of Bind Files Automatic documentation for Verification Plan Automatic assertion control from Test Bench Slide 14 COMPLETED REPORT PROVIDES HISTORY TO MEASURE EFFECTIVENESS OF ABV AND FINE TUNE THE PROCESS Track Progress of Adding Assertions Report Quality of Assertions Slide 15 Enables Complete and Cost Effective Systematic Solution to ABV Enables Complete and Cost Effective Systematic Solution to ABV Slide 16 The most successful implementation of ABV includes assertions that reflect: Temporal behavior Temporal behavior Concurrency Concurrency This level of assertions used early and throughout verification cycle is the easiest way to: Independently check behavior Independently check behavior Track verification completeness Track verification completeness Slide 17