1.5.2 ultraschall- abstandsmessung

11
WWU – Institut für Technik und ihre Didaktik – Hein Informationstechnik – 1.4 1 1.5.2 Ultraschall- Abstandsmessung Ultraschall- Abstandsmessung ist auch als Echolot oder Sonar bekannt. Prinzip : Die Ausbreitungsgeschwindigkeit von Schallwellen ist von der Temperatur des Ausbreitungsmediums abhängig. Luft: = 0°C v = 331,5 ms -1 = 15°C v = 340 ms -1 Glas: v > 5500 ms -1 Wasser: v 1460 ms -1 Echolot für Wassertiefen bis 500 m benötigt eine Impulsleistung von 500 W bis 2,4 kW. Der zu entwickelnde Ultraschallsensor hat eine Impulsfrequenz von 66 Hz. Die Frequenz der Impulsschwingungen beträgt 40 kHz. Ein Ultraschallsender strahlt akustische Impulse aus. S Ein Hindernis reflektiert einen Teil der Impulse. E Ein Empfänger nimmt die Reflektierten Wellen auf. AE Die Auswerteelektronik (AE) steuert den Prozess und berechnet aus der Laufzeit der Impulse den Abstand zum Hindernis. Die Kompensation von Dopplereffekten bei hohen Geschwindigkeiten werden vernachlässigt.

Upload: isadora-carver

Post on 31-Dec-2015

51 views

Category:

Documents


0 download

DESCRIPTION

Ein Ultraschallsender strahlt akustische Impulse aus. Die Auswerteelektronik (AE) steuert den Prozess und berechnet aus der Laufzeit der Impulse den Abstand zum Hindernis. S. Ein Hindernis reflektiert einen Teil der Impulse. AE. E. Ein Empfänger nimmt die Reflektierten Wellen auf. - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: 1.5.2 Ultraschall- Abstandsmessung

WWU – Institut für Technik und ihre Didaktik – HeinInformationstechnik – 1.4 Schaltungsentwicklung 2 1

1.5.2 Ultraschall- Abstandsmessung

Ultraschall- Abstandsmessung ist auch als Echolot oder Sonar bekannt.

Prinzip:

Die Ausbreitungsgeschwindigkeit von Schallwellen ist von der Temperatur des Ausbreitungsmediums abhängig.Luft: = 0°C v = 331,5 ms-1

= 15°C v = 340 ms-1

Glas: v > 5500 ms-1

Wasser: v 1460 ms-1

Echolot für Wassertiefen bis 500 m benötigt eine Impulsleistung von 500 W bis 2,4 kW.

Der zu entwickelnde Ultraschallsensor hat eine Impulsfrequenz von 66 Hz.

Die Frequenz der Impulsschwingungen beträgt 40 kHz.

Ein Ultraschallsender strahlt akustische Impulse aus.

S Ein Hindernis reflektiert einen Teil der Impulse.

E

Ein Empfänger nimmt die Reflektierten Wellen auf.

AE

Die Auswerteelektronik (AE) steuert den Prozess und berechnet aus der Laufzeit der Impulse den Abstand zum Hindernis.

Die Kompensation von Dopplereffekten bei hohen Geschwindigkeiten werden vernachlässigt.

Page 2: 1.5.2 Ultraschall- Abstandsmessung

WWU – Institut für Technik und ihre Didaktik – HeinInformationstechnik – 1.4 Schaltungsentwicklung 2 2

Entwicklung des Blockschaltbildes

Kompa-

rator

Zähler

Reset

CLK

Q4

Q

3

Q

2

Q

1

A4

A

3

A

2

A

1

Decoder

Q9Q

8Q7Q

6Q5Q

4 Q

3 Q

2 Q

1Q0

Speicher

Q4

Q

3

Q2

Q

1

CLK

D4

D

3

D2

D

1

&

IC1

Res

et

Os

zill

ato

r 50

0 kH

z

Bin

ärt

eil

er

Q14

Q8

Q7

Q6

Q

5Takt-generator

Oszillator 40 kHz

US - Oszillator

Impuls-verstärkerU

S-L

au

tsp

rech

erU

S-M

ikro

fon

Impuls-verstärker

S T Q

R Q

RS-FFMP1

&

Die Stromversorgung der einzelnen Baugruppen wurde nicht mit dargestellt.

Zum Schaltplan:

Page 3: 1.5.2 Ultraschall- Abstandsmessung

WWU – Institut für Technik und ihre Didaktik – HeinInformationstechnik – 1.4 Schaltungsentwicklung 2 3

Speicher

Q4

Q

3

Q2

Q

1

CLK

D4

D

3

D2

D

1

Zwischenspeicherung des Zählerstandes

Der Zählerstand wird periodisch in den Speicher eingeschrieben.

Die Übernahme der Daten erfolgt durch einen Impuls am CLK-Eingang.

Diesen Impuls liefert Q des FFs dann, wenn der Laufzeitimpuls das FF zurücksetzt.

D.h., dass nach jeder einzelnen Messung der Zählerstand neu in den Speicher eingeschrieben wird.

zurück

Decodieren des BCD - Codes

A4

A

3

A

2

A

1

Decoder

Q9Q

8Q7Q

6Q5Q

4 Q

3 Q

2 Q

1Q0

Im Decoder erfolgt die BCD – Dezimal – Decodierung.

Die 10 Ausgänge werden mit LEDs beschaltet.

zurück

Page 4: 1.5.2 Ultraschall- Abstandsmessung

WWU – Institut für Technik und ihre Didaktik – HeinInformationstechnik – 1.4 Schaltungsentwicklung 2 4

Dimensionierung des Zählers

Zähler

Reset

CLK

CI

Q4

Q

2

Q

2

Q

1

Mit dem Zähler wird die Laufzeit tL ausgewertet.

Die Entfernung des Gegenstandes wird in 10 Stufen angezeigt, d.h. sie wird mit 10 LEDs abgebildet.

Damit ist ein binärer 4-Bit-Zähler ausreichend.

Mit dem Startimpuls wird der Zähler zurückgesetzt.

Vom Oszillator gelangen vom Ausgang Q5 die Zählimpulse in den CLK-Eingang des Zählers.

Q5 des Oszillators liefert Zählimpulse mit der Frequenz 15,635 kHz und einer Periodendauer von 0,064 ms.Der Zählerstand hat bei 9 seinen Endstand erreicht. Das entspricht der maximalen Reichweite s.

mmmss

mtvs 217064,010340 Dieser Wert gilt für den Hin- und Rücklauf des Signals.

Die maximale Entfernung des Gegenstandes liegt dann bei ca. 10,575 cm. Der Fehler der Messung liegt bei etwa 5%. Die Schrittweite der Messung beträgt dann ca. 1 cm.

Legt man Q6 des Oszillators auf den Eingang des Zählers, erhält man die doppelte Entfernung, weil die Frequenz halbiert und die Periodendauer verdoppelt wurde. Der Messbereich ist dann 20 cm.

Für die Oszillatorausgänge Q7 und Q8 verdoppelt sich die Reichweite jedes mal in gleicher Weise, also auf 40 bzw. 80 cm.Der Zählumfang des Zählers wird mit einem UND-Glied auf 10 festgelegt. Es setzt den Zähler bei Erreichen der 9 auf 0 zurück.

&

zurück

Page 5: 1.5.2 Ultraschall- Abstandsmessung

WWU – Institut für Technik und ihre Didaktik – HeinInformationstechnik – 1.4 Schaltungsentwicklung 2 5

Zusammenhang zwischen der Speicherzeit des FF und der Laufzeit des US-Signals

S T Q

R Q

RS-FFMP1

Setzimpuls Zeitgleich mit dem Aussenden des US-Impulses wird das FF gesetzt.

t

S

R

Q

Das Echo des US-Impulses setzt das FF zurück.

Rücksetz-impuls

Usw., usf.

tL tL

Die an MP1 messbare Zeit tL ist die Laufzeit des US-Impulses für den Hin- und Rücklauf. Sie ist der Entfernung des Gegenstandes proportional.

Für einen Gegenstand, der 0,2 m entfernt steht, lässt sich die Laufzeit wie folgt berechnen.

v = 340 ms-1

s = 2 ·0,2 m = 0,4 m

mss

smm

v

st

t

sv

L 12,000117,0340

4,0

Beispiel:

Die weitere Aufgabe besteht darin, die Laufzeit so auszuwerten, dass sie angezeigt werden kann.

zurück

Page 6: 1.5.2 Ultraschall- Abstandsmessung

WWU – Institut für Technik und ihre Didaktik – HeinInformationstechnik – 1.4 Schaltungsentwicklung 2 6

&

&Q

QS

R

RS - Flipflop

Die Funktion des RS-FF besteht darin, die Zeit zwischen der Ausstrahlung des Impulses und dem Empfang der Reflektion festzuhalten.

Impuls von Q14 (0,25 ms)

Q geht in den H – Zustand über.

Nach der Laufzeit tL des US- Impulses vom Lautsprecher zum Hindernis und dem Echo vom Hindernis zum Mikrofon gelangt der Impuls vom Komparator an R und setzt das FF zurück.Die gesetzte Zeit des FF ist der Laufzeit des Impulses und damit der Strecke proportional.

Dieser Vorgang wiederholt sich aller 16 ms, also mit einer Frequenz von etwa 62 Hz.

zurück

Page 7: 1.5.2 Ultraschall- Abstandsmessung

WWU – Institut für Technik und ihre Didaktik – HeinInformationstechnik – 1.4 Schaltungsentwicklung 2 7

-

+

-

+

10k180k

10p

10 100n

10k33k

10n

10p

-

+

US-Mikrofon-Impulsverstärker

Die 10p – Kondensatoren begrenzen die obere Grenzfrequenz der Verstärker.

100n

47

k4

7k

22

0Komparator

10

Der Spannungsteiler 47k, 220, 47k legt die Arbeitspunkte für die OPs fest.

Die nach Masse geschalteten Kondensatoren sind Siebkondensatoren.

Der Komparator schaltet seinen Ausgang beim 1. verstärkten 40 kHz-Impuls nach H um.

Die OPs arbeiten im invertierten Betrieb.

zurück

Ein OP ohne Rückkopplung wirkt wegen seiner hohen Verstärkung als Komparator.

Im Ruhezustand liegt der Ausgang des Komparators auf L.

zurück

Page 8: 1.5.2 Ultraschall- Abstandsmessung

WWU – Institut für Technik und ihre Didaktik – HeinInformationstechnik – 1.4 Schaltungsentwicklung 2 8

& &

C1

C2

R1 R2G1 G2

ua

CRf

2,2

1

Für die Frequenz f der Ausgangsspannung Ua gilt:

US – Oszillator: f = 40 kHz

kA

V

AsVsCfR 3,11

88

10

10110402,2

1

2,2

1 6

1913

zurück

Es wird ein Kondensator mit C = 1 nF ausgewählt.

Das Tastverhältnis der Impulse soll 1 : 1 sein.

Page 9: 1.5.2 Ultraschall- Abstandsmessung

WWU – Institut für Technik und ihre Didaktik – HeinInformationstechnik – 1.4 Schaltungsentwicklung 2 9

IC1: CMOS 4060

14-stufiger Binärzähler mit internem Oszillator Die Oszillatorfrequenz ist auf f = 500 kHz festgelegt.

Die Frequenz f = 500 kHz wird heruntergeteilt und liegt an den Ausgängen Q1 bis Q14 an.

&

Q14 besitzt den Teilerfaktor 214 = 16384.

Die Frequenz f an Q14 beträgt:131

16384

500000 ss

f

Für die Periodendauer T gilt somit:

mssf

T 3231

111

Die Periodendauer beträgt 0,51 ms. Der Impuls hat dann eine Länge von etwa 0,25 ms.

Damit ergibt sich beim Tastverhältnis 1:1 eine Impulsdauer von etwa 16 ms.

Ein UND-Glied realisiert, dass bei H an Q14 und an Q8 Zähler auf 0 gesetzt wird.

Das Impulsdiagramm von Q14 zeigt den Verlauf der Spannung.

t

UQ14 ca. 16 ms

0,25 ms

Os

zill

ato

r 50

0 kH

z

Bin

ärt

eil

er

Q14

Q8

Q7

Q6

Q

5

Reset

Der Ausgang Q8 liefert Impulse mit f = 1,953 kHz.

Funktion: Taktgenerator für den Arbeitsrhythmus des Ultraschall-Abstandsmessers

Danach dauert es ca. 16 ms, bis Q14 wieder für 0,25 ms H-Pegel führt,

Berechnung der Frequenzen

zurück

Page 10: 1.5.2 Ultraschall- Abstandsmessung

WWU – Institut für Technik und ihre Didaktik – HeinInformationstechnik – 1.4 Schaltungsentwicklung 2 10

CD 4060

Q1

Q2

Q3

Q4

Q5

Q6

Q7

Q8

Q9

Q10

Q11

Q12

Q13

Q14

FYO

FY1

FYO

RESET

21

22

23

24

25

26

27

28

29

210

211

212

213

214

Potenzen, durch die die Oszillatorfre-quenz geteilt werden muss

2

4

8

16

32

64

128

256

512

1024

2048

4096

8192

16384

Teiler

Frequenzen an den Ausgängen

in kHz

250

125

62,5

31,25

15,635

7,813

3,906

1,953

0,977

0,488

0,244

0,122

0,061

0,031

3. Dezimalstelle gerundet

500 kHz

10 M

22

k

22 p 22 p

Oszillator

Periodendauer in ms

32

0,51

zurück

Page 11: 1.5.2 Ultraschall- Abstandsmessung

WWU – Institut für Technik und ihre Didaktik – HeinInformationstechnik – 1.4 Schaltungsentwicklung 2 11